From aa1d2b16d726cd4bc4f568d514d80a3c8021926c Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Thu, 4 Aug 2022 21:26:17 +1000 Subject: [PATCH] litedram: Regenerate Regenerate from upstream litex. Something in the update has improved memory read and write performance quite a lot on my Nexys Video: Before: Write speed: 83.2MiB/s Read speed: 140.4MiB/s After: Write speed: 352.1MiB/s Read speed: 218.5MiB/s Signed-off-by: Anton Blanchard --- .../acorn-cle-215/litedram_core.init | 1946 +- .../generated/acorn-cle-215/litedram_core.v | 16613 +++++++------ litedram/generated/arty/litedram_core.init | 1946 +- litedram/generated/arty/litedram_core.v | 16517 +++++++------ .../generated/genesys2/litedram_core.init | 2610 +- litedram/generated/genesys2/litedram_core.v | 20591 ++++++++-------- .../generated/nexys-video/litedram_core.init | 1946 +- .../generated/nexys-video/litedram_core.v | 16557 +++++++------ .../orangecrab-85-0.2/litedram_core.init | 1928 +- .../orangecrab-85-0.2/litedram_core.v | 2213 +- litedram/generated/sim/litedram_core.init | 1498 +- litedram/generated/sim/litedram_core.v | 3181 ++- .../generated/wukong-v2/litedram_core.init | 1946 +- litedram/generated/wukong-v2/litedram_core.v | 16517 +++++++------ 14 files changed, 54515 insertions(+), 51494 deletions(-) diff --git a/litedram/generated/acorn-cle-215/litedram_core.init b/litedram/generated/acorn-cle-215/litedram_core.init index 1b6e88e..9006b18 100644 --- a/litedram/generated/acorn-cle-215/litedram_core.init +++ b/litedram/generated/acorn-cle-215/litedram_core.init @@ -7,7 +7,7 @@ a64b5a7d14004a39 6421ff00782107c6 3d80000060215f00 798c07c6618c0000 -618c10e0658cff00 +618c10d8658cff00 4e8004217d8903a6 4e8004207c6903a6 0000000000000000 @@ -519,213 +519,215 @@ a64b5a7d14004a39 0000000000000000 3c4c000100000000 7c0802a63842adc4 -fbe1fff8fbc1fff0 -f821ff51f8010010 -f88100d83bc10020 +f8010010fbe1fff8 +f88100d8f821ff51 38800080f8a100e0 f8c100e87c651b78 -38c100d87fc3f378 +38c100d838610020 f90100f8f8e100f0 f9410108f9210100 -6000000048002159 -7fc3f3787c7f1b78 -6000000048001b7d +6000000048002135 +386100207c7f1b78 +6000000048001b4d 7fe3fb78382100b0 -00000000480027d4 -0000028001000000 +000000004800283c +0000018001000000 000000004e800020 0000000000000000 4c00012c7c0007ac 000000004e800020 0000000000000000 -3842ad203c4c0001 -7d8000267c0802a6 -9181000848002711 -48001b79f821fed1 +3842ad283c4c0001 +7d6000267c0802a6 +9161000848002775 +48001b49f821fed1 3c62ffff60000000 -4bffff3938637a90 +4bffff4138637af0 788400203c80c000 7c8026ea7c0004ac 3fe0c0003c62ffff -63ff000838637ab0 -3c62ffff4bffff15 -38637ad07bff0020 -7c0004ac4bffff05 +63ff000838637b10 +3c62ffff4bffff1d +38637b307bff0020 +7c0004ac4bffff0d 73e900017fe0feea 3c62ffff41820010 -4bfffee938637ae8 -4e00000073e90002 +4bfffef138637b48 +4d80000073e90002 3c62ffff41820010 -4bfffed138637af0 -4d80000073e90004 +4bfffed938637b50 +4e00000073e90004 3c62ffff41820010 -4bfffeb938637af8 +4bfffec138637b58 4d00000073e90008 3c62ffff41820010 -4bfffea138637b00 +4bfffea938637b60 4182001073e90010 -38637b103c62ffff -73ff01004bfffe8d +38637b703c62ffff +73ff01004bfffe95 3c62ffff41820010 -4bfffe7938637b20 -3b7b7b283f62ffff -4bfffe697f63db78 -3c80c000418e0028 +4bfffe8138637b80 +3b7b7b883f62ffff +4bfffe717f63db78 +3c80c00041920028 7884002060840010 7c8026ea7c0004ac 7884b5823c62ffff -4bfffe4138637b30 -3c80c0004192004c +4bfffe4938637b90 +3c80c000418e004c 7884002060840018 7c8026ea7c0004ac 788460223c62ffff -4bfffe1938637b48 +4bfffe2138637ba8 608400303c80c000 7c0004ac78840020 3c62ffff7c8026ea -38637b607884b282 -3d20c0004bfffdf5 +38637bc07884b282 +3d20c0004bfffdfd 7929002061290020 7d204eea7c0004ac 792906003c80000f 3c62ffff60844240 -38637b787c892392 -418a025c4bfffdc5 -639c00383f80c000 -7c0004ac7b9c0020 -3d40c0007f80e6ea +38637bd87c892392 +418a02604bfffdcd +63de00383fc0c000 +7c0004ac7bde0020 +3d40c0007fc0f6ea 614a600439200002 7c0004ac794a0020 3fe0c0007d2057aa 63ff60003920ff9f 7c0004ac7bff0020 7c0004ac7d20ffaa -7c0004ac7fc0feaa 7c0004ac7fa0feaa -4bfffd1d7fe0feaa +7c0004ac7f80feaa +4bfffd257fe0feaa 57e6063e3c62ffff -57c4063e57a5063e -57f8063e38637b98 -7fc9eb784bfffd45 -7d29fb7857b9063e -5529063e57da063e +57a4063e5785063e +57f8063e38637bf8 +7fa9e3784bfffd4d +7d29fb78579a063e +5529063e57b9063e 418201682c090000 -7fdef8387fdee838 -2c1e00ff57de063e -2c1a000141820154 -2c19000240820360 -73bd00bf41820010 -408201302c1d0020 +7fbdf8387fbde038 +2c1d00ff57bd063e +2c19000141820154 +2c1a000240820184 +739c00bf41820010 +408201302c1c0020 57ff063e3bffffe8 41810120281f0001 392000353fe0c000 7bff002063ff6000 7d20ffaa7c0004ac -3b4000023fc0c000 -7bde002063de6004 -7f40f7aa7c0004ac +3b4000023fa0c000 +7bbd002063bd6004 +7f40efaa7c0004ac 7d20ffaa7c0004ac -7fa0feaa7c0004ac -3c62ffff4bfffc61 -38637bb857a4063e -73a900024bfffc95 +7f80feaa7c0004ac +3c62ffff4bfffc69 +38637c185784063e +738900024bfffc9d 3c62ffff40820090 -4bfffc8138637bd8 -7f40f7aa7c0004ac +4bfffc8938637c38 +7f40efaa7c0004ac 7c0004ac39200006 -4bfffc257d20ffaa -7f40f7aa7c0004ac +4bfffc2d7d20ffaa +7f40efaa7c0004ac 7c0004ac39200001 392000007d20ffaa 7d20ffaa7c0004ac -7c0004ac63bd0002 -7c0004ac7fa0ffaa -4bfffbed7d20f7aa +7c0004ac639c0002 +7c0004ac7f80ffaa +4bfffbf57d20efaa 3b4000053b000002 7c0004ac7ff9fb78 -7c0004ac7f00f7aa +7c0004ac7f00efaa 7c0004ac7f40cfaa -4bfffbc57fa0feaa -4082ffe073bd0001 -38637bf03c62ffff -3d40c0004bfffbf5 +4bfffbcd7f80feaa +4082ffe0739c0001 +38637c503c62ffff +3d40c0004bfffbfd 794a0020614a6008 7d20562a7c0004ac 652920005529021e 7c0004ac61291f6b 7f63db787d20572a -3c62ffff4bfffbc5 -38637c007b840020 -4bfffbb17f9ae378 -7f63db783be00001 -419200244bfffba5 -3c62ffff3ca2ffff -38637c3038a57c20 -4bfffb897ca42b78 -6000000048000c55 -3c62ffff418e0024 -4bfffb7138637c60 -4800013c38600000 -3b4000003be00000 -73ff00014bffffb4 -3c62ffff418200a4 -4bfffb4938637c78 -38a000403c9af000 +3c62ffff4bfffbcd +38637c607bc40020 +4bfffbb97fdaf378 +4bfffbb17f63db78 +419200d0408e0094 +38637c803c62ffff +386000004bfffb9d +2c190020480001a0 +2c1a00ba4082ffbc +2c1800184082ffb4 +3c62ffff4082ffac +4bfffb7138637c48 +7f63db784bffff68 +408e00684bfffb65 +3c62ffff4092ffb8 +4bfffb5138637d90 +38a000003c80ff00 +60a5a00060846000 +3c60400078840020 +6000000048001865 +38637db03c62ffff +4bfffb9d4bfffb25 +3c82ffff4bffff84 +38847c983c62ffff +4bfffb0938637ca8 +6000000048000c3d +3c82ffff4bffff54 +38847c983c62ffff +4bfffae938637ca8 +6000000048000c1d +3c62ffff4bffff80 +4bfffad138637cc8 +38a000403c9ef000 3861007078840020 -6000000048001889 +60000000480017ed 3d400002e9210070 614a464c3c62ffff -794a83e438637c90 +794a83e438637ce0 614a457f79290600 408200247c295000 2c09000189210075 a121008240820010 -418200802c090015 -38637cb03c62ffff -892100774bfffae5 +418200442c090015 +38637d003c62ffff +892100774bfffa6d 8901007489410076 3c62ffff88e10073 88a1007188c10072 -38637d1088810070 +38637d6088810070 89210075f9210060 -3c62ffff4bfffab5 -4bfffaa938637d40 -38a000003c80ff00 -60a5a00060846000 -3c60400078840020 -60000000480017e1 -38637d603c62ffff -4bfffafd4bfffa7d -ebe100904bffff08 -3ba000003f02ffff -3b187cc83b2100b0 -a12100a87ffafa14 +4bfffee04bfffa3d +3f22ffffe9210090 +3b397d183ba00000 +a12100a87fde4a14 418000347c1d4840 3c62ffff80810088 -4bfffa4138637cf0 -e86100884bfffac1 -4182ff802c23ffff -8181000838210130 -4800222c7d838120 -38a000383c9ff000 -7f23cb7878840020 -6000000048001761 +4bfffa0938637d40 +e86100884bfffa81 +4182fea02c23ffff +8161000838210130 +480022507d638120 +38a000383c9ef000 +386100b078840020 +6000000048001705 2c090001812100b0 eb6100d040820048 -ebc100b8eb8100c0 -7f03c3787ba40020 +ebe100b8eb8100c0 +7f23cb787ba40020 7b6500207f86e378 -4bfff9d93fdef000 -7b6500207c9af214 +4bfff9a13ffff000 +7b6500207c9fd214 7f83e37878840020 -6000000048001719 -7fff4a14a12100a6 +60000000480016bd +7fde4a14a12100a6 4bffff583bbd0001 -4082fde02c1a0020 -4082fdd82c1900ba -4082fdd02c180018 -38637be83c62ffff -4bfffd8c4bfff98d 0300000000000000 3d20c80000000880 7929002061291004 @@ -735,7 +737,7 @@ ebc100b8eb8100c0 7d20572a7c0004ac 000000004e800020 0000000000000000 -3842a6f83c4c0001 +3842a6e83c4c0001 4182006828030002 4182003028030003 4082007c28030001 @@ -757,7 +759,7 @@ ebc100b8eb8100c0 4bffff287c8307b4 0000000000000000 3d20c80000000000 -6129081039400001 +6129080439400001 792900207d431830 7c604f2a7c0004ac 610808143d00c800 @@ -767,7 +769,7 @@ ebc100b8eb8100c0 000000004e800020 0000000000000000 394000013d20c800 -7d43183061290810 +7d43183061290804 7c0004ac79290020 3d00c8007c604f2a 7908002061080818 @@ -776,7 +778,7 @@ ebc100b8eb8100c0 4e8000207d404f2a 0000000000000000 3d20c80000000000 -6129081039400001 +6129080439400001 792900207d431830 7c604f2a7c0004ac 6108081c3d00c800 @@ -820,204 +822,215 @@ ebc100b8eb8100c0 4bfffff060000000 0000000000000000 3c4c000100000000 -7c0802a63842a454 -f821ffa148001e59 -60a500033ca08020 -394000007c7e1b78 -78a5002038c1001f -3b81002039000004 -7ce652147d0903a6 -7888f86239200004 -7c8400d0788407e0 -7c8428383929ffff -7d0443787c884278 -4200ffe09d070001 -282a0010394a0004 -3d40c8004082ffc0 -794a0020614a100c -7d20572a7c0004ac -614a10103d40c800 +7c0802a63842a444 +60e700033ce08020 +78e7002039200000 +f821ffa148001e99 +3941001f7c7d1b78 +7d0a4a143bc10020 +7d4903a639400004 +788407e0788af862 +7c8438387c8400d0 +7d4453787c8a5278 +4200ffe49d480001 +2829001039290004 +3d40c8004082ffc4 +614a100c39200000 7c0004ac794a0020 -386000097d20572a -3860000f4bfffc85 -3d20c8004bffff29 -612910143cc0c800 -7f8ae37860c61074 -78c6002079290020 -38eaffff38a00004 -3be000047ca903a6 +3d40c8007d20572a +794a0020614a1010 +7d20572a7c0004ac +4bfffc8938600009 +4bffff2d3860000f +3cc0c8003d20c800 +60c6107461291014 +792900207fcaf378 +38a0000478c60020 +7ca903a638eaffff 8ca7000139000000 -7905400c3bffffff -4200fff07ca82b78 -7ca04f2a7c0004ac -394a000439290018 -4082ffc47c293000 -63bd08303fa0c800 -7c0004ac7bbd0020 -5463063e7c60ee2a -7c0004ac4bfffe41 -5463063e7c60ee2a -7c0004ac4bfffdc1 -388000177c60ee2a -3fa0c8005463063e -63bd082c4bfffc21 -4bfffe7d3860000f -7c0004ac7bbd0020 -5463063e7c60ee2a -7c0004ac4bfffdf9 -5463063e7c60ee2a -7c0004ac4bfffd79 -388000257c60ee2a -4bfffbdd5463063e -4bfffe3d3860000f -6129100c3d20c800 -7c0004ac79290020 -3d20c8007fe04f2a -7929002061291010 -7fe04f2a7c0004ac -23de00013860000b -3860000f4bfffb5d -3d00c8004bfffe01 -610810183c80c800 -3ca033333d605555 -608410783c000f0f -7908002038c00000 -616b555538610030 -60000f0f60a53333 -7c0004ac78840020 -394100307d20462a -392000047d20552c -7d2903a639400000 -552907fe7d265214 -408200547c09f000 -7d3c50ae7cea18ae -5527063e7d293a78 -7d2958387929fe62 -7d2728387d293850 -7d2928385529f0be -54e9e13e7ce74a14 -7d2900387d293a14 -7d293a145527c23e -7d293a145527843e -7fff4a14552906be -4200ff9c394a0001 -3b9c000439080018 -38c600047c282000 -382100604082ff6c -48001c0c7be30020 -0100000000000000 -3c4c000100000480 -7c0802a63842a19c -f821ff7148001b99 -7c7f1b783ba00000 -3880002a4bfffb35 -4bfffd297fe3fb78 -3b9d000138800054 -7fe3fb787c7e1b78 -7c63f2144bfffd15 -4182001c2c030000 -418200742c1c0020 -7f9de3787fe3fb78 -4bffffc04bfffb41 -7fe3fb787fbeeb78 -4bfffb2d3b7d0001 -3880002a3b80ffff -4bfffcd17fe3fb78 -7c7a1b7838800054 -4bfffcc17fe3fb78 -2c0300007c63d214 -2c1cffff41820010 -7f7cdb7840820008 +7ca82b787905400c +7c0004ac4200fff4 +392900187ca04f2a +7c293000394a0004 +3fe0c8004082ffcc +7bff002063ff0830 +7c60fe2a7c0004ac +4bfffe4d5463063e +7c60fe2a7c0004ac +4bfffdcd5463063e +7fe0fe2a7c0004ac +57e3063e38800017 +4bfffc2d3fe0c800 +3860000f63ff082c +7bff00204bfffe89 +7c60fe2a7c0004ac +4bfffe055463063e +7c60fe2a7c0004ac +4bfffd855463063e +7fe0fe2a7c0004ac +57e3063e38800025 +3860000f4bfffbe9 +3d40c8004bfffe49 +614a100c39200000 +7c0004ac794a0020 +3d40c8007d20572a +794a0020614a1010 +7d20572a7c0004ac +3be100303860000b +3860000f4bfffb65 +3ce0c8004bfffe09 +60e710183d60c800 +3c6033333c005555 +616b10783d800f0f +78e7002038800000 +211d000138a00000 +6063333360005555 +796b0020618c0f0f +7d203e2a7c0004ac +792900203ba00004 +38c100347fa903a6 +9d26ffff39400004 +7929c202394affff +392000044200fff4 +7d2452147d2903a6 +7c094000552907fe +7ccaf8ae40820054 +7d2932787d3e50ae +7929fe625526063e +7d2930507d290038 +5529f0be7d261838 +7cc64a147d291838 +7d29321454c9e13e +5526c23e7d296038 +5526843e7d293214 +552906be7d293214 +394a00017ca54a14 +38e700184200ff9c +7c2758003bde0004 +4082ff5438840004 +78a3002038210060 +0000000048001c48 +0000038001000000 +3842a1783c4c0001 +48001bcd7c0802a6 +7c7f1b78f821ff61 +4bfffb213b800000 +7fe3fb783880002a +388000544bfffd15 +7c7e1b783bbc0001 +4bfffd017fe3fb78 +2c0300007c63f214 +2c1d00204182001c +7fe3fb7841820090 +4bfffb2d7fbceb78 +7f9de3784bffffc0 +3b5c00047fe3fb78 +7fe3fb784bfffb19 +4bfffb0d7f5bd378 +3bc0ffff7fe3fb78 +7fe3fb784bfffb01 +3880002a4bfffaf9 +4bfffca17fe3fb78 +7c791b7838800054 +4bfffc917fe3fb78 +2c0300007c63ca14 +2c1effff41820010 +7f7edb7840820008 2c1b001f3b7b0001 7fe3fb784181001c -4bffffb84bfffae1 -3bc0ffff3ba00020 -2c1d001e4bffff9c -39200000395d0002 -213d001e41810008 -7d2952142c1cffff -7d3c4b7840820008 -7fbee2142c1effff -7fbd01947fbd0e70 -4082003857bd06fe -38637d783c62ffff -600000004bfff2cd -3bc000007fe3fb78 -386000644bfffa2d -7c1df0004bfffbe9 -3821009040820034 -7cbee05048001ab8 -7ca50e703c62ffff -7fa407b47ca50194 -7ca507b438637d88 -600000004bfff285 -7fe3fb784bffffb8 -4bfffa2d3bde0001 -4bfffb9d38600064 -000000004bffffb4 -0000068001000000 -3842a0103c4c0001 -612910003d20c800 -7c0004ac79290020 -280a000e7d404e2a -7c0802a64d820020 +4bffffb84bfffab1 +3ba0ffff3b800020 +2c1effff4bffff80 +2c1a001f4082001c +418100083bc00000 +3b9c000523da001f +2c1dffff7fdee214 +3c62ffff4082001c +4bfff2a138637dc8 +382100a060000000 +7cbdf05048001b00 +7ca50e707c9df214 +789cfee27ca50194 +7ca507b43c62ffff +38637dd87f84e378 +4bfff2693bc00008 +7fe3fb7860000000 +4bfff9d93ba00000 +4bfffb9538600064 +4082003c7c1ce800 +7fe3fb783880002a +388000544bfffbbd +7fe3fb787c7d1b78 +7c63ea144bfffbad +4182ff882c030000 +2c1e00003bdeffff +4bffff784082ffb4 +3bbd00017fe3fb78 +386000644bfff9d1 +4bffffac4bfffb41 +0100000000000000 +3c4c000100000780 +3d20c80038429fa4 +7929002061291000 +7d404e2a7c0004ac +4d820020280a000e +3940000e7c0802a6 f821ffa1f8010010 -7c0004ac3940000e -3c62ffff7d404f2a -4bfff21138637da0 -3821006060000000 -7c0803a6e8010010 -000000004e800020 -0000008001000000 -38429fa83c4c0001 -612910003d20c800 -7c0004ac79290020 -280a00017d404e2a -7c0802a64d820020 +7d404f2a7c0004ac +38637df03c62ffff +600000004bfff1a5 +e801001038210060 +4e8000207c0803a6 +0100000000000000 +3c4c000100000080 +3d20c80038429f3c +7929002061291000 +7d404e2a7c0004ac +4d820020280a0001 +394000017c0802a6 f821ffa1f8010010 -7c0004ac39400001 -3c62ffff7d404f2a -4bfff1a938637dc8 -3821006060000000 -7c0803a6e8010010 -000000004e800020 -0000008001000000 -38429f403c4c0001 -4800190d7c0802a6 -3f80c800f821ff01 -3ea2ffff3f00c800 +7d404f2a7c0004ac +38637e183c62ffff +600000004bfff13d +e801001038210060 +4e8000207c0803a6 +0100000000000000 +3c4c000100000080 +7c0802a638429ed4 +39297e403d22ffff +f821ff01480018f5 +3f00c8003f80c800 3e62ffff3e82ffff -639c08103f22ffff +639c08043f22ffff 3e42ffff63180820 3b4000013ba00000 -3ab57df03ae00000 -3a737e083a947e00 -7b9c00203b397b28 -3a527e107b180020 +3ae00000f9210060 +3a737e583a947e50 +7b9c00203b397b88 +3a527e607b180020 7fb0eb787ba307e0 -7f56e8304bfff925 +7f56e8304bfff8c5 3a2000003be00000 7fbe07b439e00000 -7fc4f3787de507b4 -39c000207ea3ab78 -600000004bfff0f5 -3b6000007fc3f378 -3880002a4bfff855 -4bfffa497fc3f378 -39ceffff38800054 -7fc3f378f8610060 -e92100604bfffa35 -7c6400347c634a14 -5484d97e20630080 -7c8407b454896026 -7e83a3787d291a14 -4bfff0997f7b4a14 +7de507b4e8610060 +39c000207fc4f378 +4bfff0813b600000 +7fc3f37860000000 +3880002a4bfff7f5 +4bfff9e97fc3f378 +7c751b7838800054 +4bfff9d97fc3f378 +7c6400347c63aa14 +7e83a37821230080 +548a60265484d97e +7d2952147c8407b4 +4bfff0317f7b4a14 7fc3f37860000000 -2c0e00004bfff849 -7e639b784082ffa4 -600000004bfff07d -4bfffc997fc3f378 -4bfff0697f23cb78 +35ceffff4bfff7f1 +7e639b784082ffac +600000004bfff015 +4bfffc557fc3f378 +4bfff0017f23cb78 7c11d84060000000 7dff7b784080000c 2c0f00077f71db78 @@ -1025,55 +1038,55 @@ e92100604bfffa35 7c0004ac7ec0e72a 7c0004ac7f40c72a 39ef00017ee0e72a -3ba000014bffff28 -7fe507b44bffff00 +3ba000014bffff30 +7fe507b44bffff08 7e4393787fc4f378 -4bfff0117bff0020 +4bffefa97bff0020 7a0307e060000000 -393f00014bfff80d +393f00014bfff7b5 420000287d2903a6 -4bfffc197fc3f378 -4bffefe97f23cb78 -2c1d000160000000 -382101004082ffb4 -7c0004ac480017b8 +4bfffbd57fc3f378 +4bffef817f23cb78 +2c1d000060000000 +382101004182ffb4 +7c0004ac480017ac 7c0004ac7ec0e72a 7c0004ac7f40c72a 4bffffc07ee0e72a 0100000000000000 3c4c000100001280 -7c0802a638429d64 +7c0802a638429cfc f821ffa1f8010010 -386000004bfffd49 -386000004bfff6fd -386000014bfff78d -386000014bfff6ed -3c62ffff4bfff77d -4bffef6938637e28 -4bfffde560000000 -382100604bfffd79 +386000004bfffd4d +386000004bfff6a5 +386000014bfff735 +386000014bfff695 +3c62ffff4bfff725 +4bffef0138637e78 +4bfffde960000000 +382100604bfffd7d e801001038600001 4e8000207c0803a6 0100000000000000 3c4c000100000080 -7c0802a638429cf4 -f821ff51480016e5 +7c0802a638429c8c 6129082c3d20c800 -792900203b000002 +480016cd79290020 +3b000002f821ff51 7f004f2a7c0004ac 3b2000033d20c800 7929002061290830 7f204f2a7c0004ac 3c62ffff3fc0c800 -38637e383c804000 -4bffeee163de0800 +38637e883c804000 +4bffee7963de0800 3b80000160000000 -7bde00204bfffc89 +7bde00204bfffc8d 7f80f72a7c0004ac 3be00000386003e8 -7c0004ac4bfff7f1 +7c0004ac4bfff799 386003e87fe0f72a -4bfff7dd3f60c800 +4bfff7853f60c800 7c0004ac7b7b0020 3f40c8007fe0df2a 7b5a0020635a0004 @@ -1087,45 +1100,84 @@ f821ff51480016e5 7af7002062f71000 7d20bf2a7c0004ac 6063c35038600000 -7c0004ac4bfff771 +7c0004ac4bfff719 7c0004ac7fe0ef2a 3920000e7fe0f72a 7d20bf2a7c0004ac -4bfff74d38602710 +4bfff6f538602710 7c0004ac39200200 7c0004ac7d20ef2a 3860000f7f00f72a -7c0004ac4bfff485 +7c0004ac4bfff42d 7c0004ac7fe0ef2a 3860000f7f20f72a -392000064bfff46d +392000064bfff415 7d20ef2a7c0004ac 7f80f72a7c0004ac -4bfff4513860000f +4bfff3f93860000f 7c0004ac39200930 7c0004ac7d20ef2a 3860000f7fe0f72a -386000c84bfff435 -392004004bfff6d9 +386000c84bfff3dd +392004004bfff681 7d20ef2a7c0004ac 7fe0f72a7c0004ac -4bfff41138600003 -4bfff6b5386000c8 -4bfffb954bfffddd +4bfff3b938600003 +4bfff65d386000c8 +4bfffb994bfffddd 3c6040003c800020 -6000000048000779 +60000000480006e9 408200242c030000 7c0004ac7c691b78 7c0004ac7f80d72a 382100b07f80df2a -480015487d2307b4 +4800153c7d2307b4 38a0000038c00000 3c6040003c800020 -600000004800055d +6000000048000471 7f80df2a7c0004ac 4bffffd039200001 0100000000000000 -2c24000000000980 +3c4c000100000980 +7c0802a638429a5c +f8010010282303ff +41810028f821ffa1 +3c62ffff7c641b78 +4bffec7938637ea8 +3821006060000000 +7c0803a6e8010010 +3d2000104e800020 +408000287c234840 +39200066786505a0 +7864b2827ca54b92 +38637eb03c62ffff +600000004bffec3d +3d2040004bffffc4 +7c23484078646502 +7863b28240800024 +7d29185078895564 +3c62ffff38a00066 +38637ec07ca92b92 +786317824bffffc8 +7865556439200066 +7c641b787ca52050 +7ca54b923c62ffff +4bffffa438637ed0 +0100000000000000 +3c4c000100000080 +7c0802a63842998c +7cc42a14fbe1fff8 +7c8523787cbf2b78 +3c62ffff7c641b78 +38637ee078c60020 +f821ff91f8010010 +600000004bffeb9d +4bfffef97fe3fb78 +38637ef03c62ffff +600000004bffeb85 +4800141038210070 +0100000000000000 +2c24000000000180 7869f84241820024 7c6300d0786307e0 5463028054630794 @@ -1133,54 +1185,15 @@ f821ff51480016e5 386300014e800020 000000004bfffff4 0000000000000000 -38429a883c4c0001 -f80100107c0802a6 -282303fff821ffa1 -7c641b7841810028 -38637e583c62ffff -600000004bffeca5 -e801001038210060 -4e8000207c0803a6 -7c2348403d200010 -786505a040800028 -7ca54b9239200066 -3c62ffff7864b282 -4bffec6938637e60 -4bffffc460000000 -786465023d204000 -408000247c234840 -788955647863b282 -38a000667d291850 -7ca92b923c62ffff -4bffffc838637e70 -3920006678631782 -7ca5205078655564 -3c62ffff7c641b78 -38637e807ca54b92 -000000004bffffa4 -0000008001000000 -384299b83c4c0001 -fbe1fff87c0802a6 -f821ff91f8010010 -7cbf2b787cc42a14 -7c641b787c852378 -78c600203c62ffff -4bffebc938637e90 -7fe3fb7860000000 -3c62ffff4bfffef9 -4bffebb138637ea0 -3821007060000000 -00000000480013e0 -0000018001000000 -384299503c4c0001 -480013557c0802a6 -3d40aaaaf821ffc1 -7c7f1b7878840764 +384298e83c4c0001 +788407647c0802a6 +7c691b783d40aaaa +48001339614aaaaa 7884f0827f832214 -7c7d1b7839040001 -7c691b787d0903a6 -42000080614aaaaa -600000004bffebc9 +39040001f821ffc1 +7d0903a67c7f1b78 +420000807c7d1b78 +600000004bffeb59 3d00aaaa7d3fe050 7feafb787929f082 3bc0000039290001 @@ -1190,12 +1203,12 @@ f821ff91f8010010 392900017feafb78 7d2903a661085555 7fffe05042000058 -600000004bffeb79 +600000004bffeb09 3d2055557bfff082 61295555395f0001 420000407d4903a6 7fc307b438210040 -91490000480012f8 +91490000480012ec 4bffff7839290004 7c094000812a0000 3bde000141820008 @@ -1206,608 +1219,607 @@ f821ff91f8010010 3bbd00043bde0001 000000004bffffac 0000048001000000 -384298403c4c0001 -480012497c0802a6 -39200001f821ffc1 -2fa50000789ff022 -7c7e1b78391f0001 -394000007d0903a6 -420000387cbd2b78 -4bffeabd7bff0020 -391f000160000000 -7d0903a62fbd0000 -3860000039200001 -4200004039400000 -7c6307b438210040 -419e00284800123c -792907e07928fc62 -7129d0087d2900d0 -792813a87d294278 -394a00017d5e412e -392900014bffffa4 -419e00384bffffec -792907e07928fc62 -7129d0087d2900d0 -792813a87d294278 -5508043e7d1e402e -418200087c085000 -394a000138630001 -392900014bffff8c -000000004bffffdc -0000038001000000 -384297583c4c0001 -7d8000267c0802a6 -918100084800113d -2e260000f821ff41 +384297d83c4c0001 +7c0802a67d600026 +2e26000091610008 +f821ff4148001211 7cba2b787c7f1b78 789cf0827cde3378 -81260004419200c4 +81260004419200c0 2c09000082e60000 -3ec2ffff40820048 +3f02ffff40820044 3b6000013ba00000 -7bf800207ff9fb78 -7c3ce8403ad67ea8 -3c62ffff4082009c -38637ea87b851028 -4bfffd357b240020 -38637b283c62ffff -600000004bffe91d -600000004bffe989 -7ffbfb782d970000 -3ac000013ba00000 -7bf500203b200000 -7fb8eb787c3de040 -2c17000040820084 -3c62ffff41820028 -38637eb87b051028 -4bfffcdd7be40020 -38637b283c62ffff -600000004bffe8c5 -7f2307b4382100c0 -7d81812081810008 -3ae00001480010ac -7b6300204bffff4c -4bfffb917f44d378 -7c7f492e7ba91764 -7c7b1b7873a97fff -7ba5102840820014 -7ec3b3787f04c378 -3bbd00014bfffc81 -7f44d3784bffff2c -4bfffb597ac30020 -7c651b78809b0000 -7c0320407c761b78 -3b3900014182003c -e99e000841920034 -418200282c2c0000 -e8de00107d8903a6 -f841001878840020 -4e8004217b630020 -2c030000e8410018 -73097fff4082ff58 -418e00184082001c +3b187ef87bf90020 +4082009c7c3ce840 +7b8510283c62ffff +7be4002038637ef8 +3c62ffff4bfffde5 +4bffe9a138637b88 +4bffea0560000000 +2d97000060000000 +3ba000007ffbfb78 +3b2000003ac00001 +7c3de0407bf50020 +408200847fb8eb78 +418200282c170000 7b0510283c62ffff -38637eb87ea4ab78 -3bbd00014bfffc01 -4bfffef43b7b0004 -0300000000000000 -3c4c000100000b80 -7c0802a638429594 -918100087d908026 -f821ff7148000f89 -7c7e1b787cdd3378 -7c9f23782e3d0000 -3c62ffff7c641b78 -7cbc2b7838637ec8 -600000004bffe79d -38637ee03c62ffff -3c62ffff4092000c -4bffe78138637ef0 -7fe3fb7860000000 -4bfffaad7bfde8c2 -38637f003c62ffff -600000004bffe765 -408200742c3c0000 -38fd00017d5602a6 -7ce903a67fc9f378 -420000843900ffff -3f8005f57d3602a6 -639ce100794a0020 -7f9fe1d279290020 -3c62ffff7d295050 -7f9c4b9238637f08 -600000004bffe715 -4bfffa457f83e378 +7be4002038637f08 +3c62ffff4bfffd8d +4bffe94938637b88 +382100c060000000 +816100087f2307b4 +4800118c7d618120 +4bffff503ae00001 +7f44d3787b630020 +7ba917644bfffdb5 +73a97fff7c7f492e +408200147c7b1b78 +7f24cb787ba51028 +4bfffd317f03c378 +4bffff2c3bbd0001 +7ac300207f44d378 +809b00004bfffd7d +7c761b787c651b78 +4182003c7c032040 +419200343b390001 +2c2c0000e99e0008 +7d8903a641820028 +78840020e8de0010 +7b630020f8410018 +e84100184e800421 +4082ff582c030000 +4082001c73187fff +3c62ffff418e0018 +7ea4ab787ba51028 +4bfffcb138637f08 +3b7b00043bbd0001 +000000004bfffef4 +00000b8003000000 +384296183c4c0001 +7c0802a67d708026 +4800106991610008 +7cdb3378f821ff71 +2e3b00003ba4ffe0 +7c9e23787c7f1b78 +7c641b787fa3ea14 38637f183c62ffff -600000004bffe6fd -38637b283c62ffff -600000004bffe6ed -600000004bffe759 -409200287f7602a6 -7d2903a6393d0001 -e93e000042400030 -4bfffff43bde0008 -39290008f9090000 -7fbaeb784bffff74 -3b80000039400000 -4082006c7c1ae000 +4bffe8197cbc2b78 +3c62ffff60000000 +4092000c38637f30 +38637f403c62ffff +600000004bffe7fd +4bfffb597fc3f378 +38637f503c62ffff +600000004bffe7e5 +408200a82c3c0000 +38df00207cf602a6 +7c26284038bd0020 +7929d9427d3fe850 +3900ffff7feafb78 +4081000839290001 +2c29000139200001 +3929fffff90a0000 +f90a0010f90a0008 +394a0020f90a0018 +7d3602a64082ffe4 +78ea00203f8005f5 +79290020639ce100 +7d2950507f9ee1d2 +38637f583c62ffff +4bffe7617f9c4b92 +7f83e37860000000 +3c62ffff4bfffabd +4bffe74938637f68 +3c62ffff60000000 +4bffe73938637b88 +4bffe79d60000000 +7f9602a660000000 +7d3fe85040920048 +3bbd0020395f0020 +7c2ae8407929d942 +4081000839290001 +2c29000139200001 +3929ffffe95f0000 +e95f0010e95f0008 +3bff0020e95f0018 +4800001c4082ffe4 +394000007bdbe8c2 +3ba000007f7adb78 +4082006c7c1dd000 3d4005f57d3602a6 -614ae1007b7b0020 -7fff51d279290020 -3c62ffff7d29d850 -7fff4b9238637f20 +614ae1007b9c0020 +7fde51d279290020 +3c62ffff7d29e050 +7fde4b9238637f70 +600000004bffe69d +4bfff9f97fc3f378 +38637f683c62ffff +600000004bffe685 +38637b883c62ffff 600000004bffe675 -4bfff9a57fe3fb78 -38637f183c62ffff -600000004bffe65d -38637b283c62ffff -600000004bffe64d -8181000838210090 -48000e4c7d908120 -794300207f8407b4 -3b9c00014bfff925 -7c6a1b787d23eb96 -7d2918507d29e9d6 -7d3e482a79291f48 +8161000838210090 +48000ed07d708120 +794300207fa407b4 +3bbd00014bfffaed +7c6a1b787d23db96 +7d2918507d29d9d6 +7d3f482a79291f48 000000004bffff68 0000068003000000 -384293b83c4c0001 -48000db57c0802a6 -3b400200f821ff71 -7c7e1b7828240200 -418100087c9f2378 -283f80007c9a2378 -4081000c7ffbfb78 -577b04203b60ffff -7fc4f3783c62ffff -4bffe5b138637f30 -7fe3fb7860000000 -3c62ffff4bfff8e1 -4bffe59938637f00 -7f44d37860000000 -4bfff9fd7fc3f378 -7f64db7838a00000 -7fc3f3787c7c1b78 -38c000004bfffaf9 +384293e03c4c0001 +282402007c0802a6 +f821ff8148000e3d +7c9f23787c7e1b78 +418100083b800200 +3c62ffff7c9c2378 +38637f807fc4f378 +600000004bffe5ed +4bfff9497fe3fb78 +38637f503c62ffff +600000004bffe5d5 +7fc3f3787f84e378 +38c000004bfffaa1 7fe4fb7838a00001 7fc3f3787c7d1b78 -7d3de2144bfffbc9 -7c7e1b787d291a14 -4182006c2c090000 -7b45f8823c62ffff -38637f407f84e378 -600000004bffe535 -7b65f0823c62ffff -38637f587fa4eb78 -600000004bffe51d +7d23ea144bfffb99 +2c0900007c7e1b78 +3c62ffff41820080 +7fa4eb787b85f882 +4bffe58938637f90 +283f800060000000 +4081000c7fe5fb78 +54a5042038a0ffff +78a5f0823c62ffff +38637fa838800000 +600000004bffe55d 7be5f0823c62ffff -38637f707fc4f378 -600000004bffe505 -38637f883c62ffff -600000004bffe4f5 -3821009038600000 -48000cf47c6307b4 -38637f983c62ffff -600000004bffe4d5 +38637fc07fc4f378 +600000004bffe545 +38637fd83c62ffff +600000004bffe535 +3821008038600000 +48000d987c6307b4 +38637fe83c62ffff +600000004bffe515 4bffffe038600001 0100000000000000 -3c4c000100000680 -6000000038429274 -6000000089228018 -2c09000039428010 -e92a00004182002c +3c4c000100000480 +60000000384292b4 +6000000089228068 +2c09000039428060 +e92a000041820030 7c0004ac39290014 712900207d204eaa -e92a00004182ffec -7c604faa7c0004ac -e92a00004e800020 -7c0004ac39290010 -712900087d204eea -5469063e4082ffec -7c0004ace94a0000 +600000004182ffec +7c0004ace9228060 +4e8000207c604faa +39290010e92a0000 +7d204eea7c0004ac +4082ffec71290008 +600000005469063e +7c0004ace9428060 4e8000207d2057ea 0000000000000000 3c4c000100000000 -7c0802a6384291f4 -fbe1fff8fbc1fff0 -f821ffd1f8010010 -8fdf00013be3ffff +7c0802a63842922c +fbc1fff0fbe1fff8 +f80100103be3ffff +8fdf0001f821ffd1 408200102c1e0000 3860000038210030 -2c1e000a48000c3c +2c1e000a48000cd0 3860000d4082000c -7fc307b44bffff45 -4bffffd04bffff3d +7fc307b44bffff3d +4bffffd04bffff35 0100000000000000 3c4c000100000280 -3d40c00038429194 -794a0020614a0020 -7d4056ea7c0004ac -794a06003d20c000 -7929002061290008 +3d20c000384291cc +7929002061290020 7d204eea7c0004ac -4182001871290020 -612900403d20c000 -7c0004ac79290020 -7929f8047d204eea -79290fc33d00c000 -7908002061082000 -f902801060000000 -610820003d00001c -418200847d4a4392 +792906003d40c000 +794a0020614a0008 +7d4056ea7c0004ac +3d40c000714a0020 +794a0020614a2000 +6000000040820040 +39400000f9428060 +9942806860000000 +614a20003d40001c +3d40c0007d295392 +794a0020614a2018 +7c0004ac3929ffff +4e8000207d2057ea +610800403d00c000 +7c0004ac79080020 +790807e37d0046ea +f942806060000000 +614a20003d40001c +4182ffa07d495392 3920000160000000 -3d00c00099228018 +3d00c00099228068 3920ff806108200c 7c0004ac79080020 -e92280107d2047aa +e92280607d2047aa 7d404faa7c0004ac -794ac202e9228010 +794ac202e9228060 7c0004ac39290004 -e92280107d404faa +e92280607d404faa 3929000c39400003 7d404faa7c0004ac -39290010e9228010 +39290010e9228060 7d404faa7c0004ac -39400007e9228010 +39400007e9228060 7c0004ac39290008 4e8000207d404faa -394affff60000000 -3d20c00099228018 -7929002061292018 -7d404fea7c0004ac -000000004e800020 0000000000000000 -3940000078a9e8c2 -7d2903a639290001 -78a9072442000028 -7d434a147ca92850 -7c844a1439050001 -392000007d0903a6 -4e80002042000018 -7d23512a7d24502a -4bffffcc394a0008 -7d0a49ae7d0448ae -4bffffdc39290001 -0000000000000000 -7c691b7800000000 -7d4918ae38600000 -4d8200202c0a0000 -4bfffff038630001 -0000000000000000 -2c24000000000000 -3881fff040820008 -f864000028050024 -4d81002038600000 -6108ffff3d00fffe -6108d9ff790883e4 -89490000e9240000 -40810028280a0020 -4182003c2c250000 -418200382c050010 -4800008838600000 -f924000039290001 -7d0a56344bffffd0 -4182ffec714a0001 -4082ffdc2c250000 -4bffffd438a0000a -2c0a003038a0000a -894900014082ffc8 -4082ffbc2c0a0078 -38a0001039290002 -4bffffacf9240000 -54e7063e38eaffd0 -4181003c28070009 -7d2a07343929ffd0 -4c8000207c0a2800 -7c6519d239080001 -f90400007d290734 -e90400007c691a14 -714900ff89480000 -4e8000204082ffc0 -54e7063e38eaff9f -4181000c28070019 -4bffffb83929ffa9 -554a063e394affbf -4d810020280a0019 -4bffffa03929ffc9 -0000000000000000 -3923ff9f00000000 -4181000828090019 -7c6307b43863ffe0 -000000004e800020 +78a9e8c200000000 +3929000139400000 +420000287d2903a6 +78a5076078a90724 +7d434a1439050001 +7c844a147d0903a6 +4200001839200000 +7d24502a4e800020 +394a00087d23512a +7d0448ae4bffffcc +392900017d0a49ae +000000004bffffdc 0000000000000000 -38428e903c4c0001 -480008897c0802a6 -7c7e1b78f821ffa1 -7ca32b787c9c2378 -38a0000a38800000 -eb3e00007cfd3b78 +386000007c691b78 +2c0a00007d4918ae +386300014d820020 +000000004bfffff0 +0000000000000000 +408200082c240000 +280500243881fff0 +38600000f8640000 +3d00fffe4d810020 +790883e46108ffff +e92400006108d9ff +280a002089490000 +2c25000040810040 +2c05001041820054 +2c0a003040820064 +894900014082006c +408200602c0a0078 +f924000039290002 +3929000148000054 +4bffffb8f9240000 +714a00017d0a5634 +2c2500004182ffec +38a0000a4082002c +2c0a00304800001c +4082001038a0000a +2c0a007889490001 +386000004182ffb8 +2c05001048000048 +38a000104082fff4 +38eaffd04bffffec +2807000954e7063e +3929ffd04181003c +7c0a28007d2a0734 +390800014c800020 +7d2907347c6519d2 +7c691a14f9040000 +89480000e9040000 +4082ffc0714900ff +38eaff9f4e800020 +2807001954e7063e +3929ffa94181000c +394affbf4bffffb8 +280a0019554a063e +3929ffc94d810020 +000000004bffffa0 +0000000000000000 +280900193923ff9f +3863ffe041810008 +4e8000207c6307b4 +0000000000000000 +3c4c000100000000 +7c0802a638428e94 +f821ffa1480008e9 +7cfd3b787c7e1b78 +7c9c23787ca32b78 +3880000038a0000a +7cdf3378eb3e0000 7d3a4b787d1b4378 -4bfffe797cdf3378 -2b9d001060000000 -7c681b7839200000 -408200242c3f0000 -408200082c090000 -7d29d21439200001 -418100547c034800 -4800087038210060 +600000004bfffe59 +394000002b9d0010 +4082005c2c3f0000 +408200082c0a0000 +7d4ad21439400001 +4081003c7c035000 +7d2948f87d235050 +3929000179290020 +e93e00007d2903a6 +7c2ae0407d594850 +9b69000040800018 +39290001e93e0000 +4200ffe0f93e0000 +4800089c38210060 7bffe102409e0010 -4bffffcc39290001 +4bffff94394a0001 4bfffff47fffeb92 -2c2a00019b690000 -e93e0000394affff -f93e000039290001 -e93e00004182ffc8 -7c28e0407d194850 -4bffffb44180ffd8 -2c0900007d294050 -794a00203949ffff -40810010394a0001 -7c0940003d008000 -394000014082ffcc -000000004bffffc4 -0000078001000000 -38428d983c4c0001 -480007997c0802a6 -3bc00000f821ffb1 -7c9c23787c7f1b78 -7cbd2b78eb630000 -4bfffd697fa3eb78 -7c3e184060000000 -e93f000040800014 -7c2ae0407d5b4850 -382100504180000c -7d5df0ae480007a4 -994900003bde0001 -39290001e93f0000 -4bffffbcf93f0000 0100000000000000 -3c4c000100000580 -7c0802a638428d1c -918100087d908026 -f821ffa148000711 -2b8600103be00000 -3d22ffff7c7c1b78 -7cbe2b78e9297fa8 -7caa2b787cdd3378 -3d22fffff9210020 -f9210028e9297fb0 -408200342c2a0000 -408200082c1f0000 -7fff07b43be00001 -7c3f20402e270000 -408100303b7fffff -8181000838210060 -480006f47d908120 -794ae102409e0010 -4bffffbc3bff0001 -4bfffff47d4aeb92 -7f5eeb927f5ed378 -7d29f0507d3ae9d2 -886900207d214a14 -5463063e41920010 -600000004bfffdad -e93c00007c3df040 -3b7bffff7c69d9ae -e93c00004081ffc8 -f93c00007d29fa14 -000000004bffff90 -0000068003000000 -38428c283c4c0001 -480005f57c0802a6 -3be00000f821fee1 -f86100607c691b79 -41820060f9210068 -418200582c240000 -3e62ffff39210040 -3ae4ffff3e22ffff -3b010020f9210070 -3a4000203ac00000 -3ba100603a737fc8 -e94100683a317fc0 -ebc1006089250000 -712a00ff7feaf050 -7c3fb8404182000c -3920000041800018 -38210120993e0000 -480005c47fe307b4 -390500012c0a0025 -38e0000040820548 -e901007089250000 -7cea3b787cb02b78 -7d2741ae8c650001 -5469063e39070001 -418200b02c090064 -4181002c28090078 -4181002c28090068 -418200982c090058 -4181008828090058 -418200882c090025 -418200802c09004f -4bffffa438e70001 -548b063e3883ff97 -4181ffec280b000f -396b75043d62ffff -7c8b22aa788415a8 -7c8903a67c845a14 -000000484e800420 +3c4c000100000780 +7c0802a638428dc4 +f821ffb148000821 +7c7f1b78eb630000 +7cbd2b787c9c2378 +7fa3eb783bc00000 +600000004bfffd71 +408000147c3e1840 +7d5b4850e93f0000 +4180000c7c2ae040 +4800082c38210050 +3bde00017d5df0ae +e93f000099490000 +f93f000039290001 +000000004bffffbc +0000058001000000 +38428d483c4c0001 +3d22ffff7c0802a6 +2b860010e9297ff8 +7caa2b787d708026 +4800078991610008 +7c7c1b78f821ffa1 +7cdd33787cbe2b78 +f92100203be00000 +e922800060000000 +2c2a0000f9210028 +2c1f000040820034 +3be0000140820008 +2e2700007fff07b4 +3b7fffff7c3f2040 +3821006040810030 +7d70812081610008 +409e00104800077c +3bff0001794ae102 +7d4aeb924bffffbc +7f5ed3784bfffff4 +7d3ae9d27f5eeb92 +7d214a147d29f050 +4192001088690020 +4bfffdd55463063e +7c3df04060000000 +7c69d9aee93c0000 +4081ffc83b7bffff +7d29fa14e93c0000 +4bffff90f93c0000 +0300000000000000 +3c4c000100000680 +7c0802a638428c54 +f821ff014800067d +f86100607c7d1b79 +4182001438600000 +3bc4ffff2c240000 +4082013c3b610040 +7c6307b438210100 +2c0a00254800069c +4082062039050001 +7cbc2b7838e00000 +7ce93b7889450000 +889c000138a50001 +394700017d47d9ae +2c0800645488063e +28080078418201cc +280800684181002c +2c0800584181002c +2808005841820130 +2c08002541810088 +2c08004f418200c0 +38e7000141820118 +3904ff974bffffa4 +280b000f550b063e +3d62ffff4181ffec +790815a8396b7488 +7d085a147d0b42aa +4e8004207d0903a6 +ffffffcc00000164 ffffffccffffffcc ffffffccffffffcc -00000048ffffffcc -ffffffcc00000048 -00000048ffffffcc -00000048ffffffcc +000000cc0000006c ffffffccffffffcc -2c09006300000048 -394a00024bffff84 -7d0807b42c090025 -7d1842147d4a07b4 -986800207d585214 -408200189aca0020 -993e0000395e0001 -38b00002f9410060 -892100414bfffeb4 -7fffb850eb860000 -3aa0002039e60008 -3929ffd23b210041 -4082000c712900fd -3b2100423aa00030 -3b4000043a800000 -56b5063e3b600000 -480001687ddc00d0 -38b900012c06004f -38e8ffa8418201dc -2804002254e4063e -3c82ffff418103ac -78e715a838847600 -7ce722147ce43aaa -4e8004207ce903a6 -0000038c00000150 -0000038c0000038c -0000038c0000038c -0000038c0000038c -0000038c0000038c -000002580000038c -0000038c0000008c -0000038c0000038c -0000008c00000370 -0000038c0000038c -0000038c0000035c -000001ac0000038c -0000038c000001fc -000002980000038c -0000008c0000038c -0000038c0000038c -0000038c00000154 -2c06007500000368 -7d4152147b6a0020 -7f8ae3789aca0020 -5747183841820038 -38e7ffff39000001 -7f8840397d083836 -3940002d41820020 -9949ffff39290001 -7b491e68f9210060 -7dca50387d53482a -7d465378e8810060 -f941007838e0000a -392000007f05c378 -7fa3eb787ea8ab78 -7c84f8507c9e2050 -e88100604bfffa79 -7a8707e0e9410078 -7c9e205038c0000a -7c84f8507d455378 -4bfffbc97fa3eb78 -891900003b390001 -710600ffe9210060 -7d5e485041820010 -4181fe847c3f5040 -4bfffe307de67b78 -7b6900203a800001 -7d214a1438e00010 -7ea8ab787c8af850 -9ac900207f05c378 -7fa3eb787b491e68 -392000007d73482a -7d665b787f8b5838 -4bfff9edf9610078 -7a8707e0e8810060 -7c9e205038c00010 -7d655b78e9610078 -7b6900204bffff74 -7d214a1438e00008 -7ea8ab787c8af850 -9ac900207f05c378 -7fa3eb787b491e68 -392000007d73482a -7d665b787f8b5838 -4bfff995f9610078 -7a8707e0e8810060 -7c9e205038c00008 -7b6900204bffffa8 +ffffffcc000000b8 +ffffffcc00000048 +00000150ffffffcc +4bffff842c080063 +7d4a07b439010020 +390000757d485214 +990a002039290002 +7d2907b439410020 +3901002048000094 +7d4852147d4a07b4 +4bffffdc3900006f +991f0000393f0001 +38bc0002f9210060 +ebe1006089250000 +7c7df850712a00ff +7c23f0404182000c +392000004180febc +4bfffea4993f0000 +7d4a07b439010020 +390000737d485214 +390100204bffff90 +7d4852147d4a07b4 +4bffff7c39000070 +7d4a07b438e10020 +392900027d475214 +990a00207d2907b4 +7d2a4a147cea3b78 +7f23f05039400000 +994900203a460008 +3ac100423a600030 +3929ffd289210041 +eb060000712900fd +5669063e40820458 +3a80000060000000 +f92100683aa00004 +3a2000003ae00000 +480001a43a028018 +7d4a07b439010020 +390000787d485214 +390100204bfffef8 +7d4852147d4a07b4 +7d2907b439290002 +7d0a4378988a0020 +2c08004f4bffff7c +418201dc38f60001 +5546063e3949ffa8 +418103b828060022 +38c676443cc2ffff +7d4652aa794a15a8 +7d4903a67d4a3214 +000001584e800420 +0000039800000398 +0000039800000398 +0000039800000398 +0000039800000398 +0000039800000398 +0000008c00000268 +0000039800000398 +0000037c00000398 +000003980000008c +0000036400000398 +0000039800000398 +00000204000001ac +0000039800000398 +00000398000002ac +000003980000008c +0000015c00000398 +000003bc00000398 +7ae900202c080075 +394000007d214a14 +994900207f1ac378 +56aa183841820044 +394affff39200001 +7f0948397d295036 +3920002d4182002c +3942801860000000 +992e00007f5800d0 +f9210060392e0001 +7d2a482a7aa91e68 +e88100607f5a4838 +7f46d37838e0000a +3920000038a10020 +386100605668063e +7c84c8507c9f2050 +e88100604bfffa25 +38c0000a7a8707e0 +7c9f20507f45d378 +386100607c84c850 +3ad600014bfffb51 +e9c1006089360000 +41820010712800ff +7c39d0407f5f7050 +7e4693784181fe7c +3a8000014bfffd7c +e90100687ae90020 7d214a1438e00010 -7c8af8507f86e378 -9ac9002039000020 -392000027f05c378 -4bfff9557fa3eb78 -7e258b78e8810060 -7c9e20507fa3eb78 -4bfffa357c84f850 +38a100207c9ac850 +9a29002038610060 +7dd0482a7aa91e68 +7f0e703839200000 +4bfff9a17dc67378 7a8707e0e8810060 -7f85e37838c00010 -4bfffec87c9e2050 -390000207b690020 -38e0000a7d214a14 -7f05c37838c00001 -7c8af8509ac90020 -7fa3eb7839200000 -e92100604bfff8f9 -392900019b890000 -4bfffe94f9210060 -38a0000a7b680020 -f9410080f9210088 -388000007d014214 -9ac800207f03c378 -600000004bfff76d -7f83e378f8610078 -600000004bfff735 -e9410080e9010078 -7c281840e9210088 -e88100604181003c -7fa3eb787f85e378 -7c84f8507c9e2050 -4bfffe2c4bfff979 -392900019a490000 -7c29184039000001 -f921006040820034 -7ce9f0504bffffcc -7ce7fa147c634050 -2c2700007d4af850 -390000007c691a14 -40820008394a0001 -2c2a000139400001 -4082ffb4394affff -4082ffc071080001 -893900014bffff8c -4182004c2c09006c -4bfffdbc3b400008 -3b40000289390001 -4082fdac2c090068 -3b4000017cb92b78 -3928ffd04bfffda0 -280900095529063e -7b6900204181fd90 -7d214a143b7b0001 -4bfffd7c99090020 -4bffffb47cb92b78 -3bde0001993e0000 -fbc100607d054378 -000000004bfffa6c -0000128001000000 -f9e1ff78f9c1ff70 -fa21ff88fa01ff80 -fa61ff98fa41ff90 -faa1ffa8fa81ffa0 -fae1ffb8fac1ffb0 -fb21ffc8fb01ffc0 -fb61ffd8fb41ffd0 -fba1ffe8fb81ffe0 -fbe1fff8fbc1fff0 -4e800020f8010010 -e9e1ff78e9c1ff70 -ea21ff88ea01ff80 -ea61ff98ea41ff90 -eaa1ffa8ea81ffa0 -eae1ffb8eac1ffb0 -eb21ffc8eb01ffc0 -eb61ffd8eb41ffd0 -e8010010eb81ffe0 -7c0803a6eba1ffe8 -ebe1fff8ebc1fff0 -ebc1fff04e800020 -ebe1fff8e8010010 -4e8000207c0803a6 +7c9f205038c00010 +4bffff7c7dc57378 +394000007ae90020 +38e000087d214a14 +5668063e7c9ac850 +6000000099490020 +394280187aa91e68 +3861006038a10020 +392000007dca482a +7dc673787f0e7038 +e88100604bfff945 +38c000087a8707e0 +4bffffa47c9f2050 +394000007ae90020 +38e000107d214a14 +390000207f06c378 +38a1002099490020 +7c9ac85039200002 +4bfff90138610060 +60000000e8810060 +38a2801038610060 +7c84c8507c9f2050 +e88100604bfff9b5 +38c000107a8707e0 +7c9f20507f05c378 +7ae900204bfffec0 +7d214a1439400000 +38e0000a39000020 +9949002038c00001 +3920000038a10020 +386100607c9ac850 +e92100604bfff89d +392900019b090000 +4bfffe88f9210060 +394000007ae90020 +38a0000a7d214a14 +3861002038800000 +4bfff6f599490020 +7c6f1b7860000000 +4bfff6bd7f03c378 +7c2f184060000000 +7d0ef85040810064 +7d08ca147f5ac850 +2c2800007c637850 +394000007c6e1a14 +3b5a000138e00020 +3b40000140820008 +3b5affff2c3a0001 +714a000140820014 +f9c1006041820024 +98ee00004800001c +3940000139ce0001 +4082ffd47c237040 +e8810060f8610060 +386100607f05c378 +7c84c8507c9f2050 +4bfffdd04bfff8a5 +3aa0000889360001 +4082fdc02c09006c +4bfffdb87cf63b78 +3aa0000289360001 +4082fda82c090068 +3aa000017cf63b78 +3949ffd04bfffd9c +280a0009554a063e +7aea00204181fd8c +7d4152143af70001 +4bfffd78992a0020 +4bfffd703aa00008 +3ac100413a600020 +993f00004bfffba4 +7d0543783bff0001 +4bfffaf4fbe10060 +0100000000000000 +f9c1ff7000001280 +fa01ff80f9e1ff78 +fa41ff90fa21ff88 +fa81ffa0fa61ff98 +fac1ffb0faa1ffa8 +fb01ffc0fae1ffb8 +fb41ffd0fb21ffc8 +fb81ffe0fb61ffd8 +fbc1fff0fba1ffe8 +f8010010fbe1fff8 +e9c1ff704e800020 +ea01ff80e9e1ff78 +ea41ff90ea21ff88 +ea81ffa0ea61ff98 +eac1ffb0eaa1ffa8 +eb01ffc0eae1ffb8 +eb41ffd0eb21ffc8 +eb81ffe0eb61ffd8 +eba1ffe8e8010010 +ebc1fff07c0803a6 +4e800020ebe1fff8 +e8010010ebc1fff0 +7c0803a6ebe1fff8 +600000004e800020 6d6f636c65570a0a 63694d206f742065 2120747461776f72 @@ -1858,17 +1870,15 @@ ebe1fff8e8010010 203a46464f204853 7479622078257830 00000000000a7365 -2d2d2d2d2d2d2d2d -0000000000000000 -4d4152446574694c -6620746c69756220 -6567694d206d6f72 -646e61207325206e -2520586574694c20 -0000000000000a73 20676e69746f6f42 415242206d6f7266 0000000a2e2e2e4d +3135636632333936 +0000000000000000 +4d4152446574694c +6620746c69756220 +6574694c206d6f72 +0000000a73252058 6620676e69797254 0a2e2e2e6873616c 0000000000000000 diff --git a/litedram/generated/acorn-cle-215/litedram_core.v b/litedram/generated/acorn-cle-215/litedram_core.v index da66195..bea0247 100644 --- a/litedram/generated/acorn-cle-215/litedram_core.v +++ b/litedram/generated/acorn-cle-215/litedram_core.v @@ -8,8 +8,8 @@ // // Filename : litedram_core.v // Device : -// LiteX sha1 : -------- -// Date : 2022-01-14 08:32:13 +// LiteX sha1 : 6932fc51 +// Date : 2022-08-04 21:07:00 //------------------------------------------------------------------------------ @@ -69,4079 +69,4498 @@ module litedram_core ( // Signals //------------------------------------------------------------------------------ -reg main_rst = 1'd0; +reg rst_1 = 1'd0; wire sys_clk; wire sys_rst; wire sys4x_clk; wire sys4x_dqs_clk; wire iodelay_clk; wire iodelay_rst; -wire main_reset; -reg main_power_down = 1'd0; -wire main_locked; -wire main_clkin; -wire main_clkout0; -wire main_clkout_buf0; -wire main_clkout1; -wire main_clkout_buf1; -wire main_clkout2; -wire main_clkout_buf2; -wire main_clkout3; -wire main_clkout_buf3; -reg [3:0] main_reset_counter = 4'd15; -reg main_ic_reset = 1'd1; -reg main_a7ddrphy_rst_storage = 1'd0; -reg main_a7ddrphy_rst_re = 1'd0; -reg [4:0] main_a7ddrphy_half_sys8x_taps_storage = 5'd8; -reg main_a7ddrphy_half_sys8x_taps_re = 1'd0; -reg main_a7ddrphy_wlevel_en_storage = 1'd0; -reg main_a7ddrphy_wlevel_en_re = 1'd0; -reg main_a7ddrphy_wlevel_strobe_re = 1'd0; -wire main_a7ddrphy_wlevel_strobe_r; -reg main_a7ddrphy_wlevel_strobe_we = 1'd0; -reg main_a7ddrphy_wlevel_strobe_w = 1'd0; -reg [1:0] main_a7ddrphy_dly_sel_storage = 2'd0; -reg main_a7ddrphy_dly_sel_re = 1'd0; -reg main_a7ddrphy_rdly_dq_rst_re = 1'd0; -wire main_a7ddrphy_rdly_dq_rst_r; -reg main_a7ddrphy_rdly_dq_rst_we = 1'd0; -reg main_a7ddrphy_rdly_dq_rst_w = 1'd0; -reg main_a7ddrphy_rdly_dq_inc_re = 1'd0; -wire main_a7ddrphy_rdly_dq_inc_r; -reg main_a7ddrphy_rdly_dq_inc_we = 1'd0; -reg main_a7ddrphy_rdly_dq_inc_w = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_rst_re = 1'd0; -wire main_a7ddrphy_rdly_dq_bitslip_rst_r; -reg main_a7ddrphy_rdly_dq_bitslip_rst_we = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_re = 1'd0; -wire main_a7ddrphy_rdly_dq_bitslip_r; -reg main_a7ddrphy_rdly_dq_bitslip_we = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_w = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_rst_re = 1'd0; -wire main_a7ddrphy_wdly_dq_bitslip_rst_r; -reg main_a7ddrphy_wdly_dq_bitslip_rst_we = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_rst_w = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_re = 1'd0; -wire main_a7ddrphy_wdly_dq_bitslip_r; -reg main_a7ddrphy_wdly_dq_bitslip_we = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_w = 1'd0; -reg [1:0] main_a7ddrphy_rdphase_storage = 2'd2; -reg main_a7ddrphy_rdphase_re = 1'd0; -reg [1:0] main_a7ddrphy_wrphase_storage = 2'd3; -reg main_a7ddrphy_wrphase_re = 1'd0; -wire [15:0] main_a7ddrphy_dfi_p0_address; -wire [2:0] main_a7ddrphy_dfi_p0_bank; -wire main_a7ddrphy_dfi_p0_cas_n; -wire main_a7ddrphy_dfi_p0_cs_n; -wire main_a7ddrphy_dfi_p0_ras_n; -wire main_a7ddrphy_dfi_p0_we_n; -wire main_a7ddrphy_dfi_p0_cke; -wire main_a7ddrphy_dfi_p0_odt; -wire main_a7ddrphy_dfi_p0_reset_n; -wire main_a7ddrphy_dfi_p0_act_n; -wire [31:0] main_a7ddrphy_dfi_p0_wrdata; -wire main_a7ddrphy_dfi_p0_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p0_wrdata_mask; -wire main_a7ddrphy_dfi_p0_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p0_rddata = 32'd0; -wire main_a7ddrphy_dfi_p0_rddata_valid; -wire [15:0] main_a7ddrphy_dfi_p1_address; -wire [2:0] main_a7ddrphy_dfi_p1_bank; -wire main_a7ddrphy_dfi_p1_cas_n; -wire main_a7ddrphy_dfi_p1_cs_n; -wire main_a7ddrphy_dfi_p1_ras_n; -wire main_a7ddrphy_dfi_p1_we_n; -wire main_a7ddrphy_dfi_p1_cke; -wire main_a7ddrphy_dfi_p1_odt; -wire main_a7ddrphy_dfi_p1_reset_n; -wire main_a7ddrphy_dfi_p1_act_n; -wire [31:0] main_a7ddrphy_dfi_p1_wrdata; -wire main_a7ddrphy_dfi_p1_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p1_wrdata_mask; -wire main_a7ddrphy_dfi_p1_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p1_rddata = 32'd0; -wire main_a7ddrphy_dfi_p1_rddata_valid; -wire [15:0] main_a7ddrphy_dfi_p2_address; -wire [2:0] main_a7ddrphy_dfi_p2_bank; -wire main_a7ddrphy_dfi_p2_cas_n; -wire main_a7ddrphy_dfi_p2_cs_n; -wire main_a7ddrphy_dfi_p2_ras_n; -wire main_a7ddrphy_dfi_p2_we_n; -wire main_a7ddrphy_dfi_p2_cke; -wire main_a7ddrphy_dfi_p2_odt; -wire main_a7ddrphy_dfi_p2_reset_n; -wire main_a7ddrphy_dfi_p2_act_n; -wire [31:0] main_a7ddrphy_dfi_p2_wrdata; -wire main_a7ddrphy_dfi_p2_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p2_wrdata_mask; -wire main_a7ddrphy_dfi_p2_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p2_rddata = 32'd0; -wire main_a7ddrphy_dfi_p2_rddata_valid; -wire [15:0] main_a7ddrphy_dfi_p3_address; -wire [2:0] main_a7ddrphy_dfi_p3_bank; -wire main_a7ddrphy_dfi_p3_cas_n; -wire main_a7ddrphy_dfi_p3_cs_n; -wire main_a7ddrphy_dfi_p3_ras_n; -wire main_a7ddrphy_dfi_p3_we_n; -wire main_a7ddrphy_dfi_p3_cke; -wire main_a7ddrphy_dfi_p3_odt; -wire main_a7ddrphy_dfi_p3_reset_n; -wire main_a7ddrphy_dfi_p3_act_n; -wire [31:0] main_a7ddrphy_dfi_p3_wrdata; -wire main_a7ddrphy_dfi_p3_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p3_wrdata_mask; -wire main_a7ddrphy_dfi_p3_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p3_rddata = 32'd0; -wire main_a7ddrphy_dfi_p3_rddata_valid; -wire main_a7ddrphy_sd_clk_se_nodelay; -reg main_a7ddrphy_dqs_oe = 1'd0; -wire main_a7ddrphy_dqs_preamble; -wire main_a7ddrphy_dqs_postamble; -wire main_a7ddrphy_dqs_oe_delay_tappeddelayline; -reg main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; -reg main_a7ddrphy_dqspattern0 = 1'd0; -reg main_a7ddrphy_dqspattern1 = 1'd0; -reg [7:0] main_a7ddrphy_dqspattern_o0 = 8'd0; -reg [7:0] main_a7ddrphy_dqspattern_o1 = 8'd0; -wire main_a7ddrphy_dqs_o_no_delay0; -wire main_a7ddrphy_dqs_t0; -reg [7:0] main_a7ddrphy_bitslip00 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r0 = 16'd0; -wire main_a7ddrphy0; -wire main_a7ddrphy_dqs_o_no_delay1; -wire main_a7ddrphy_dqs_t1; -reg [7:0] main_a7ddrphy_bitslip10 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r0 = 16'd0; -wire main_a7ddrphy1; -reg [7:0] main_a7ddrphy_bitslip01 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r1 = 16'd0; -reg [7:0] main_a7ddrphy_bitslip11 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r1 = 16'd0; -wire main_a7ddrphy_dq_oe; -wire main_a7ddrphy_dq_oe_delay_tappeddelayline; -reg main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; -wire main_a7ddrphy_dq_o_nodelay0; -wire main_a7ddrphy_dq_i_nodelay0; -wire main_a7ddrphy_dq_i_delayed0; -wire main_a7ddrphy_dq_t0; -reg [7:0] main_a7ddrphy_bitslip02 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value2 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r2 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip03; -reg [7:0] main_a7ddrphy_bitslip04 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value3 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r3 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay1; -wire main_a7ddrphy_dq_i_nodelay1; -wire main_a7ddrphy_dq_i_delayed1; -wire main_a7ddrphy_dq_t1; -reg [7:0] main_a7ddrphy_bitslip12 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value2 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r2 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip13; -reg [7:0] main_a7ddrphy_bitslip14 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value3 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r3 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay2; -wire main_a7ddrphy_dq_i_nodelay2; -wire main_a7ddrphy_dq_i_delayed2; -wire main_a7ddrphy_dq_t2; -reg [7:0] main_a7ddrphy_bitslip20 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip2_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip2_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip21; -reg [7:0] main_a7ddrphy_bitslip22 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip2_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip2_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay3; -wire main_a7ddrphy_dq_i_nodelay3; -wire main_a7ddrphy_dq_i_delayed3; -wire main_a7ddrphy_dq_t3; -reg [7:0] main_a7ddrphy_bitslip30 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip3_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip3_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip31; -reg [7:0] main_a7ddrphy_bitslip32 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip3_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip3_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay4; -wire main_a7ddrphy_dq_i_nodelay4; -wire main_a7ddrphy_dq_i_delayed4; -wire main_a7ddrphy_dq_t4; -reg [7:0] main_a7ddrphy_bitslip40 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip4_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip4_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip41; -reg [7:0] main_a7ddrphy_bitslip42 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip4_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip4_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay5; -wire main_a7ddrphy_dq_i_nodelay5; -wire main_a7ddrphy_dq_i_delayed5; -wire main_a7ddrphy_dq_t5; -reg [7:0] main_a7ddrphy_bitslip50 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip5_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip5_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip51; -reg [7:0] main_a7ddrphy_bitslip52 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip5_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip5_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay6; -wire main_a7ddrphy_dq_i_nodelay6; -wire main_a7ddrphy_dq_i_delayed6; -wire main_a7ddrphy_dq_t6; -reg [7:0] main_a7ddrphy_bitslip60 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip6_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip6_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip61; -reg [7:0] main_a7ddrphy_bitslip62 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip6_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip6_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay7; -wire main_a7ddrphy_dq_i_nodelay7; -wire main_a7ddrphy_dq_i_delayed7; -wire main_a7ddrphy_dq_t7; -reg [7:0] main_a7ddrphy_bitslip70 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip7_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip7_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip71; -reg [7:0] main_a7ddrphy_bitslip72 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip7_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip7_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay8; -wire main_a7ddrphy_dq_i_nodelay8; -wire main_a7ddrphy_dq_i_delayed8; -wire main_a7ddrphy_dq_t8; -reg [7:0] main_a7ddrphy_bitslip80 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip8_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip8_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip81; -reg [7:0] main_a7ddrphy_bitslip82 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip8_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip8_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay9; -wire main_a7ddrphy_dq_i_nodelay9; -wire main_a7ddrphy_dq_i_delayed9; -wire main_a7ddrphy_dq_t9; -reg [7:0] main_a7ddrphy_bitslip90 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip9_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip9_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip91; -reg [7:0] main_a7ddrphy_bitslip92 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip9_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip9_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay10; -wire main_a7ddrphy_dq_i_nodelay10; -wire main_a7ddrphy_dq_i_delayed10; -wire main_a7ddrphy_dq_t10; -reg [7:0] main_a7ddrphy_bitslip100 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip10_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip10_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip101; -reg [7:0] main_a7ddrphy_bitslip102 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip10_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip10_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay11; -wire main_a7ddrphy_dq_i_nodelay11; -wire main_a7ddrphy_dq_i_delayed11; -wire main_a7ddrphy_dq_t11; -reg [7:0] main_a7ddrphy_bitslip110 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip11_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip11_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip111; -reg [7:0] main_a7ddrphy_bitslip112 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip11_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip11_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay12; -wire main_a7ddrphy_dq_i_nodelay12; -wire main_a7ddrphy_dq_i_delayed12; -wire main_a7ddrphy_dq_t12; -reg [7:0] main_a7ddrphy_bitslip120 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip12_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip12_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip121; -reg [7:0] main_a7ddrphy_bitslip122 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip12_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip12_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay13; -wire main_a7ddrphy_dq_i_nodelay13; -wire main_a7ddrphy_dq_i_delayed13; -wire main_a7ddrphy_dq_t13; -reg [7:0] main_a7ddrphy_bitslip130 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip13_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip13_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip131; -reg [7:0] main_a7ddrphy_bitslip132 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip13_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip13_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay14; -wire main_a7ddrphy_dq_i_nodelay14; -wire main_a7ddrphy_dq_i_delayed14; -wire main_a7ddrphy_dq_t14; -reg [7:0] main_a7ddrphy_bitslip140 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip14_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip14_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip141; -reg [7:0] main_a7ddrphy_bitslip142 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip14_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip14_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay15; -wire main_a7ddrphy_dq_i_nodelay15; -wire main_a7ddrphy_dq_i_delayed15; -wire main_a7ddrphy_dq_t15; -reg [7:0] main_a7ddrphy_bitslip150 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip15_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip15_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip151; -reg [7:0] main_a7ddrphy_bitslip152 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip15_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip15_r1 = 16'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline1 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline2 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline3 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline4 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline5 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline6 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline7 = 1'd0; -reg main_a7ddrphy_wrdata_en_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_wrdata_en_tappeddelayline1 = 1'd0; -reg main_a7ddrphy_wrdata_en_tappeddelayline2 = 1'd0; -wire [15:0] main_litedramcore_inti_p0_address; -wire [2:0] main_litedramcore_inti_p0_bank; -reg main_litedramcore_inti_p0_cas_n = 1'd1; -reg main_litedramcore_inti_p0_cs_n = 1'd1; -reg main_litedramcore_inti_p0_ras_n = 1'd1; -reg main_litedramcore_inti_p0_we_n = 1'd1; -wire main_litedramcore_inti_p0_cke; -wire main_litedramcore_inti_p0_odt; -wire main_litedramcore_inti_p0_reset_n; -reg main_litedramcore_inti_p0_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p0_wrdata; -wire main_litedramcore_inti_p0_wrdata_en; -wire [3:0] main_litedramcore_inti_p0_wrdata_mask; -wire main_litedramcore_inti_p0_rddata_en; -reg [31:0] main_litedramcore_inti_p0_rddata = 32'd0; -reg main_litedramcore_inti_p0_rddata_valid = 1'd0; -wire [15:0] main_litedramcore_inti_p1_address; -wire [2:0] main_litedramcore_inti_p1_bank; -reg main_litedramcore_inti_p1_cas_n = 1'd1; -reg main_litedramcore_inti_p1_cs_n = 1'd1; -reg main_litedramcore_inti_p1_ras_n = 1'd1; -reg main_litedramcore_inti_p1_we_n = 1'd1; -wire main_litedramcore_inti_p1_cke; -wire main_litedramcore_inti_p1_odt; -wire main_litedramcore_inti_p1_reset_n; -reg main_litedramcore_inti_p1_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p1_wrdata; -wire main_litedramcore_inti_p1_wrdata_en; -wire [3:0] main_litedramcore_inti_p1_wrdata_mask; -wire main_litedramcore_inti_p1_rddata_en; -reg [31:0] main_litedramcore_inti_p1_rddata = 32'd0; -reg main_litedramcore_inti_p1_rddata_valid = 1'd0; -wire [15:0] main_litedramcore_inti_p2_address; -wire [2:0] main_litedramcore_inti_p2_bank; -reg main_litedramcore_inti_p2_cas_n = 1'd1; -reg main_litedramcore_inti_p2_cs_n = 1'd1; -reg main_litedramcore_inti_p2_ras_n = 1'd1; -reg main_litedramcore_inti_p2_we_n = 1'd1; -wire main_litedramcore_inti_p2_cke; -wire main_litedramcore_inti_p2_odt; -wire main_litedramcore_inti_p2_reset_n; -reg main_litedramcore_inti_p2_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p2_wrdata; -wire main_litedramcore_inti_p2_wrdata_en; -wire [3:0] main_litedramcore_inti_p2_wrdata_mask; -wire main_litedramcore_inti_p2_rddata_en; -reg [31:0] main_litedramcore_inti_p2_rddata = 32'd0; -reg main_litedramcore_inti_p2_rddata_valid = 1'd0; -wire [15:0] main_litedramcore_inti_p3_address; -wire [2:0] main_litedramcore_inti_p3_bank; -reg main_litedramcore_inti_p3_cas_n = 1'd1; -reg main_litedramcore_inti_p3_cs_n = 1'd1; -reg main_litedramcore_inti_p3_ras_n = 1'd1; -reg main_litedramcore_inti_p3_we_n = 1'd1; -wire main_litedramcore_inti_p3_cke; -wire main_litedramcore_inti_p3_odt; -wire main_litedramcore_inti_p3_reset_n; -reg main_litedramcore_inti_p3_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p3_wrdata; -wire main_litedramcore_inti_p3_wrdata_en; -wire [3:0] main_litedramcore_inti_p3_wrdata_mask; -wire main_litedramcore_inti_p3_rddata_en; -reg [31:0] main_litedramcore_inti_p3_rddata = 32'd0; -reg main_litedramcore_inti_p3_rddata_valid = 1'd0; -wire [15:0] main_litedramcore_slave_p0_address; -wire [2:0] main_litedramcore_slave_p0_bank; -wire main_litedramcore_slave_p0_cas_n; -wire main_litedramcore_slave_p0_cs_n; -wire main_litedramcore_slave_p0_ras_n; -wire main_litedramcore_slave_p0_we_n; -wire main_litedramcore_slave_p0_cke; -wire main_litedramcore_slave_p0_odt; -wire main_litedramcore_slave_p0_reset_n; -wire main_litedramcore_slave_p0_act_n; -wire [31:0] main_litedramcore_slave_p0_wrdata; -wire main_litedramcore_slave_p0_wrdata_en; -wire [3:0] main_litedramcore_slave_p0_wrdata_mask; -wire main_litedramcore_slave_p0_rddata_en; -reg [31:0] main_litedramcore_slave_p0_rddata = 32'd0; -reg main_litedramcore_slave_p0_rddata_valid = 1'd0; -wire [15:0] main_litedramcore_slave_p1_address; -wire [2:0] main_litedramcore_slave_p1_bank; -wire main_litedramcore_slave_p1_cas_n; -wire main_litedramcore_slave_p1_cs_n; -wire main_litedramcore_slave_p1_ras_n; -wire main_litedramcore_slave_p1_we_n; -wire main_litedramcore_slave_p1_cke; -wire main_litedramcore_slave_p1_odt; -wire main_litedramcore_slave_p1_reset_n; -wire main_litedramcore_slave_p1_act_n; -wire [31:0] main_litedramcore_slave_p1_wrdata; -wire main_litedramcore_slave_p1_wrdata_en; -wire [3:0] main_litedramcore_slave_p1_wrdata_mask; -wire main_litedramcore_slave_p1_rddata_en; -reg [31:0] main_litedramcore_slave_p1_rddata = 32'd0; -reg main_litedramcore_slave_p1_rddata_valid = 1'd0; -wire [15:0] main_litedramcore_slave_p2_address; -wire [2:0] main_litedramcore_slave_p2_bank; -wire main_litedramcore_slave_p2_cas_n; -wire main_litedramcore_slave_p2_cs_n; -wire main_litedramcore_slave_p2_ras_n; -wire main_litedramcore_slave_p2_we_n; -wire main_litedramcore_slave_p2_cke; -wire main_litedramcore_slave_p2_odt; -wire main_litedramcore_slave_p2_reset_n; -wire main_litedramcore_slave_p2_act_n; -wire [31:0] main_litedramcore_slave_p2_wrdata; -wire main_litedramcore_slave_p2_wrdata_en; -wire [3:0] main_litedramcore_slave_p2_wrdata_mask; -wire main_litedramcore_slave_p2_rddata_en; -reg [31:0] main_litedramcore_slave_p2_rddata = 32'd0; -reg main_litedramcore_slave_p2_rddata_valid = 1'd0; -wire [15:0] main_litedramcore_slave_p3_address; -wire [2:0] main_litedramcore_slave_p3_bank; -wire main_litedramcore_slave_p3_cas_n; -wire main_litedramcore_slave_p3_cs_n; -wire main_litedramcore_slave_p3_ras_n; -wire main_litedramcore_slave_p3_we_n; -wire main_litedramcore_slave_p3_cke; -wire main_litedramcore_slave_p3_odt; -wire main_litedramcore_slave_p3_reset_n; -wire main_litedramcore_slave_p3_act_n; -wire [31:0] main_litedramcore_slave_p3_wrdata; -wire main_litedramcore_slave_p3_wrdata_en; -wire [3:0] main_litedramcore_slave_p3_wrdata_mask; -wire main_litedramcore_slave_p3_rddata_en; -reg [31:0] main_litedramcore_slave_p3_rddata = 32'd0; -reg main_litedramcore_slave_p3_rddata_valid = 1'd0; -reg [15:0] main_litedramcore_master_p0_address = 16'd0; -reg [2:0] main_litedramcore_master_p0_bank = 3'd0; -reg main_litedramcore_master_p0_cas_n = 1'd1; -reg main_litedramcore_master_p0_cs_n = 1'd1; -reg main_litedramcore_master_p0_ras_n = 1'd1; -reg main_litedramcore_master_p0_we_n = 1'd1; -reg main_litedramcore_master_p0_cke = 1'd0; -reg main_litedramcore_master_p0_odt = 1'd0; -reg main_litedramcore_master_p0_reset_n = 1'd0; -reg main_litedramcore_master_p0_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p0_wrdata = 32'd0; -reg main_litedramcore_master_p0_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p0_wrdata_mask = 4'd0; -reg main_litedramcore_master_p0_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p0_rddata; -wire main_litedramcore_master_p0_rddata_valid; -reg [15:0] main_litedramcore_master_p1_address = 16'd0; -reg [2:0] main_litedramcore_master_p1_bank = 3'd0; -reg main_litedramcore_master_p1_cas_n = 1'd1; -reg main_litedramcore_master_p1_cs_n = 1'd1; -reg main_litedramcore_master_p1_ras_n = 1'd1; -reg main_litedramcore_master_p1_we_n = 1'd1; -reg main_litedramcore_master_p1_cke = 1'd0; -reg main_litedramcore_master_p1_odt = 1'd0; -reg main_litedramcore_master_p1_reset_n = 1'd0; -reg main_litedramcore_master_p1_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p1_wrdata = 32'd0; -reg main_litedramcore_master_p1_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p1_wrdata_mask = 4'd0; -reg main_litedramcore_master_p1_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p1_rddata; -wire main_litedramcore_master_p1_rddata_valid; -reg [15:0] main_litedramcore_master_p2_address = 16'd0; -reg [2:0] main_litedramcore_master_p2_bank = 3'd0; -reg main_litedramcore_master_p2_cas_n = 1'd1; -reg main_litedramcore_master_p2_cs_n = 1'd1; -reg main_litedramcore_master_p2_ras_n = 1'd1; -reg main_litedramcore_master_p2_we_n = 1'd1; -reg main_litedramcore_master_p2_cke = 1'd0; -reg main_litedramcore_master_p2_odt = 1'd0; -reg main_litedramcore_master_p2_reset_n = 1'd0; -reg main_litedramcore_master_p2_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p2_wrdata = 32'd0; -reg main_litedramcore_master_p2_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p2_wrdata_mask = 4'd0; -reg main_litedramcore_master_p2_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p2_rddata; -wire main_litedramcore_master_p2_rddata_valid; -reg [15:0] main_litedramcore_master_p3_address = 16'd0; -reg [2:0] main_litedramcore_master_p3_bank = 3'd0; -reg main_litedramcore_master_p3_cas_n = 1'd1; -reg main_litedramcore_master_p3_cs_n = 1'd1; -reg main_litedramcore_master_p3_ras_n = 1'd1; -reg main_litedramcore_master_p3_we_n = 1'd1; -reg main_litedramcore_master_p3_cke = 1'd0; -reg main_litedramcore_master_p3_odt = 1'd0; -reg main_litedramcore_master_p3_reset_n = 1'd0; -reg main_litedramcore_master_p3_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p3_wrdata = 32'd0; -reg main_litedramcore_master_p3_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p3_wrdata_mask = 4'd0; -reg main_litedramcore_master_p3_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p3_rddata; -wire main_litedramcore_master_p3_rddata_valid; -wire main_litedramcore_sel; -wire main_litedramcore_cke; -wire main_litedramcore_odt; -wire main_litedramcore_reset_n; -reg [3:0] main_litedramcore_storage = 4'd1; -reg main_litedramcore_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector0_command_storage = 6'd0; -reg main_litedramcore_phaseinjector0_command_re = 1'd0; -reg main_litedramcore_phaseinjector0_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector0_command_issue_r; -reg main_litedramcore_phaseinjector0_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector0_command_issue_w = 1'd0; -reg [15:0] main_litedramcore_phaseinjector0_address_storage = 16'd0; -reg main_litedramcore_phaseinjector0_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector0_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector0_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector0_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector0_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector0_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector0_rddata_we; -reg main_litedramcore_phaseinjector0_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector1_command_storage = 6'd0; -reg main_litedramcore_phaseinjector1_command_re = 1'd0; -reg main_litedramcore_phaseinjector1_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector1_command_issue_r; -reg main_litedramcore_phaseinjector1_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector1_command_issue_w = 1'd0; -reg [15:0] main_litedramcore_phaseinjector1_address_storage = 16'd0; -reg main_litedramcore_phaseinjector1_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector1_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector1_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector1_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector1_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector1_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector1_rddata_we; -reg main_litedramcore_phaseinjector1_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector2_command_storage = 6'd0; -reg main_litedramcore_phaseinjector2_command_re = 1'd0; -reg main_litedramcore_phaseinjector2_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector2_command_issue_r; -reg main_litedramcore_phaseinjector2_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector2_command_issue_w = 1'd0; -reg [15:0] main_litedramcore_phaseinjector2_address_storage = 16'd0; -reg main_litedramcore_phaseinjector2_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector2_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector2_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector2_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector2_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector2_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector2_rddata_we; -reg main_litedramcore_phaseinjector2_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector3_command_storage = 6'd0; -reg main_litedramcore_phaseinjector3_command_re = 1'd0; -reg main_litedramcore_phaseinjector3_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector3_command_issue_r; -reg main_litedramcore_phaseinjector3_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector3_command_issue_w = 1'd0; -reg [15:0] main_litedramcore_phaseinjector3_address_storage = 16'd0; -reg main_litedramcore_phaseinjector3_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector3_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector3_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector3_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector3_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector3_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector3_rddata_we; -reg main_litedramcore_phaseinjector3_rddata_re = 1'd0; -wire main_litedramcore_interface_bank0_valid; -wire main_litedramcore_interface_bank0_ready; -wire main_litedramcore_interface_bank0_we; -wire [22:0] main_litedramcore_interface_bank0_addr; -wire main_litedramcore_interface_bank0_lock; -wire main_litedramcore_interface_bank0_wdata_ready; -wire main_litedramcore_interface_bank0_rdata_valid; -wire main_litedramcore_interface_bank1_valid; -wire main_litedramcore_interface_bank1_ready; -wire main_litedramcore_interface_bank1_we; -wire [22:0] main_litedramcore_interface_bank1_addr; -wire main_litedramcore_interface_bank1_lock; -wire main_litedramcore_interface_bank1_wdata_ready; -wire main_litedramcore_interface_bank1_rdata_valid; -wire main_litedramcore_interface_bank2_valid; -wire main_litedramcore_interface_bank2_ready; -wire main_litedramcore_interface_bank2_we; -wire [22:0] main_litedramcore_interface_bank2_addr; -wire main_litedramcore_interface_bank2_lock; -wire main_litedramcore_interface_bank2_wdata_ready; -wire main_litedramcore_interface_bank2_rdata_valid; -wire main_litedramcore_interface_bank3_valid; -wire main_litedramcore_interface_bank3_ready; -wire main_litedramcore_interface_bank3_we; -wire [22:0] main_litedramcore_interface_bank3_addr; -wire main_litedramcore_interface_bank3_lock; -wire main_litedramcore_interface_bank3_wdata_ready; -wire main_litedramcore_interface_bank3_rdata_valid; -wire main_litedramcore_interface_bank4_valid; -wire main_litedramcore_interface_bank4_ready; -wire main_litedramcore_interface_bank4_we; -wire [22:0] main_litedramcore_interface_bank4_addr; -wire main_litedramcore_interface_bank4_lock; -wire main_litedramcore_interface_bank4_wdata_ready; -wire main_litedramcore_interface_bank4_rdata_valid; -wire main_litedramcore_interface_bank5_valid; -wire main_litedramcore_interface_bank5_ready; -wire main_litedramcore_interface_bank5_we; -wire [22:0] main_litedramcore_interface_bank5_addr; -wire main_litedramcore_interface_bank5_lock; -wire main_litedramcore_interface_bank5_wdata_ready; -wire main_litedramcore_interface_bank5_rdata_valid; -wire main_litedramcore_interface_bank6_valid; -wire main_litedramcore_interface_bank6_ready; -wire main_litedramcore_interface_bank6_we; -wire [22:0] main_litedramcore_interface_bank6_addr; -wire main_litedramcore_interface_bank6_lock; -wire main_litedramcore_interface_bank6_wdata_ready; -wire main_litedramcore_interface_bank6_rdata_valid; -wire main_litedramcore_interface_bank7_valid; -wire main_litedramcore_interface_bank7_ready; -wire main_litedramcore_interface_bank7_we; -wire [22:0] main_litedramcore_interface_bank7_addr; -wire main_litedramcore_interface_bank7_lock; -wire main_litedramcore_interface_bank7_wdata_ready; -wire main_litedramcore_interface_bank7_rdata_valid; -reg [127:0] main_litedramcore_interface_wdata = 128'd0; -reg [15:0] main_litedramcore_interface_wdata_we = 16'd0; -wire [127:0] main_litedramcore_interface_rdata; -reg [15:0] main_litedramcore_dfi_p0_address = 16'd0; -reg [2:0] main_litedramcore_dfi_p0_bank = 3'd0; -reg main_litedramcore_dfi_p0_cas_n = 1'd1; -reg main_litedramcore_dfi_p0_cs_n = 1'd1; -reg main_litedramcore_dfi_p0_ras_n = 1'd1; -reg main_litedramcore_dfi_p0_we_n = 1'd1; -wire main_litedramcore_dfi_p0_cke; -wire main_litedramcore_dfi_p0_odt; -wire main_litedramcore_dfi_p0_reset_n; -reg main_litedramcore_dfi_p0_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p0_wrdata; -reg main_litedramcore_dfi_p0_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p0_wrdata_mask; -reg main_litedramcore_dfi_p0_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p0_rddata; -wire main_litedramcore_dfi_p0_rddata_valid; -reg [15:0] main_litedramcore_dfi_p1_address = 16'd0; -reg [2:0] main_litedramcore_dfi_p1_bank = 3'd0; -reg main_litedramcore_dfi_p1_cas_n = 1'd1; -reg main_litedramcore_dfi_p1_cs_n = 1'd1; -reg main_litedramcore_dfi_p1_ras_n = 1'd1; -reg main_litedramcore_dfi_p1_we_n = 1'd1; -wire main_litedramcore_dfi_p1_cke; -wire main_litedramcore_dfi_p1_odt; -wire main_litedramcore_dfi_p1_reset_n; -reg main_litedramcore_dfi_p1_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p1_wrdata; -reg main_litedramcore_dfi_p1_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p1_wrdata_mask; -reg main_litedramcore_dfi_p1_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p1_rddata; -wire main_litedramcore_dfi_p1_rddata_valid; -reg [15:0] main_litedramcore_dfi_p2_address = 16'd0; -reg [2:0] main_litedramcore_dfi_p2_bank = 3'd0; -reg main_litedramcore_dfi_p2_cas_n = 1'd1; -reg main_litedramcore_dfi_p2_cs_n = 1'd1; -reg main_litedramcore_dfi_p2_ras_n = 1'd1; -reg main_litedramcore_dfi_p2_we_n = 1'd1; -wire main_litedramcore_dfi_p2_cke; -wire main_litedramcore_dfi_p2_odt; -wire main_litedramcore_dfi_p2_reset_n; -reg main_litedramcore_dfi_p2_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p2_wrdata; -reg main_litedramcore_dfi_p2_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p2_wrdata_mask; -reg main_litedramcore_dfi_p2_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p2_rddata; -wire main_litedramcore_dfi_p2_rddata_valid; -reg [15:0] main_litedramcore_dfi_p3_address = 16'd0; -reg [2:0] main_litedramcore_dfi_p3_bank = 3'd0; -reg main_litedramcore_dfi_p3_cas_n = 1'd1; -reg main_litedramcore_dfi_p3_cs_n = 1'd1; -reg main_litedramcore_dfi_p3_ras_n = 1'd1; -reg main_litedramcore_dfi_p3_we_n = 1'd1; -wire main_litedramcore_dfi_p3_cke; -wire main_litedramcore_dfi_p3_odt; -wire main_litedramcore_dfi_p3_reset_n; -reg main_litedramcore_dfi_p3_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p3_wrdata; -reg main_litedramcore_dfi_p3_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p3_wrdata_mask; -reg main_litedramcore_dfi_p3_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p3_rddata; -wire main_litedramcore_dfi_p3_rddata_valid; -reg main_litedramcore_cmd_valid = 1'd0; -reg main_litedramcore_cmd_ready = 1'd0; -reg main_litedramcore_cmd_last = 1'd0; -reg [15:0] main_litedramcore_cmd_payload_a = 16'd0; -reg [2:0] main_litedramcore_cmd_payload_ba = 3'd0; -reg main_litedramcore_cmd_payload_cas = 1'd0; -reg main_litedramcore_cmd_payload_ras = 1'd0; -reg main_litedramcore_cmd_payload_we = 1'd0; -reg main_litedramcore_cmd_payload_is_read = 1'd0; -reg main_litedramcore_cmd_payload_is_write = 1'd0; -wire main_litedramcore_wants_refresh; -wire main_litedramcore_wants_zqcs; -wire main_litedramcore_timer_wait; -wire main_litedramcore_timer_done0; -wire [9:0] main_litedramcore_timer_count0; -wire main_litedramcore_timer_done1; -reg [9:0] main_litedramcore_timer_count1 = 10'd781; -wire main_litedramcore_postponer_req_i; -reg main_litedramcore_postponer_req_o = 1'd0; -reg main_litedramcore_postponer_count = 1'd0; -reg main_litedramcore_sequencer_start0 = 1'd0; -wire main_litedramcore_sequencer_done0; -wire main_litedramcore_sequencer_start1; -reg main_litedramcore_sequencer_done1 = 1'd0; -reg [6:0] main_litedramcore_sequencer_counter = 7'd0; -reg main_litedramcore_sequencer_count = 1'd0; -wire main_litedramcore_zqcs_timer_wait; -wire main_litedramcore_zqcs_timer_done0; -wire [26:0] main_litedramcore_zqcs_timer_count0; -wire main_litedramcore_zqcs_timer_done1; -reg [26:0] main_litedramcore_zqcs_timer_count1 = 27'd99999999; -reg main_litedramcore_zqcs_executer_start = 1'd0; -reg main_litedramcore_zqcs_executer_done = 1'd0; -reg [4:0] main_litedramcore_zqcs_executer_counter = 5'd0; -wire main_litedramcore_bankmachine0_req_valid; -wire main_litedramcore_bankmachine0_req_ready; -wire main_litedramcore_bankmachine0_req_we; -wire [22:0] main_litedramcore_bankmachine0_req_addr; -wire main_litedramcore_bankmachine0_req_lock; -reg main_litedramcore_bankmachine0_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine0_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine0_refresh_req; -reg main_litedramcore_bankmachine0_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine0_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine0_cmd_ready = 1'd0; -reg [15:0] main_litedramcore_bankmachine0_cmd_payload_a = 16'd0; -wire [2:0] main_litedramcore_bankmachine0_cmd_payload_ba; -reg main_litedramcore_bankmachine0_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine0_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; -wire [22:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; -wire [25:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; -wire [25:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -reg [4:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [25:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we; -wire [25:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr; -wire [25:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we; -wire [22:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; -wire [22:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine0_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine0_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_source_payload_we = 1'd0; -reg [22:0] main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr = 23'd0; -reg [15:0] main_litedramcore_bankmachine0_row = 16'd0; -reg main_litedramcore_bankmachine0_row_opened = 1'd0; -wire main_litedramcore_bankmachine0_row_hit; -reg main_litedramcore_bankmachine0_row_open = 1'd0; -reg main_litedramcore_bankmachine0_row_close = 1'd0; -reg main_litedramcore_bankmachine0_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine0_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine0_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_trccon_count = 3'd0; -wire main_litedramcore_bankmachine0_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_trascon_count = 3'd0; -wire main_litedramcore_bankmachine1_req_valid; -wire main_litedramcore_bankmachine1_req_ready; -wire main_litedramcore_bankmachine1_req_we; -wire [22:0] main_litedramcore_bankmachine1_req_addr; -wire main_litedramcore_bankmachine1_req_lock; -reg main_litedramcore_bankmachine1_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine1_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine1_refresh_req; -reg main_litedramcore_bankmachine1_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine1_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine1_cmd_ready = 1'd0; -reg [15:0] main_litedramcore_bankmachine1_cmd_payload_a = 16'd0; -wire [2:0] main_litedramcore_bankmachine1_cmd_payload_ba; -reg main_litedramcore_bankmachine1_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine1_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; -wire [22:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; -wire [25:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; -wire [25:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -reg [4:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [25:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we; -wire [25:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr; -wire [25:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we; -wire [22:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; -wire [22:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine1_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine1_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_source_payload_we = 1'd0; -reg [22:0] main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr = 23'd0; -reg [15:0] main_litedramcore_bankmachine1_row = 16'd0; -reg main_litedramcore_bankmachine1_row_opened = 1'd0; -wire main_litedramcore_bankmachine1_row_hit; -reg main_litedramcore_bankmachine1_row_open = 1'd0; -reg main_litedramcore_bankmachine1_row_close = 1'd0; -reg main_litedramcore_bankmachine1_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine1_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine1_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_trccon_count = 3'd0; -wire main_litedramcore_bankmachine1_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_trascon_count = 3'd0; -wire main_litedramcore_bankmachine2_req_valid; -wire main_litedramcore_bankmachine2_req_ready; -wire main_litedramcore_bankmachine2_req_we; -wire [22:0] main_litedramcore_bankmachine2_req_addr; -wire main_litedramcore_bankmachine2_req_lock; -reg main_litedramcore_bankmachine2_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine2_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine2_refresh_req; -reg main_litedramcore_bankmachine2_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine2_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine2_cmd_ready = 1'd0; -reg [15:0] main_litedramcore_bankmachine2_cmd_payload_a = 16'd0; -wire [2:0] main_litedramcore_bankmachine2_cmd_payload_ba; -reg main_litedramcore_bankmachine2_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine2_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; -wire [22:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; -wire [25:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; -wire [25:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -reg [4:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [25:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we; -wire [25:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr; -wire [25:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we; -wire [22:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; -wire [22:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine2_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine2_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_source_payload_we = 1'd0; -reg [22:0] main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr = 23'd0; -reg [15:0] main_litedramcore_bankmachine2_row = 16'd0; -reg main_litedramcore_bankmachine2_row_opened = 1'd0; -wire main_litedramcore_bankmachine2_row_hit; -reg main_litedramcore_bankmachine2_row_open = 1'd0; -reg main_litedramcore_bankmachine2_row_close = 1'd0; -reg main_litedramcore_bankmachine2_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine2_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine2_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_trccon_count = 3'd0; -wire main_litedramcore_bankmachine2_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_trascon_count = 3'd0; -wire main_litedramcore_bankmachine3_req_valid; -wire main_litedramcore_bankmachine3_req_ready; -wire main_litedramcore_bankmachine3_req_we; -wire [22:0] main_litedramcore_bankmachine3_req_addr; -wire main_litedramcore_bankmachine3_req_lock; -reg main_litedramcore_bankmachine3_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine3_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine3_refresh_req; -reg main_litedramcore_bankmachine3_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine3_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine3_cmd_ready = 1'd0; -reg [15:0] main_litedramcore_bankmachine3_cmd_payload_a = 16'd0; -wire [2:0] main_litedramcore_bankmachine3_cmd_payload_ba; -reg main_litedramcore_bankmachine3_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine3_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; -wire [22:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; -wire [25:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; -wire [25:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -reg [4:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [25:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we; -wire [25:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr; -wire [25:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we; -wire [22:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; -wire [22:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine3_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine3_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_source_payload_we = 1'd0; -reg [22:0] main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr = 23'd0; -reg [15:0] main_litedramcore_bankmachine3_row = 16'd0; -reg main_litedramcore_bankmachine3_row_opened = 1'd0; -wire main_litedramcore_bankmachine3_row_hit; -reg main_litedramcore_bankmachine3_row_open = 1'd0; -reg main_litedramcore_bankmachine3_row_close = 1'd0; -reg main_litedramcore_bankmachine3_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine3_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine3_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_trccon_count = 3'd0; -wire main_litedramcore_bankmachine3_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_trascon_count = 3'd0; -wire main_litedramcore_bankmachine4_req_valid; -wire main_litedramcore_bankmachine4_req_ready; -wire main_litedramcore_bankmachine4_req_we; -wire [22:0] main_litedramcore_bankmachine4_req_addr; -wire main_litedramcore_bankmachine4_req_lock; -reg main_litedramcore_bankmachine4_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine4_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine4_refresh_req; -reg main_litedramcore_bankmachine4_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine4_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine4_cmd_ready = 1'd0; -reg [15:0] main_litedramcore_bankmachine4_cmd_payload_a = 16'd0; -wire [2:0] main_litedramcore_bankmachine4_cmd_payload_ba; -reg main_litedramcore_bankmachine4_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine4_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; -wire [22:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; -wire [25:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; -wire [25:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -reg [4:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [25:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we; -wire [25:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr; -wire [25:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we; -wire [22:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; -wire [22:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine4_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine4_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_source_payload_we = 1'd0; -reg [22:0] main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr = 23'd0; -reg [15:0] main_litedramcore_bankmachine4_row = 16'd0; -reg main_litedramcore_bankmachine4_row_opened = 1'd0; -wire main_litedramcore_bankmachine4_row_hit; -reg main_litedramcore_bankmachine4_row_open = 1'd0; -reg main_litedramcore_bankmachine4_row_close = 1'd0; -reg main_litedramcore_bankmachine4_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine4_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine4_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_trccon_count = 3'd0; -wire main_litedramcore_bankmachine4_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_trascon_count = 3'd0; -wire main_litedramcore_bankmachine5_req_valid; -wire main_litedramcore_bankmachine5_req_ready; -wire main_litedramcore_bankmachine5_req_we; -wire [22:0] main_litedramcore_bankmachine5_req_addr; -wire main_litedramcore_bankmachine5_req_lock; -reg main_litedramcore_bankmachine5_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine5_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine5_refresh_req; -reg main_litedramcore_bankmachine5_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine5_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine5_cmd_ready = 1'd0; -reg [15:0] main_litedramcore_bankmachine5_cmd_payload_a = 16'd0; -wire [2:0] main_litedramcore_bankmachine5_cmd_payload_ba; -reg main_litedramcore_bankmachine5_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine5_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; -wire [22:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; -wire [25:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; -wire [25:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -reg [4:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [25:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we; -wire [25:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr; -wire [25:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we; -wire [22:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; -wire [22:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine5_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine5_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_source_payload_we = 1'd0; -reg [22:0] main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr = 23'd0; -reg [15:0] main_litedramcore_bankmachine5_row = 16'd0; -reg main_litedramcore_bankmachine5_row_opened = 1'd0; -wire main_litedramcore_bankmachine5_row_hit; -reg main_litedramcore_bankmachine5_row_open = 1'd0; -reg main_litedramcore_bankmachine5_row_close = 1'd0; -reg main_litedramcore_bankmachine5_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine5_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine5_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_trccon_count = 3'd0; -wire main_litedramcore_bankmachine5_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_trascon_count = 3'd0; -wire main_litedramcore_bankmachine6_req_valid; -wire main_litedramcore_bankmachine6_req_ready; -wire main_litedramcore_bankmachine6_req_we; -wire [22:0] main_litedramcore_bankmachine6_req_addr; -wire main_litedramcore_bankmachine6_req_lock; -reg main_litedramcore_bankmachine6_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine6_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine6_refresh_req; -reg main_litedramcore_bankmachine6_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine6_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine6_cmd_ready = 1'd0; -reg [15:0] main_litedramcore_bankmachine6_cmd_payload_a = 16'd0; -wire [2:0] main_litedramcore_bankmachine6_cmd_payload_ba; -reg main_litedramcore_bankmachine6_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine6_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; -wire [22:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; -wire [25:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; -wire [25:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -reg [4:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [25:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we; -wire [25:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr; -wire [25:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we; -wire [22:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; -wire [22:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine6_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine6_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_source_payload_we = 1'd0; -reg [22:0] main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr = 23'd0; -reg [15:0] main_litedramcore_bankmachine6_row = 16'd0; -reg main_litedramcore_bankmachine6_row_opened = 1'd0; -wire main_litedramcore_bankmachine6_row_hit; -reg main_litedramcore_bankmachine6_row_open = 1'd0; -reg main_litedramcore_bankmachine6_row_close = 1'd0; -reg main_litedramcore_bankmachine6_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine6_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine6_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_trccon_count = 3'd0; -wire main_litedramcore_bankmachine6_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_trascon_count = 3'd0; -wire main_litedramcore_bankmachine7_req_valid; -wire main_litedramcore_bankmachine7_req_ready; -wire main_litedramcore_bankmachine7_req_we; -wire [22:0] main_litedramcore_bankmachine7_req_addr; -wire main_litedramcore_bankmachine7_req_lock; -reg main_litedramcore_bankmachine7_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine7_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine7_refresh_req; -reg main_litedramcore_bankmachine7_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine7_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine7_cmd_ready = 1'd0; -reg [15:0] main_litedramcore_bankmachine7_cmd_payload_a = 16'd0; -wire [2:0] main_litedramcore_bankmachine7_cmd_payload_ba; -reg main_litedramcore_bankmachine7_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine7_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; -wire [22:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; -wire [25:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; -wire [25:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -reg [4:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [25:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we; -wire [25:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr; -wire [25:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we; -wire [22:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; -wire [22:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we; -wire [22:0] main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine7_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine7_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_source_payload_we = 1'd0; -reg [22:0] main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr = 23'd0; -reg [15:0] main_litedramcore_bankmachine7_row = 16'd0; -reg main_litedramcore_bankmachine7_row_opened = 1'd0; -wire main_litedramcore_bankmachine7_row_hit; -reg main_litedramcore_bankmachine7_row_open = 1'd0; -reg main_litedramcore_bankmachine7_row_close = 1'd0; -reg main_litedramcore_bankmachine7_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine7_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine7_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_trccon_count = 3'd0; -wire main_litedramcore_bankmachine7_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_trascon_count = 3'd0; -wire main_litedramcore_ras_allowed; -wire main_litedramcore_cas_allowed; -wire [1:0] main_litedramcore_rdcmdphase; -wire [1:0] main_litedramcore_wrcmdphase; -reg main_litedramcore_choose_cmd_want_reads = 1'd0; -reg main_litedramcore_choose_cmd_want_writes = 1'd0; -reg main_litedramcore_choose_cmd_want_cmds = 1'd0; -reg main_litedramcore_choose_cmd_want_activates = 1'd0; -wire main_litedramcore_choose_cmd_cmd_valid; -reg main_litedramcore_choose_cmd_cmd_ready = 1'd0; -wire [15:0] main_litedramcore_choose_cmd_cmd_payload_a; -wire [2:0] main_litedramcore_choose_cmd_cmd_payload_ba; -reg main_litedramcore_choose_cmd_cmd_payload_cas = 1'd0; -reg main_litedramcore_choose_cmd_cmd_payload_ras = 1'd0; -reg main_litedramcore_choose_cmd_cmd_payload_we = 1'd0; -wire main_litedramcore_choose_cmd_cmd_payload_is_cmd; -wire main_litedramcore_choose_cmd_cmd_payload_is_read; -wire main_litedramcore_choose_cmd_cmd_payload_is_write; -reg [7:0] main_litedramcore_choose_cmd_valids = 8'd0; -wire [7:0] main_litedramcore_choose_cmd_request; -reg [2:0] main_litedramcore_choose_cmd_grant = 3'd0; -wire main_litedramcore_choose_cmd_ce; -reg main_litedramcore_choose_req_want_reads = 1'd0; -reg main_litedramcore_choose_req_want_writes = 1'd0; -reg main_litedramcore_choose_req_want_cmds = 1'd0; -reg main_litedramcore_choose_req_want_activates = 1'd0; -wire main_litedramcore_choose_req_cmd_valid; -reg main_litedramcore_choose_req_cmd_ready = 1'd0; -wire [15:0] main_litedramcore_choose_req_cmd_payload_a; -wire [2:0] main_litedramcore_choose_req_cmd_payload_ba; -reg main_litedramcore_choose_req_cmd_payload_cas = 1'd0; -reg main_litedramcore_choose_req_cmd_payload_ras = 1'd0; -reg main_litedramcore_choose_req_cmd_payload_we = 1'd0; -wire main_litedramcore_choose_req_cmd_payload_is_cmd; -wire main_litedramcore_choose_req_cmd_payload_is_read; -wire main_litedramcore_choose_req_cmd_payload_is_write; -reg [7:0] main_litedramcore_choose_req_valids = 8'd0; -wire [7:0] main_litedramcore_choose_req_request; -reg [2:0] main_litedramcore_choose_req_grant = 3'd0; -wire main_litedramcore_choose_req_ce; -reg [15:0] main_litedramcore_nop_a = 16'd0; -reg [2:0] main_litedramcore_nop_ba = 3'd0; -reg [1:0] main_litedramcore_steerer_sel0 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel1 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel2 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel3 = 2'd0; -reg main_litedramcore_steerer0 = 1'd1; -reg main_litedramcore_steerer1 = 1'd1; -reg main_litedramcore_steerer2 = 1'd1; -reg main_litedramcore_steerer3 = 1'd1; -reg main_litedramcore_steerer4 = 1'd1; -reg main_litedramcore_steerer5 = 1'd1; -reg main_litedramcore_steerer6 = 1'd1; -reg main_litedramcore_steerer7 = 1'd1; -wire main_litedramcore_trrdcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_trrdcon_ready = 1'd0; -reg main_litedramcore_trrdcon_count = 1'd0; -wire main_litedramcore_tfawcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_tfawcon_ready = 1'd1; -wire [2:0] main_litedramcore_tfawcon_count; -reg [4:0] main_litedramcore_tfawcon_window = 5'd0; -wire main_litedramcore_tccdcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_tccdcon_ready = 1'd0; -reg main_litedramcore_tccdcon_count = 1'd0; -wire main_litedramcore_twtrcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_twtrcon_ready = 1'd0; -reg [2:0] main_litedramcore_twtrcon_count = 3'd0; -wire main_litedramcore_read_available; -wire main_litedramcore_write_available; -reg main_litedramcore_en0 = 1'd0; -wire main_litedramcore_max_time0; -reg [4:0] main_litedramcore_time0 = 5'd0; -reg main_litedramcore_en1 = 1'd0; -wire main_litedramcore_max_time1; -reg [3:0] main_litedramcore_time1 = 4'd0; -wire main_litedramcore_go_to_refresh; -reg main_init_done_storage = 1'd0; -reg main_init_done_re = 1'd0; -reg main_init_error_storage = 1'd0; -reg main_init_error_re = 1'd0; -wire [29:0] main_wb_bus_adr; -wire [31:0] main_wb_bus_dat_w; -wire [31:0] main_wb_bus_dat_r; -wire [3:0] main_wb_bus_sel; -wire main_wb_bus_cyc; -wire main_wb_bus_stb; -wire main_wb_bus_ack; -wire main_wb_bus_we; -wire [2:0] main_wb_bus_cti; -wire [1:0] main_wb_bus_bte; -wire main_wb_bus_err; -wire main_user_enable; -wire main_user_port_cmd_valid; -wire main_user_port_cmd_ready; -wire main_user_port_cmd_payload_we; -wire [25:0] main_user_port_cmd_payload_addr; -wire main_user_port_wdata_valid; -wire main_user_port_wdata_ready; -wire [127:0] main_user_port_wdata_payload_data; -wire [15:0] main_user_port_wdata_payload_we; -wire main_user_port_rdata_valid; -wire main_user_port_rdata_ready; -wire [127:0] main_user_port_rdata_payload_data; -wire builder_reset0; -wire builder_reset1; -wire builder_reset2; -wire builder_reset3; -wire builder_reset4; -wire builder_reset5; -wire builder_reset6; -wire builder_reset7; -wire builder_pll_fb; -reg [1:0] builder_refresher_state = 2'd0; -reg [1:0] builder_refresher_next_state = 2'd0; -reg [3:0] builder_bankmachine0_state = 4'd0; -reg [3:0] builder_bankmachine0_next_state = 4'd0; -reg [3:0] builder_bankmachine1_state = 4'd0; -reg [3:0] builder_bankmachine1_next_state = 4'd0; -reg [3:0] builder_bankmachine2_state = 4'd0; -reg [3:0] builder_bankmachine2_next_state = 4'd0; -reg [3:0] builder_bankmachine3_state = 4'd0; -reg [3:0] builder_bankmachine3_next_state = 4'd0; -reg [3:0] builder_bankmachine4_state = 4'd0; -reg [3:0] builder_bankmachine4_next_state = 4'd0; -reg [3:0] builder_bankmachine5_state = 4'd0; -reg [3:0] builder_bankmachine5_next_state = 4'd0; -reg [3:0] builder_bankmachine6_state = 4'd0; -reg [3:0] builder_bankmachine6_next_state = 4'd0; -reg [3:0] builder_bankmachine7_state = 4'd0; -reg [3:0] builder_bankmachine7_next_state = 4'd0; -reg [3:0] builder_multiplexer_state = 4'd0; -reg [3:0] builder_multiplexer_next_state = 4'd0; -wire builder_roundrobin0_request; -wire builder_roundrobin0_grant; -wire builder_roundrobin0_ce; -wire builder_roundrobin1_request; -wire builder_roundrobin1_grant; -wire builder_roundrobin1_ce; -wire builder_roundrobin2_request; -wire builder_roundrobin2_grant; -wire builder_roundrobin2_ce; -wire builder_roundrobin3_request; -wire builder_roundrobin3_grant; -wire builder_roundrobin3_ce; -wire builder_roundrobin4_request; -wire builder_roundrobin4_grant; -wire builder_roundrobin4_ce; -wire builder_roundrobin5_request; -wire builder_roundrobin5_grant; -wire builder_roundrobin5_ce; -wire builder_roundrobin6_request; -wire builder_roundrobin6_grant; -wire builder_roundrobin6_ce; -wire builder_roundrobin7_request; -wire builder_roundrobin7_grant; -wire builder_roundrobin7_ce; -reg builder_locked0 = 1'd0; -reg builder_locked1 = 1'd0; -reg builder_locked2 = 1'd0; -reg builder_locked3 = 1'd0; -reg builder_locked4 = 1'd0; -reg builder_locked5 = 1'd0; -reg builder_locked6 = 1'd0; -reg builder_locked7 = 1'd0; -reg builder_new_master_wdata_ready0 = 1'd0; -reg builder_new_master_wdata_ready1 = 1'd0; -reg builder_new_master_rdata_valid0 = 1'd0; -reg builder_new_master_rdata_valid1 = 1'd0; -reg builder_new_master_rdata_valid2 = 1'd0; -reg builder_new_master_rdata_valid3 = 1'd0; -reg builder_new_master_rdata_valid4 = 1'd0; -reg builder_new_master_rdata_valid5 = 1'd0; -reg builder_new_master_rdata_valid6 = 1'd0; -reg builder_new_master_rdata_valid7 = 1'd0; -reg builder_new_master_rdata_valid8 = 1'd0; -reg [13:0] builder_litedramcore_adr = 14'd0; -reg builder_litedramcore_we = 1'd0; -reg [31:0] builder_litedramcore_dat_w = 32'd0; -wire [31:0] builder_litedramcore_dat_r; -wire [29:0] builder_litedramcore_wishbone_adr; -wire [31:0] builder_litedramcore_wishbone_dat_w; -reg [31:0] builder_litedramcore_wishbone_dat_r = 32'd0; -wire [3:0] builder_litedramcore_wishbone_sel; -wire builder_litedramcore_wishbone_cyc; -wire builder_litedramcore_wishbone_stb; -reg builder_litedramcore_wishbone_ack = 1'd0; -wire builder_litedramcore_wishbone_we; -wire [2:0] builder_litedramcore_wishbone_cti; -wire [1:0] builder_litedramcore_wishbone_bte; -reg builder_litedramcore_wishbone_err = 1'd0; -wire [13:0] builder_interface0_bank_bus_adr; -wire builder_interface0_bank_bus_we; -wire [31:0] builder_interface0_bank_bus_dat_w; -reg [31:0] builder_interface0_bank_bus_dat_r = 32'd0; -reg builder_csrbank0_init_done0_re = 1'd0; -wire builder_csrbank0_init_done0_r; -reg builder_csrbank0_init_done0_we = 1'd0; -wire builder_csrbank0_init_done0_w; -reg builder_csrbank0_init_error0_re = 1'd0; -wire builder_csrbank0_init_error0_r; -reg builder_csrbank0_init_error0_we = 1'd0; -wire builder_csrbank0_init_error0_w; -wire builder_csrbank0_sel; -wire [13:0] builder_interface1_bank_bus_adr; -wire builder_interface1_bank_bus_we; -wire [31:0] builder_interface1_bank_bus_dat_w; -reg [31:0] builder_interface1_bank_bus_dat_r = 32'd0; -reg builder_csrbank1_rst0_re = 1'd0; -wire builder_csrbank1_rst0_r; -reg builder_csrbank1_rst0_we = 1'd0; -wire builder_csrbank1_rst0_w; -reg builder_csrbank1_half_sys8x_taps0_re = 1'd0; -wire [4:0] builder_csrbank1_half_sys8x_taps0_r; -reg builder_csrbank1_half_sys8x_taps0_we = 1'd0; -wire [4:0] builder_csrbank1_half_sys8x_taps0_w; -reg builder_csrbank1_wlevel_en0_re = 1'd0; -wire builder_csrbank1_wlevel_en0_r; -reg builder_csrbank1_wlevel_en0_we = 1'd0; -wire builder_csrbank1_wlevel_en0_w; -reg builder_csrbank1_dly_sel0_re = 1'd0; -wire [1:0] builder_csrbank1_dly_sel0_r; -reg builder_csrbank1_dly_sel0_we = 1'd0; -wire [1:0] builder_csrbank1_dly_sel0_w; -reg builder_csrbank1_rdphase0_re = 1'd0; -wire [1:0] builder_csrbank1_rdphase0_r; -reg builder_csrbank1_rdphase0_we = 1'd0; -wire [1:0] builder_csrbank1_rdphase0_w; -reg builder_csrbank1_wrphase0_re = 1'd0; -wire [1:0] builder_csrbank1_wrphase0_r; -reg builder_csrbank1_wrphase0_we = 1'd0; -wire [1:0] builder_csrbank1_wrphase0_w; -wire builder_csrbank1_sel; -wire [13:0] builder_interface2_bank_bus_adr; -wire builder_interface2_bank_bus_we; -wire [31:0] builder_interface2_bank_bus_dat_w; -reg [31:0] builder_interface2_bank_bus_dat_r = 32'd0; -reg builder_csrbank2_dfii_control0_re = 1'd0; -wire [3:0] builder_csrbank2_dfii_control0_r; -reg builder_csrbank2_dfii_control0_we = 1'd0; -wire [3:0] builder_csrbank2_dfii_control0_w; -reg builder_csrbank2_dfii_pi0_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi0_command0_r; -reg builder_csrbank2_dfii_pi0_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi0_command0_w; -reg builder_csrbank2_dfii_pi0_address0_re = 1'd0; -wire [15:0] builder_csrbank2_dfii_pi0_address0_r; -reg builder_csrbank2_dfii_pi0_address0_we = 1'd0; -wire [15:0] builder_csrbank2_dfii_pi0_address0_w; -reg builder_csrbank2_dfii_pi0_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi0_baddress0_r; -reg builder_csrbank2_dfii_pi0_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi0_baddress0_w; -reg builder_csrbank2_dfii_pi0_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_wrdata0_r; -reg builder_csrbank2_dfii_pi0_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_wrdata0_w; -reg builder_csrbank2_dfii_pi0_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_rddata_r; -reg builder_csrbank2_dfii_pi0_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_rddata_w; -reg builder_csrbank2_dfii_pi1_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi1_command0_r; -reg builder_csrbank2_dfii_pi1_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi1_command0_w; -reg builder_csrbank2_dfii_pi1_address0_re = 1'd0; -wire [15:0] builder_csrbank2_dfii_pi1_address0_r; -reg builder_csrbank2_dfii_pi1_address0_we = 1'd0; -wire [15:0] builder_csrbank2_dfii_pi1_address0_w; -reg builder_csrbank2_dfii_pi1_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi1_baddress0_r; -reg builder_csrbank2_dfii_pi1_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi1_baddress0_w; -reg builder_csrbank2_dfii_pi1_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_wrdata0_r; -reg builder_csrbank2_dfii_pi1_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_wrdata0_w; -reg builder_csrbank2_dfii_pi1_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_rddata_r; -reg builder_csrbank2_dfii_pi1_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_rddata_w; -reg builder_csrbank2_dfii_pi2_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi2_command0_r; -reg builder_csrbank2_dfii_pi2_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi2_command0_w; -reg builder_csrbank2_dfii_pi2_address0_re = 1'd0; -wire [15:0] builder_csrbank2_dfii_pi2_address0_r; -reg builder_csrbank2_dfii_pi2_address0_we = 1'd0; -wire [15:0] builder_csrbank2_dfii_pi2_address0_w; -reg builder_csrbank2_dfii_pi2_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi2_baddress0_r; -reg builder_csrbank2_dfii_pi2_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi2_baddress0_w; -reg builder_csrbank2_dfii_pi2_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_wrdata0_r; -reg builder_csrbank2_dfii_pi2_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_wrdata0_w; -reg builder_csrbank2_dfii_pi2_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_rddata_r; -reg builder_csrbank2_dfii_pi2_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_rddata_w; -reg builder_csrbank2_dfii_pi3_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi3_command0_r; -reg builder_csrbank2_dfii_pi3_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi3_command0_w; -reg builder_csrbank2_dfii_pi3_address0_re = 1'd0; -wire [15:0] builder_csrbank2_dfii_pi3_address0_r; -reg builder_csrbank2_dfii_pi3_address0_we = 1'd0; -wire [15:0] builder_csrbank2_dfii_pi3_address0_w; -reg builder_csrbank2_dfii_pi3_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi3_baddress0_r; -reg builder_csrbank2_dfii_pi3_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi3_baddress0_w; -reg builder_csrbank2_dfii_pi3_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_wrdata0_r; -reg builder_csrbank2_dfii_pi3_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_wrdata0_w; -reg builder_csrbank2_dfii_pi3_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_rddata_r; -reg builder_csrbank2_dfii_pi3_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_rddata_w; -wire builder_csrbank2_sel; -wire [13:0] builder_csr_interconnect_adr; -wire builder_csr_interconnect_we; -wire [31:0] builder_csr_interconnect_dat_w; -wire [31:0] builder_csr_interconnect_dat_r; -reg [1:0] builder_state = 2'd0; -reg [1:0] builder_next_state = 2'd0; -reg [31:0] builder_litedramcore_dat_w_next_value0 = 32'd0; -reg builder_litedramcore_dat_w_next_value_ce0 = 1'd0; -reg [13:0] builder_litedramcore_adr_next_value1 = 14'd0; -reg builder_litedramcore_adr_next_value_ce1 = 1'd0; -reg builder_litedramcore_we_next_value2 = 1'd0; -reg builder_litedramcore_we_next_value_ce2 = 1'd0; -reg builder_rhs_array_muxed0 = 1'd0; -reg [15:0] builder_rhs_array_muxed1 = 16'd0; -reg [2:0] builder_rhs_array_muxed2 = 3'd0; -reg builder_rhs_array_muxed3 = 1'd0; -reg builder_rhs_array_muxed4 = 1'd0; -reg builder_rhs_array_muxed5 = 1'd0; -reg builder_t_array_muxed0 = 1'd0; -reg builder_t_array_muxed1 = 1'd0; -reg builder_t_array_muxed2 = 1'd0; -reg builder_rhs_array_muxed6 = 1'd0; -reg [15:0] builder_rhs_array_muxed7 = 16'd0; -reg [2:0] builder_rhs_array_muxed8 = 3'd0; -reg builder_rhs_array_muxed9 = 1'd0; -reg builder_rhs_array_muxed10 = 1'd0; -reg builder_rhs_array_muxed11 = 1'd0; -reg builder_t_array_muxed3 = 1'd0; -reg builder_t_array_muxed4 = 1'd0; -reg builder_t_array_muxed5 = 1'd0; -reg [22:0] builder_rhs_array_muxed12 = 23'd0; -reg builder_rhs_array_muxed13 = 1'd0; -reg builder_rhs_array_muxed14 = 1'd0; -reg [22:0] builder_rhs_array_muxed15 = 23'd0; -reg builder_rhs_array_muxed16 = 1'd0; -reg builder_rhs_array_muxed17 = 1'd0; -reg [22:0] builder_rhs_array_muxed18 = 23'd0; -reg builder_rhs_array_muxed19 = 1'd0; -reg builder_rhs_array_muxed20 = 1'd0; -reg [22:0] builder_rhs_array_muxed21 = 23'd0; -reg builder_rhs_array_muxed22 = 1'd0; -reg builder_rhs_array_muxed23 = 1'd0; -reg [22:0] builder_rhs_array_muxed24 = 23'd0; -reg builder_rhs_array_muxed25 = 1'd0; -reg builder_rhs_array_muxed26 = 1'd0; -reg [22:0] builder_rhs_array_muxed27 = 23'd0; -reg builder_rhs_array_muxed28 = 1'd0; -reg builder_rhs_array_muxed29 = 1'd0; -reg [22:0] builder_rhs_array_muxed30 = 23'd0; -reg builder_rhs_array_muxed31 = 1'd0; -reg builder_rhs_array_muxed32 = 1'd0; -reg [22:0] builder_rhs_array_muxed33 = 23'd0; -reg builder_rhs_array_muxed34 = 1'd0; -reg builder_rhs_array_muxed35 = 1'd0; -reg [2:0] builder_array_muxed0 = 3'd0; -reg [15:0] builder_array_muxed1 = 16'd0; -reg builder_array_muxed2 = 1'd0; -reg builder_array_muxed3 = 1'd0; -reg builder_array_muxed4 = 1'd0; -reg builder_array_muxed5 = 1'd0; -reg builder_array_muxed6 = 1'd0; -reg [2:0] builder_array_muxed7 = 3'd0; -reg [15:0] builder_array_muxed8 = 16'd0; -reg builder_array_muxed9 = 1'd0; -reg builder_array_muxed10 = 1'd0; -reg builder_array_muxed11 = 1'd0; -reg builder_array_muxed12 = 1'd0; -reg builder_array_muxed13 = 1'd0; -reg [2:0] builder_array_muxed14 = 3'd0; -reg [15:0] builder_array_muxed15 = 16'd0; -reg builder_array_muxed16 = 1'd0; -reg builder_array_muxed17 = 1'd0; -reg builder_array_muxed18 = 1'd0; -reg builder_array_muxed19 = 1'd0; -reg builder_array_muxed20 = 1'd0; -reg [2:0] builder_array_muxed21 = 3'd0; -reg [15:0] builder_array_muxed22 = 16'd0; -reg builder_array_muxed23 = 1'd0; -reg builder_array_muxed24 = 1'd0; -reg builder_array_muxed25 = 1'd0; -reg builder_array_muxed26 = 1'd0; -reg builder_array_muxed27 = 1'd0; -wire builder_xilinxasyncresetsynchronizerimpl0; -wire builder_xilinxasyncresetsynchronizerimpl0_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl1; -wire builder_xilinxasyncresetsynchronizerimpl1_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl2; -wire builder_xilinxasyncresetsynchronizerimpl2_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl2_expr; -wire builder_xilinxasyncresetsynchronizerimpl3; -wire builder_xilinxasyncresetsynchronizerimpl3_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl3_expr; +wire reset; +reg power_down = 1'd0; +wire locked; +wire clkin; +wire clkout0; +wire clkout_buf0; +wire clkout1; +wire clkout_buf1; +wire clkout2; +wire clkout_buf2; +wire clkout3; +wire clkout_buf3; +reg [3:0] reset_counter = 4'd15; +reg ic_reset = 1'd1; +reg a7ddrphy_rst_storage = 1'd0; +reg a7ddrphy_rst_re = 1'd0; +reg [1:0] a7ddrphy_dly_sel_storage = 2'd0; +reg a7ddrphy_dly_sel_re = 1'd0; +reg [4:0] a7ddrphy_half_sys8x_taps_storage = 5'd8; +reg a7ddrphy_half_sys8x_taps_re = 1'd0; +reg a7ddrphy_wlevel_en_storage = 1'd0; +reg a7ddrphy_wlevel_en_re = 1'd0; +reg a7ddrphy_wlevel_strobe_re = 1'd0; +wire a7ddrphy_wlevel_strobe_r; +reg a7ddrphy_wlevel_strobe_we = 1'd0; +reg a7ddrphy_wlevel_strobe_w = 1'd0; +reg a7ddrphy_rdly_dq_rst_re = 1'd0; +wire a7ddrphy_rdly_dq_rst_r; +reg a7ddrphy_rdly_dq_rst_we = 1'd0; +reg a7ddrphy_rdly_dq_rst_w = 1'd0; +reg a7ddrphy_rdly_dq_inc_re = 1'd0; +wire a7ddrphy_rdly_dq_inc_r; +reg a7ddrphy_rdly_dq_inc_we = 1'd0; +reg a7ddrphy_rdly_dq_inc_w = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_rst_re = 1'd0; +wire a7ddrphy_rdly_dq_bitslip_rst_r; +reg a7ddrphy_rdly_dq_bitslip_rst_we = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_re = 1'd0; +wire a7ddrphy_rdly_dq_bitslip_r; +reg a7ddrphy_rdly_dq_bitslip_we = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_w = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_rst_re = 1'd0; +wire a7ddrphy_wdly_dq_bitslip_rst_r; +reg a7ddrphy_wdly_dq_bitslip_rst_we = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_rst_w = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_re = 1'd0; +wire a7ddrphy_wdly_dq_bitslip_r; +reg a7ddrphy_wdly_dq_bitslip_we = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_w = 1'd0; +reg [1:0] a7ddrphy_rdphase_storage = 2'd2; +reg a7ddrphy_rdphase_re = 1'd0; +reg [1:0] a7ddrphy_wrphase_storage = 2'd3; +reg a7ddrphy_wrphase_re = 1'd0; +wire [15:0] a7ddrphy_dfi_p0_address; +wire [2:0] a7ddrphy_dfi_p0_bank; +wire a7ddrphy_dfi_p0_cas_n; +wire a7ddrphy_dfi_p0_cs_n; +wire a7ddrphy_dfi_p0_ras_n; +wire a7ddrphy_dfi_p0_we_n; +wire a7ddrphy_dfi_p0_cke; +wire a7ddrphy_dfi_p0_odt; +wire a7ddrphy_dfi_p0_reset_n; +wire a7ddrphy_dfi_p0_act_n; +wire [31:0] a7ddrphy_dfi_p0_wrdata; +wire a7ddrphy_dfi_p0_wrdata_en; +wire [3:0] a7ddrphy_dfi_p0_wrdata_mask; +wire a7ddrphy_dfi_p0_rddata_en; +reg [31:0] a7ddrphy_dfi_p0_rddata = 32'd0; +wire a7ddrphy_dfi_p0_rddata_valid; +wire [15:0] a7ddrphy_dfi_p1_address; +wire [2:0] a7ddrphy_dfi_p1_bank; +wire a7ddrphy_dfi_p1_cas_n; +wire a7ddrphy_dfi_p1_cs_n; +wire a7ddrphy_dfi_p1_ras_n; +wire a7ddrphy_dfi_p1_we_n; +wire a7ddrphy_dfi_p1_cke; +wire a7ddrphy_dfi_p1_odt; +wire a7ddrphy_dfi_p1_reset_n; +wire a7ddrphy_dfi_p1_act_n; +wire [31:0] a7ddrphy_dfi_p1_wrdata; +wire a7ddrphy_dfi_p1_wrdata_en; +wire [3:0] a7ddrphy_dfi_p1_wrdata_mask; +wire a7ddrphy_dfi_p1_rddata_en; +reg [31:0] a7ddrphy_dfi_p1_rddata = 32'd0; +wire a7ddrphy_dfi_p1_rddata_valid; +wire [15:0] a7ddrphy_dfi_p2_address; +wire [2:0] a7ddrphy_dfi_p2_bank; +wire a7ddrphy_dfi_p2_cas_n; +wire a7ddrphy_dfi_p2_cs_n; +wire a7ddrphy_dfi_p2_ras_n; +wire a7ddrphy_dfi_p2_we_n; +wire a7ddrphy_dfi_p2_cke; +wire a7ddrphy_dfi_p2_odt; +wire a7ddrphy_dfi_p2_reset_n; +wire a7ddrphy_dfi_p2_act_n; +wire [31:0] a7ddrphy_dfi_p2_wrdata; +wire a7ddrphy_dfi_p2_wrdata_en; +wire [3:0] a7ddrphy_dfi_p2_wrdata_mask; +wire a7ddrphy_dfi_p2_rddata_en; +reg [31:0] a7ddrphy_dfi_p2_rddata = 32'd0; +wire a7ddrphy_dfi_p2_rddata_valid; +wire [15:0] a7ddrphy_dfi_p3_address; +wire [2:0] a7ddrphy_dfi_p3_bank; +wire a7ddrphy_dfi_p3_cas_n; +wire a7ddrphy_dfi_p3_cs_n; +wire a7ddrphy_dfi_p3_ras_n; +wire a7ddrphy_dfi_p3_we_n; +wire a7ddrphy_dfi_p3_cke; +wire a7ddrphy_dfi_p3_odt; +wire a7ddrphy_dfi_p3_reset_n; +wire a7ddrphy_dfi_p3_act_n; +wire [31:0] a7ddrphy_dfi_p3_wrdata; +wire a7ddrphy_dfi_p3_wrdata_en; +wire [3:0] a7ddrphy_dfi_p3_wrdata_mask; +wire a7ddrphy_dfi_p3_rddata_en; +reg [31:0] a7ddrphy_dfi_p3_rddata = 32'd0; +wire a7ddrphy_dfi_p3_rddata_valid; +wire a7ddrphy_sd_clk_se_nodelay; +wire [2:0] a7ddrphy_pads_ba; +reg a7ddrphy_dqs_oe = 1'd0; +wire a7ddrphy_dqs_preamble; +wire a7ddrphy_dqs_postamble; +wire a7ddrphy_dqs_oe_delay_tappeddelayline; +reg a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; +reg a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; +reg a7ddrphy_dqspattern0 = 1'd0; +reg a7ddrphy_dqspattern1 = 1'd0; +reg [7:0] a7ddrphy_dqspattern_o0 = 8'd0; +reg [7:0] a7ddrphy_dqspattern_o1 = 8'd0; +wire a7ddrphy_dqs_o_no_delay0; +wire a7ddrphy_dqs_t0; +reg [7:0] a7ddrphy_bitslip00 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r0 = 16'd0; +wire a7ddrphy0; +wire a7ddrphy_dqs_o_no_delay1; +wire a7ddrphy_dqs_t1; +reg [7:0] a7ddrphy_bitslip10 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r0 = 16'd0; +wire a7ddrphy1; +reg [7:0] a7ddrphy_bitslip01 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r1 = 16'd0; +reg [7:0] a7ddrphy_bitslip11 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r1 = 16'd0; +wire a7ddrphy_dq_oe; +wire a7ddrphy_dq_oe_delay_tappeddelayline; +reg a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; +reg a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; +wire a7ddrphy_dq_o_nodelay0; +wire a7ddrphy_dq_i_nodelay0; +wire a7ddrphy_dq_i_delayed0; +wire a7ddrphy_dq_t0; +reg [7:0] a7ddrphy_bitslip02 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value2 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r2 = 16'd0; +wire [7:0] a7ddrphy_bitslip03; +reg [7:0] a7ddrphy_bitslip04 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value3 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r3 = 16'd0; +wire a7ddrphy_dq_o_nodelay1; +wire a7ddrphy_dq_i_nodelay1; +wire a7ddrphy_dq_i_delayed1; +wire a7ddrphy_dq_t1; +reg [7:0] a7ddrphy_bitslip12 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value2 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r2 = 16'd0; +wire [7:0] a7ddrphy_bitslip13; +reg [7:0] a7ddrphy_bitslip14 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value3 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r3 = 16'd0; +wire a7ddrphy_dq_o_nodelay2; +wire a7ddrphy_dq_i_nodelay2; +wire a7ddrphy_dq_i_delayed2; +wire a7ddrphy_dq_t2; +reg [7:0] a7ddrphy_bitslip20 = 8'd0; +reg [2:0] a7ddrphy_bitslip2_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip2_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip21; +reg [7:0] a7ddrphy_bitslip22 = 8'd0; +reg [2:0] a7ddrphy_bitslip2_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip2_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay3; +wire a7ddrphy_dq_i_nodelay3; +wire a7ddrphy_dq_i_delayed3; +wire a7ddrphy_dq_t3; +reg [7:0] a7ddrphy_bitslip30 = 8'd0; +reg [2:0] a7ddrphy_bitslip3_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip3_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip31; +reg [7:0] a7ddrphy_bitslip32 = 8'd0; +reg [2:0] a7ddrphy_bitslip3_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip3_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay4; +wire a7ddrphy_dq_i_nodelay4; +wire a7ddrphy_dq_i_delayed4; +wire a7ddrphy_dq_t4; +reg [7:0] a7ddrphy_bitslip40 = 8'd0; +reg [2:0] a7ddrphy_bitslip4_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip4_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip41; +reg [7:0] a7ddrphy_bitslip42 = 8'd0; +reg [2:0] a7ddrphy_bitslip4_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip4_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay5; +wire a7ddrphy_dq_i_nodelay5; +wire a7ddrphy_dq_i_delayed5; +wire a7ddrphy_dq_t5; +reg [7:0] a7ddrphy_bitslip50 = 8'd0; +reg [2:0] a7ddrphy_bitslip5_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip5_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip51; +reg [7:0] a7ddrphy_bitslip52 = 8'd0; +reg [2:0] a7ddrphy_bitslip5_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip5_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay6; +wire a7ddrphy_dq_i_nodelay6; +wire a7ddrphy_dq_i_delayed6; +wire a7ddrphy_dq_t6; +reg [7:0] a7ddrphy_bitslip60 = 8'd0; +reg [2:0] a7ddrphy_bitslip6_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip6_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip61; +reg [7:0] a7ddrphy_bitslip62 = 8'd0; +reg [2:0] a7ddrphy_bitslip6_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip6_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay7; +wire a7ddrphy_dq_i_nodelay7; +wire a7ddrphy_dq_i_delayed7; +wire a7ddrphy_dq_t7; +reg [7:0] a7ddrphy_bitslip70 = 8'd0; +reg [2:0] a7ddrphy_bitslip7_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip7_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip71; +reg [7:0] a7ddrphy_bitslip72 = 8'd0; +reg [2:0] a7ddrphy_bitslip7_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip7_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay8; +wire a7ddrphy_dq_i_nodelay8; +wire a7ddrphy_dq_i_delayed8; +wire a7ddrphy_dq_t8; +reg [7:0] a7ddrphy_bitslip80 = 8'd0; +reg [2:0] a7ddrphy_bitslip8_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip8_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip81; +reg [7:0] a7ddrphy_bitslip82 = 8'd0; +reg [2:0] a7ddrphy_bitslip8_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip8_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay9; +wire a7ddrphy_dq_i_nodelay9; +wire a7ddrphy_dq_i_delayed9; +wire a7ddrphy_dq_t9; +reg [7:0] a7ddrphy_bitslip90 = 8'd0; +reg [2:0] a7ddrphy_bitslip9_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip9_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip91; +reg [7:0] a7ddrphy_bitslip92 = 8'd0; +reg [2:0] a7ddrphy_bitslip9_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip9_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay10; +wire a7ddrphy_dq_i_nodelay10; +wire a7ddrphy_dq_i_delayed10; +wire a7ddrphy_dq_t10; +reg [7:0] a7ddrphy_bitslip100 = 8'd0; +reg [2:0] a7ddrphy_bitslip10_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip10_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip101; +reg [7:0] a7ddrphy_bitslip102 = 8'd0; +reg [2:0] a7ddrphy_bitslip10_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip10_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay11; +wire a7ddrphy_dq_i_nodelay11; +wire a7ddrphy_dq_i_delayed11; +wire a7ddrphy_dq_t11; +reg [7:0] a7ddrphy_bitslip110 = 8'd0; +reg [2:0] a7ddrphy_bitslip11_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip11_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip111; +reg [7:0] a7ddrphy_bitslip112 = 8'd0; +reg [2:0] a7ddrphy_bitslip11_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip11_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay12; +wire a7ddrphy_dq_i_nodelay12; +wire a7ddrphy_dq_i_delayed12; +wire a7ddrphy_dq_t12; +reg [7:0] a7ddrphy_bitslip120 = 8'd0; +reg [2:0] a7ddrphy_bitslip12_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip12_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip121; +reg [7:0] a7ddrphy_bitslip122 = 8'd0; +reg [2:0] a7ddrphy_bitslip12_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip12_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay13; +wire a7ddrphy_dq_i_nodelay13; +wire a7ddrphy_dq_i_delayed13; +wire a7ddrphy_dq_t13; +reg [7:0] a7ddrphy_bitslip130 = 8'd0; +reg [2:0] a7ddrphy_bitslip13_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip13_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip131; +reg [7:0] a7ddrphy_bitslip132 = 8'd0; +reg [2:0] a7ddrphy_bitslip13_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip13_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay14; +wire a7ddrphy_dq_i_nodelay14; +wire a7ddrphy_dq_i_delayed14; +wire a7ddrphy_dq_t14; +reg [7:0] a7ddrphy_bitslip140 = 8'd0; +reg [2:0] a7ddrphy_bitslip14_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip14_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip141; +reg [7:0] a7ddrphy_bitslip142 = 8'd0; +reg [2:0] a7ddrphy_bitslip14_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip14_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay15; +wire a7ddrphy_dq_i_nodelay15; +wire a7ddrphy_dq_i_delayed15; +wire a7ddrphy_dq_t15; +reg [7:0] a7ddrphy_bitslip150 = 8'd0; +reg [2:0] a7ddrphy_bitslip15_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip15_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip151; +reg [7:0] a7ddrphy_bitslip152 = 8'd0; +reg [2:0] a7ddrphy_bitslip15_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip15_r1 = 16'd0; +reg a7ddrphy_rddata_en_tappeddelayline0 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline1 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline2 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline3 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline4 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline5 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline6 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline7 = 1'd0; +reg a7ddrphy_wrdata_en_tappeddelayline0 = 1'd0; +reg a7ddrphy_wrdata_en_tappeddelayline1 = 1'd0; +reg a7ddrphy_wrdata_en_tappeddelayline2 = 1'd0; +wire [15:0] litedramcore_slave_p0_address; +wire [2:0] litedramcore_slave_p0_bank; +wire litedramcore_slave_p0_cas_n; +wire litedramcore_slave_p0_cs_n; +wire litedramcore_slave_p0_ras_n; +wire litedramcore_slave_p0_we_n; +wire litedramcore_slave_p0_cke; +wire litedramcore_slave_p0_odt; +wire litedramcore_slave_p0_reset_n; +wire litedramcore_slave_p0_act_n; +wire [31:0] litedramcore_slave_p0_wrdata; +wire litedramcore_slave_p0_wrdata_en; +wire [3:0] litedramcore_slave_p0_wrdata_mask; +wire litedramcore_slave_p0_rddata_en; +reg [31:0] litedramcore_slave_p0_rddata = 32'd0; +reg litedramcore_slave_p0_rddata_valid = 1'd0; +wire [15:0] litedramcore_slave_p1_address; +wire [2:0] litedramcore_slave_p1_bank; +wire litedramcore_slave_p1_cas_n; +wire litedramcore_slave_p1_cs_n; +wire litedramcore_slave_p1_ras_n; +wire litedramcore_slave_p1_we_n; +wire litedramcore_slave_p1_cke; +wire litedramcore_slave_p1_odt; +wire litedramcore_slave_p1_reset_n; +wire litedramcore_slave_p1_act_n; +wire [31:0] litedramcore_slave_p1_wrdata; +wire litedramcore_slave_p1_wrdata_en; +wire [3:0] litedramcore_slave_p1_wrdata_mask; +wire litedramcore_slave_p1_rddata_en; +reg [31:0] litedramcore_slave_p1_rddata = 32'd0; +reg litedramcore_slave_p1_rddata_valid = 1'd0; +wire [15:0] litedramcore_slave_p2_address; +wire [2:0] litedramcore_slave_p2_bank; +wire litedramcore_slave_p2_cas_n; +wire litedramcore_slave_p2_cs_n; +wire litedramcore_slave_p2_ras_n; +wire litedramcore_slave_p2_we_n; +wire litedramcore_slave_p2_cke; +wire litedramcore_slave_p2_odt; +wire litedramcore_slave_p2_reset_n; +wire litedramcore_slave_p2_act_n; +wire [31:0] litedramcore_slave_p2_wrdata; +wire litedramcore_slave_p2_wrdata_en; +wire [3:0] litedramcore_slave_p2_wrdata_mask; +wire litedramcore_slave_p2_rddata_en; +reg [31:0] litedramcore_slave_p2_rddata = 32'd0; +reg litedramcore_slave_p2_rddata_valid = 1'd0; +wire [15:0] litedramcore_slave_p3_address; +wire [2:0] litedramcore_slave_p3_bank; +wire litedramcore_slave_p3_cas_n; +wire litedramcore_slave_p3_cs_n; +wire litedramcore_slave_p3_ras_n; +wire litedramcore_slave_p3_we_n; +wire litedramcore_slave_p3_cke; +wire litedramcore_slave_p3_odt; +wire litedramcore_slave_p3_reset_n; +wire litedramcore_slave_p3_act_n; +wire [31:0] litedramcore_slave_p3_wrdata; +wire litedramcore_slave_p3_wrdata_en; +wire [3:0] litedramcore_slave_p3_wrdata_mask; +wire litedramcore_slave_p3_rddata_en; +reg [31:0] litedramcore_slave_p3_rddata = 32'd0; +reg litedramcore_slave_p3_rddata_valid = 1'd0; +reg [15:0] litedramcore_master_p0_address = 16'd0; +reg [2:0] litedramcore_master_p0_bank = 3'd0; +reg litedramcore_master_p0_cas_n = 1'd1; +reg litedramcore_master_p0_cs_n = 1'd1; +reg litedramcore_master_p0_ras_n = 1'd1; +reg litedramcore_master_p0_we_n = 1'd1; +reg litedramcore_master_p0_cke = 1'd0; +reg litedramcore_master_p0_odt = 1'd0; +reg litedramcore_master_p0_reset_n = 1'd0; +reg litedramcore_master_p0_act_n = 1'd1; +reg [31:0] litedramcore_master_p0_wrdata = 32'd0; +reg litedramcore_master_p0_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p0_wrdata_mask = 4'd0; +reg litedramcore_master_p0_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p0_rddata; +wire litedramcore_master_p0_rddata_valid; +reg [15:0] litedramcore_master_p1_address = 16'd0; +reg [2:0] litedramcore_master_p1_bank = 3'd0; +reg litedramcore_master_p1_cas_n = 1'd1; +reg litedramcore_master_p1_cs_n = 1'd1; +reg litedramcore_master_p1_ras_n = 1'd1; +reg litedramcore_master_p1_we_n = 1'd1; +reg litedramcore_master_p1_cke = 1'd0; +reg litedramcore_master_p1_odt = 1'd0; +reg litedramcore_master_p1_reset_n = 1'd0; +reg litedramcore_master_p1_act_n = 1'd1; +reg [31:0] litedramcore_master_p1_wrdata = 32'd0; +reg litedramcore_master_p1_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p1_wrdata_mask = 4'd0; +reg litedramcore_master_p1_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p1_rddata; +wire litedramcore_master_p1_rddata_valid; +reg [15:0] litedramcore_master_p2_address = 16'd0; +reg [2:0] litedramcore_master_p2_bank = 3'd0; +reg litedramcore_master_p2_cas_n = 1'd1; +reg litedramcore_master_p2_cs_n = 1'd1; +reg litedramcore_master_p2_ras_n = 1'd1; +reg litedramcore_master_p2_we_n = 1'd1; +reg litedramcore_master_p2_cke = 1'd0; +reg litedramcore_master_p2_odt = 1'd0; +reg litedramcore_master_p2_reset_n = 1'd0; +reg litedramcore_master_p2_act_n = 1'd1; +reg [31:0] litedramcore_master_p2_wrdata = 32'd0; +reg litedramcore_master_p2_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p2_wrdata_mask = 4'd0; +reg litedramcore_master_p2_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p2_rddata; +wire litedramcore_master_p2_rddata_valid; +reg [15:0] litedramcore_master_p3_address = 16'd0; +reg [2:0] litedramcore_master_p3_bank = 3'd0; +reg litedramcore_master_p3_cas_n = 1'd1; +reg litedramcore_master_p3_cs_n = 1'd1; +reg litedramcore_master_p3_ras_n = 1'd1; +reg litedramcore_master_p3_we_n = 1'd1; +reg litedramcore_master_p3_cke = 1'd0; +reg litedramcore_master_p3_odt = 1'd0; +reg litedramcore_master_p3_reset_n = 1'd0; +reg litedramcore_master_p3_act_n = 1'd1; +reg [31:0] litedramcore_master_p3_wrdata = 32'd0; +reg litedramcore_master_p3_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p3_wrdata_mask = 4'd0; +reg litedramcore_master_p3_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p3_rddata; +wire litedramcore_master_p3_rddata_valid; +wire [15:0] litedramcore_csr_dfi_p0_address; +wire [2:0] litedramcore_csr_dfi_p0_bank; +reg litedramcore_csr_dfi_p0_cas_n = 1'd1; +reg litedramcore_csr_dfi_p0_cs_n = 1'd1; +reg litedramcore_csr_dfi_p0_ras_n = 1'd1; +reg litedramcore_csr_dfi_p0_we_n = 1'd1; +wire litedramcore_csr_dfi_p0_cke; +wire litedramcore_csr_dfi_p0_odt; +wire litedramcore_csr_dfi_p0_reset_n; +reg litedramcore_csr_dfi_p0_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p0_wrdata; +wire litedramcore_csr_dfi_p0_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p0_wrdata_mask; +wire litedramcore_csr_dfi_p0_rddata_en; +reg [31:0] litedramcore_csr_dfi_p0_rddata = 32'd0; +reg litedramcore_csr_dfi_p0_rddata_valid = 1'd0; +wire [15:0] litedramcore_csr_dfi_p1_address; +wire [2:0] litedramcore_csr_dfi_p1_bank; +reg litedramcore_csr_dfi_p1_cas_n = 1'd1; +reg litedramcore_csr_dfi_p1_cs_n = 1'd1; +reg litedramcore_csr_dfi_p1_ras_n = 1'd1; +reg litedramcore_csr_dfi_p1_we_n = 1'd1; +wire litedramcore_csr_dfi_p1_cke; +wire litedramcore_csr_dfi_p1_odt; +wire litedramcore_csr_dfi_p1_reset_n; +reg litedramcore_csr_dfi_p1_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p1_wrdata; +wire litedramcore_csr_dfi_p1_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p1_wrdata_mask; +wire litedramcore_csr_dfi_p1_rddata_en; +reg [31:0] litedramcore_csr_dfi_p1_rddata = 32'd0; +reg litedramcore_csr_dfi_p1_rddata_valid = 1'd0; +wire [15:0] litedramcore_csr_dfi_p2_address; +wire [2:0] litedramcore_csr_dfi_p2_bank; +reg litedramcore_csr_dfi_p2_cas_n = 1'd1; +reg litedramcore_csr_dfi_p2_cs_n = 1'd1; +reg litedramcore_csr_dfi_p2_ras_n = 1'd1; +reg litedramcore_csr_dfi_p2_we_n = 1'd1; +wire litedramcore_csr_dfi_p2_cke; +wire litedramcore_csr_dfi_p2_odt; +wire litedramcore_csr_dfi_p2_reset_n; +reg litedramcore_csr_dfi_p2_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p2_wrdata; +wire litedramcore_csr_dfi_p2_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p2_wrdata_mask; +wire litedramcore_csr_dfi_p2_rddata_en; +reg [31:0] litedramcore_csr_dfi_p2_rddata = 32'd0; +reg litedramcore_csr_dfi_p2_rddata_valid = 1'd0; +wire [15:0] litedramcore_csr_dfi_p3_address; +wire [2:0] litedramcore_csr_dfi_p3_bank; +reg litedramcore_csr_dfi_p3_cas_n = 1'd1; +reg litedramcore_csr_dfi_p3_cs_n = 1'd1; +reg litedramcore_csr_dfi_p3_ras_n = 1'd1; +reg litedramcore_csr_dfi_p3_we_n = 1'd1; +wire litedramcore_csr_dfi_p3_cke; +wire litedramcore_csr_dfi_p3_odt; +wire litedramcore_csr_dfi_p3_reset_n; +reg litedramcore_csr_dfi_p3_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p3_wrdata; +wire litedramcore_csr_dfi_p3_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p3_wrdata_mask; +wire litedramcore_csr_dfi_p3_rddata_en; +reg [31:0] litedramcore_csr_dfi_p3_rddata = 32'd0; +reg litedramcore_csr_dfi_p3_rddata_valid = 1'd0; +reg [15:0] litedramcore_ext_dfi_p0_address = 16'd0; +reg [2:0] litedramcore_ext_dfi_p0_bank = 3'd0; +reg litedramcore_ext_dfi_p0_cas_n = 1'd1; +reg litedramcore_ext_dfi_p0_cs_n = 1'd1; +reg litedramcore_ext_dfi_p0_ras_n = 1'd1; +reg litedramcore_ext_dfi_p0_we_n = 1'd1; +reg litedramcore_ext_dfi_p0_cke = 1'd0; +reg litedramcore_ext_dfi_p0_odt = 1'd0; +reg litedramcore_ext_dfi_p0_reset_n = 1'd0; +reg litedramcore_ext_dfi_p0_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p0_wrdata = 32'd0; +reg litedramcore_ext_dfi_p0_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p0_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p0_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p0_rddata = 32'd0; +reg litedramcore_ext_dfi_p0_rddata_valid = 1'd0; +reg [15:0] litedramcore_ext_dfi_p1_address = 16'd0; +reg [2:0] litedramcore_ext_dfi_p1_bank = 3'd0; +reg litedramcore_ext_dfi_p1_cas_n = 1'd1; +reg litedramcore_ext_dfi_p1_cs_n = 1'd1; +reg litedramcore_ext_dfi_p1_ras_n = 1'd1; +reg litedramcore_ext_dfi_p1_we_n = 1'd1; +reg litedramcore_ext_dfi_p1_cke = 1'd0; +reg litedramcore_ext_dfi_p1_odt = 1'd0; +reg litedramcore_ext_dfi_p1_reset_n = 1'd0; +reg litedramcore_ext_dfi_p1_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p1_wrdata = 32'd0; +reg litedramcore_ext_dfi_p1_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p1_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p1_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p1_rddata = 32'd0; +reg litedramcore_ext_dfi_p1_rddata_valid = 1'd0; +reg [15:0] litedramcore_ext_dfi_p2_address = 16'd0; +reg [2:0] litedramcore_ext_dfi_p2_bank = 3'd0; +reg litedramcore_ext_dfi_p2_cas_n = 1'd1; +reg litedramcore_ext_dfi_p2_cs_n = 1'd1; +reg litedramcore_ext_dfi_p2_ras_n = 1'd1; +reg litedramcore_ext_dfi_p2_we_n = 1'd1; +reg litedramcore_ext_dfi_p2_cke = 1'd0; +reg litedramcore_ext_dfi_p2_odt = 1'd0; +reg litedramcore_ext_dfi_p2_reset_n = 1'd0; +reg litedramcore_ext_dfi_p2_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p2_wrdata = 32'd0; +reg litedramcore_ext_dfi_p2_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p2_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p2_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p2_rddata = 32'd0; +reg litedramcore_ext_dfi_p2_rddata_valid = 1'd0; +reg [15:0] litedramcore_ext_dfi_p3_address = 16'd0; +reg [2:0] litedramcore_ext_dfi_p3_bank = 3'd0; +reg litedramcore_ext_dfi_p3_cas_n = 1'd1; +reg litedramcore_ext_dfi_p3_cs_n = 1'd1; +reg litedramcore_ext_dfi_p3_ras_n = 1'd1; +reg litedramcore_ext_dfi_p3_we_n = 1'd1; +reg litedramcore_ext_dfi_p3_cke = 1'd0; +reg litedramcore_ext_dfi_p3_odt = 1'd0; +reg litedramcore_ext_dfi_p3_reset_n = 1'd0; +reg litedramcore_ext_dfi_p3_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p3_wrdata = 32'd0; +reg litedramcore_ext_dfi_p3_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p3_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p3_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p3_rddata = 32'd0; +reg litedramcore_ext_dfi_p3_rddata_valid = 1'd0; +reg litedramcore_ext_dfi_sel = 1'd0; +wire litedramcore_sel; +wire litedramcore_cke; +wire litedramcore_odt; +wire litedramcore_reset_n; +reg [3:0] litedramcore_storage = 4'd1; +reg litedramcore_re = 1'd0; +wire litedramcore_phaseinjector0_csrfield_cs; +wire litedramcore_phaseinjector0_csrfield_we; +wire litedramcore_phaseinjector0_csrfield_cas; +wire litedramcore_phaseinjector0_csrfield_ras; +wire litedramcore_phaseinjector0_csrfield_wren; +wire litedramcore_phaseinjector0_csrfield_rden; +reg [5:0] litedramcore_phaseinjector0_command_storage = 6'd0; +reg litedramcore_phaseinjector0_command_re = 1'd0; +reg litedramcore_phaseinjector0_command_issue_re = 1'd0; +wire litedramcore_phaseinjector0_command_issue_r; +reg litedramcore_phaseinjector0_command_issue_we = 1'd0; +reg litedramcore_phaseinjector0_command_issue_w = 1'd0; +reg [15:0] litedramcore_phaseinjector0_address_storage = 16'd0; +reg litedramcore_phaseinjector0_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector0_baddress_storage = 3'd0; +reg litedramcore_phaseinjector0_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector0_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector0_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector0_rddata_status = 32'd0; +wire litedramcore_phaseinjector0_rddata_we; +reg litedramcore_phaseinjector0_rddata_re = 1'd0; +wire litedramcore_phaseinjector1_csrfield_cs; +wire litedramcore_phaseinjector1_csrfield_we; +wire litedramcore_phaseinjector1_csrfield_cas; +wire litedramcore_phaseinjector1_csrfield_ras; +wire litedramcore_phaseinjector1_csrfield_wren; +wire litedramcore_phaseinjector1_csrfield_rden; +reg [5:0] litedramcore_phaseinjector1_command_storage = 6'd0; +reg litedramcore_phaseinjector1_command_re = 1'd0; +reg litedramcore_phaseinjector1_command_issue_re = 1'd0; +wire litedramcore_phaseinjector1_command_issue_r; +reg litedramcore_phaseinjector1_command_issue_we = 1'd0; +reg litedramcore_phaseinjector1_command_issue_w = 1'd0; +reg [15:0] litedramcore_phaseinjector1_address_storage = 16'd0; +reg litedramcore_phaseinjector1_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector1_baddress_storage = 3'd0; +reg litedramcore_phaseinjector1_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector1_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector1_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector1_rddata_status = 32'd0; +wire litedramcore_phaseinjector1_rddata_we; +reg litedramcore_phaseinjector1_rddata_re = 1'd0; +wire litedramcore_phaseinjector2_csrfield_cs; +wire litedramcore_phaseinjector2_csrfield_we; +wire litedramcore_phaseinjector2_csrfield_cas; +wire litedramcore_phaseinjector2_csrfield_ras; +wire litedramcore_phaseinjector2_csrfield_wren; +wire litedramcore_phaseinjector2_csrfield_rden; +reg [5:0] litedramcore_phaseinjector2_command_storage = 6'd0; +reg litedramcore_phaseinjector2_command_re = 1'd0; +reg litedramcore_phaseinjector2_command_issue_re = 1'd0; +wire litedramcore_phaseinjector2_command_issue_r; +reg litedramcore_phaseinjector2_command_issue_we = 1'd0; +reg litedramcore_phaseinjector2_command_issue_w = 1'd0; +reg [15:0] litedramcore_phaseinjector2_address_storage = 16'd0; +reg litedramcore_phaseinjector2_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector2_baddress_storage = 3'd0; +reg litedramcore_phaseinjector2_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector2_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector2_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector2_rddata_status = 32'd0; +wire litedramcore_phaseinjector2_rddata_we; +reg litedramcore_phaseinjector2_rddata_re = 1'd0; +wire litedramcore_phaseinjector3_csrfield_cs; +wire litedramcore_phaseinjector3_csrfield_we; +wire litedramcore_phaseinjector3_csrfield_cas; +wire litedramcore_phaseinjector3_csrfield_ras; +wire litedramcore_phaseinjector3_csrfield_wren; +wire litedramcore_phaseinjector3_csrfield_rden; +reg [5:0] litedramcore_phaseinjector3_command_storage = 6'd0; +reg litedramcore_phaseinjector3_command_re = 1'd0; +reg litedramcore_phaseinjector3_command_issue_re = 1'd0; +wire litedramcore_phaseinjector3_command_issue_r; +reg litedramcore_phaseinjector3_command_issue_we = 1'd0; +reg litedramcore_phaseinjector3_command_issue_w = 1'd0; +reg [15:0] litedramcore_phaseinjector3_address_storage = 16'd0; +reg litedramcore_phaseinjector3_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector3_baddress_storage = 3'd0; +reg litedramcore_phaseinjector3_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector3_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector3_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector3_rddata_status = 32'd0; +wire litedramcore_phaseinjector3_rddata_we; +reg litedramcore_phaseinjector3_rddata_re = 1'd0; +wire litedramcore_interface_bank0_valid; +wire litedramcore_interface_bank0_ready; +wire litedramcore_interface_bank0_we; +wire [22:0] litedramcore_interface_bank0_addr; +wire litedramcore_interface_bank0_lock; +wire litedramcore_interface_bank0_wdata_ready; +wire litedramcore_interface_bank0_rdata_valid; +wire litedramcore_interface_bank1_valid; +wire litedramcore_interface_bank1_ready; +wire litedramcore_interface_bank1_we; +wire [22:0] litedramcore_interface_bank1_addr; +wire litedramcore_interface_bank1_lock; +wire litedramcore_interface_bank1_wdata_ready; +wire litedramcore_interface_bank1_rdata_valid; +wire litedramcore_interface_bank2_valid; +wire litedramcore_interface_bank2_ready; +wire litedramcore_interface_bank2_we; +wire [22:0] litedramcore_interface_bank2_addr; +wire litedramcore_interface_bank2_lock; +wire litedramcore_interface_bank2_wdata_ready; +wire litedramcore_interface_bank2_rdata_valid; +wire litedramcore_interface_bank3_valid; +wire litedramcore_interface_bank3_ready; +wire litedramcore_interface_bank3_we; +wire [22:0] litedramcore_interface_bank3_addr; +wire litedramcore_interface_bank3_lock; +wire litedramcore_interface_bank3_wdata_ready; +wire litedramcore_interface_bank3_rdata_valid; +wire litedramcore_interface_bank4_valid; +wire litedramcore_interface_bank4_ready; +wire litedramcore_interface_bank4_we; +wire [22:0] litedramcore_interface_bank4_addr; +wire litedramcore_interface_bank4_lock; +wire litedramcore_interface_bank4_wdata_ready; +wire litedramcore_interface_bank4_rdata_valid; +wire litedramcore_interface_bank5_valid; +wire litedramcore_interface_bank5_ready; +wire litedramcore_interface_bank5_we; +wire [22:0] litedramcore_interface_bank5_addr; +wire litedramcore_interface_bank5_lock; +wire litedramcore_interface_bank5_wdata_ready; +wire litedramcore_interface_bank5_rdata_valid; +wire litedramcore_interface_bank6_valid; +wire litedramcore_interface_bank6_ready; +wire litedramcore_interface_bank6_we; +wire [22:0] litedramcore_interface_bank6_addr; +wire litedramcore_interface_bank6_lock; +wire litedramcore_interface_bank6_wdata_ready; +wire litedramcore_interface_bank6_rdata_valid; +wire litedramcore_interface_bank7_valid; +wire litedramcore_interface_bank7_ready; +wire litedramcore_interface_bank7_we; +wire [22:0] litedramcore_interface_bank7_addr; +wire litedramcore_interface_bank7_lock; +wire litedramcore_interface_bank7_wdata_ready; +wire litedramcore_interface_bank7_rdata_valid; +reg [127:0] litedramcore_interface_wdata = 128'd0; +reg [15:0] litedramcore_interface_wdata_we = 16'd0; +wire [127:0] litedramcore_interface_rdata; +reg [15:0] litedramcore_dfi_p0_address = 16'd0; +reg [2:0] litedramcore_dfi_p0_bank = 3'd0; +reg litedramcore_dfi_p0_cas_n = 1'd1; +reg litedramcore_dfi_p0_cs_n = 1'd1; +reg litedramcore_dfi_p0_ras_n = 1'd1; +reg litedramcore_dfi_p0_we_n = 1'd1; +wire litedramcore_dfi_p0_cke; +wire litedramcore_dfi_p0_odt; +wire litedramcore_dfi_p0_reset_n; +reg litedramcore_dfi_p0_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p0_wrdata; +reg litedramcore_dfi_p0_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p0_wrdata_mask; +reg litedramcore_dfi_p0_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p0_rddata; +wire litedramcore_dfi_p0_rddata_valid; +reg [15:0] litedramcore_dfi_p1_address = 16'd0; +reg [2:0] litedramcore_dfi_p1_bank = 3'd0; +reg litedramcore_dfi_p1_cas_n = 1'd1; +reg litedramcore_dfi_p1_cs_n = 1'd1; +reg litedramcore_dfi_p1_ras_n = 1'd1; +reg litedramcore_dfi_p1_we_n = 1'd1; +wire litedramcore_dfi_p1_cke; +wire litedramcore_dfi_p1_odt; +wire litedramcore_dfi_p1_reset_n; +reg litedramcore_dfi_p1_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p1_wrdata; +reg litedramcore_dfi_p1_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p1_wrdata_mask; +reg litedramcore_dfi_p1_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p1_rddata; +wire litedramcore_dfi_p1_rddata_valid; +reg [15:0] litedramcore_dfi_p2_address = 16'd0; +reg [2:0] litedramcore_dfi_p2_bank = 3'd0; +reg litedramcore_dfi_p2_cas_n = 1'd1; +reg litedramcore_dfi_p2_cs_n = 1'd1; +reg litedramcore_dfi_p2_ras_n = 1'd1; +reg litedramcore_dfi_p2_we_n = 1'd1; +wire litedramcore_dfi_p2_cke; +wire litedramcore_dfi_p2_odt; +wire litedramcore_dfi_p2_reset_n; +reg litedramcore_dfi_p2_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p2_wrdata; +reg litedramcore_dfi_p2_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p2_wrdata_mask; +reg litedramcore_dfi_p2_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p2_rddata; +wire litedramcore_dfi_p2_rddata_valid; +reg [15:0] litedramcore_dfi_p3_address = 16'd0; +reg [2:0] litedramcore_dfi_p3_bank = 3'd0; +reg litedramcore_dfi_p3_cas_n = 1'd1; +reg litedramcore_dfi_p3_cs_n = 1'd1; +reg litedramcore_dfi_p3_ras_n = 1'd1; +reg litedramcore_dfi_p3_we_n = 1'd1; +wire litedramcore_dfi_p3_cke; +wire litedramcore_dfi_p3_odt; +wire litedramcore_dfi_p3_reset_n; +reg litedramcore_dfi_p3_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p3_wrdata; +reg litedramcore_dfi_p3_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p3_wrdata_mask; +reg litedramcore_dfi_p3_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p3_rddata; +wire litedramcore_dfi_p3_rddata_valid; +reg litedramcore_cmd_valid = 1'd0; +reg litedramcore_cmd_ready = 1'd0; +reg litedramcore_cmd_last = 1'd0; +reg [15:0] litedramcore_cmd_payload_a = 16'd0; +reg [2:0] litedramcore_cmd_payload_ba = 3'd0; +reg litedramcore_cmd_payload_cas = 1'd0; +reg litedramcore_cmd_payload_ras = 1'd0; +reg litedramcore_cmd_payload_we = 1'd0; +reg litedramcore_cmd_payload_is_read = 1'd0; +reg litedramcore_cmd_payload_is_write = 1'd0; +wire litedramcore_wants_refresh; +wire litedramcore_wants_zqcs; +wire litedramcore_timer_wait; +wire litedramcore_timer_done0; +wire [9:0] litedramcore_timer_count0; +wire litedramcore_timer_done1; +reg [9:0] litedramcore_timer_count1 = 10'd781; +wire litedramcore_postponer_req_i; +reg litedramcore_postponer_req_o = 1'd0; +reg litedramcore_postponer_count = 1'd0; +reg litedramcore_sequencer_start0 = 1'd0; +wire litedramcore_sequencer_done0; +wire litedramcore_sequencer_start1; +reg litedramcore_sequencer_done1 = 1'd0; +reg [6:0] litedramcore_sequencer_counter = 7'd0; +reg litedramcore_sequencer_count = 1'd0; +wire litedramcore_zqcs_timer_wait; +wire litedramcore_zqcs_timer_done0; +wire [26:0] litedramcore_zqcs_timer_count0; +wire litedramcore_zqcs_timer_done1; +reg [26:0] litedramcore_zqcs_timer_count1 = 27'd99999999; +reg litedramcore_zqcs_executer_start = 1'd0; +reg litedramcore_zqcs_executer_done = 1'd0; +reg [4:0] litedramcore_zqcs_executer_counter = 5'd0; +wire litedramcore_bankmachine0_req_valid; +wire litedramcore_bankmachine0_req_ready; +wire litedramcore_bankmachine0_req_we; +wire [22:0] litedramcore_bankmachine0_req_addr; +wire litedramcore_bankmachine0_req_lock; +reg litedramcore_bankmachine0_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine0_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine0_refresh_req; +reg litedramcore_bankmachine0_refresh_gnt = 1'd0; +reg litedramcore_bankmachine0_cmd_valid = 1'd0; +reg litedramcore_bankmachine0_cmd_ready = 1'd0; +reg [15:0] litedramcore_bankmachine0_cmd_payload_a = 16'd0; +wire [2:0] litedramcore_bankmachine0_cmd_payload_ba; +reg litedramcore_bankmachine0_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine0_auto_precharge = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; +wire [22:0] litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; +wire [22:0] litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; +wire [25:0] litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; +wire [25:0] litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +reg [4:0] litedramcore_bankmachine0_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [25:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we; +wire [25:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr; +wire [25:0] litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we; +wire [22:0] litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; +wire [22:0] litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine0_cmd_buffer_sink_valid; +wire litedramcore_bankmachine0_cmd_buffer_sink_ready; +wire litedramcore_bankmachine0_cmd_buffer_sink_first; +wire litedramcore_bankmachine0_cmd_buffer_sink_last; +wire litedramcore_bankmachine0_cmd_buffer_sink_payload_we; +wire [22:0] litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine0_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_source_ready; +reg litedramcore_bankmachine0_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_source_payload_we = 1'd0; +reg [22:0] litedramcore_bankmachine0_cmd_buffer_source_payload_addr = 23'd0; +reg [15:0] litedramcore_bankmachine0_row = 16'd0; +reg litedramcore_bankmachine0_row_opened = 1'd0; +wire litedramcore_bankmachine0_row_hit; +reg litedramcore_bankmachine0_row_open = 1'd0; +reg litedramcore_bankmachine0_row_close = 1'd0; +reg litedramcore_bankmachine0_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine0_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_twtpcon_count = 3'd0; +wire litedramcore_bankmachine0_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_trccon_count = 3'd0; +wire litedramcore_bankmachine0_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_trascon_count = 3'd0; +wire litedramcore_bankmachine1_req_valid; +wire litedramcore_bankmachine1_req_ready; +wire litedramcore_bankmachine1_req_we; +wire [22:0] litedramcore_bankmachine1_req_addr; +wire litedramcore_bankmachine1_req_lock; +reg litedramcore_bankmachine1_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine1_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine1_refresh_req; +reg litedramcore_bankmachine1_refresh_gnt = 1'd0; +reg litedramcore_bankmachine1_cmd_valid = 1'd0; +reg litedramcore_bankmachine1_cmd_ready = 1'd0; +reg [15:0] litedramcore_bankmachine1_cmd_payload_a = 16'd0; +wire [2:0] litedramcore_bankmachine1_cmd_payload_ba; +reg litedramcore_bankmachine1_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine1_auto_precharge = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; +wire [22:0] litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; +wire [22:0] litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; +wire [25:0] litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; +wire [25:0] litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +reg [4:0] litedramcore_bankmachine1_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [25:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we; +wire [25:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr; +wire [25:0] litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we; +wire [22:0] litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; +wire [22:0] litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine1_cmd_buffer_sink_valid; +wire litedramcore_bankmachine1_cmd_buffer_sink_ready; +wire litedramcore_bankmachine1_cmd_buffer_sink_first; +wire litedramcore_bankmachine1_cmd_buffer_sink_last; +wire litedramcore_bankmachine1_cmd_buffer_sink_payload_we; +wire [22:0] litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine1_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_source_ready; +reg litedramcore_bankmachine1_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_source_payload_we = 1'd0; +reg [22:0] litedramcore_bankmachine1_cmd_buffer_source_payload_addr = 23'd0; +reg [15:0] litedramcore_bankmachine1_row = 16'd0; +reg litedramcore_bankmachine1_row_opened = 1'd0; +wire litedramcore_bankmachine1_row_hit; +reg litedramcore_bankmachine1_row_open = 1'd0; +reg litedramcore_bankmachine1_row_close = 1'd0; +reg litedramcore_bankmachine1_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine1_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_twtpcon_count = 3'd0; +wire litedramcore_bankmachine1_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_trccon_count = 3'd0; +wire litedramcore_bankmachine1_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_trascon_count = 3'd0; +wire litedramcore_bankmachine2_req_valid; +wire litedramcore_bankmachine2_req_ready; +wire litedramcore_bankmachine2_req_we; +wire [22:0] litedramcore_bankmachine2_req_addr; +wire litedramcore_bankmachine2_req_lock; +reg litedramcore_bankmachine2_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine2_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine2_refresh_req; +reg litedramcore_bankmachine2_refresh_gnt = 1'd0; +reg litedramcore_bankmachine2_cmd_valid = 1'd0; +reg litedramcore_bankmachine2_cmd_ready = 1'd0; +reg [15:0] litedramcore_bankmachine2_cmd_payload_a = 16'd0; +wire [2:0] litedramcore_bankmachine2_cmd_payload_ba; +reg litedramcore_bankmachine2_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine2_auto_precharge = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; +wire [22:0] litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; +wire [22:0] litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; +wire [25:0] litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; +wire [25:0] litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +reg [4:0] litedramcore_bankmachine2_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [25:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we; +wire [25:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr; +wire [25:0] litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we; +wire [22:0] litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; +wire [22:0] litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine2_cmd_buffer_sink_valid; +wire litedramcore_bankmachine2_cmd_buffer_sink_ready; +wire litedramcore_bankmachine2_cmd_buffer_sink_first; +wire litedramcore_bankmachine2_cmd_buffer_sink_last; +wire litedramcore_bankmachine2_cmd_buffer_sink_payload_we; +wire [22:0] litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine2_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_source_ready; +reg litedramcore_bankmachine2_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_source_payload_we = 1'd0; +reg [22:0] litedramcore_bankmachine2_cmd_buffer_source_payload_addr = 23'd0; +reg [15:0] litedramcore_bankmachine2_row = 16'd0; +reg litedramcore_bankmachine2_row_opened = 1'd0; +wire litedramcore_bankmachine2_row_hit; +reg litedramcore_bankmachine2_row_open = 1'd0; +reg litedramcore_bankmachine2_row_close = 1'd0; +reg litedramcore_bankmachine2_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine2_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_twtpcon_count = 3'd0; +wire litedramcore_bankmachine2_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_trccon_count = 3'd0; +wire litedramcore_bankmachine2_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_trascon_count = 3'd0; +wire litedramcore_bankmachine3_req_valid; +wire litedramcore_bankmachine3_req_ready; +wire litedramcore_bankmachine3_req_we; +wire [22:0] litedramcore_bankmachine3_req_addr; +wire litedramcore_bankmachine3_req_lock; +reg litedramcore_bankmachine3_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine3_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine3_refresh_req; +reg litedramcore_bankmachine3_refresh_gnt = 1'd0; +reg litedramcore_bankmachine3_cmd_valid = 1'd0; +reg litedramcore_bankmachine3_cmd_ready = 1'd0; +reg [15:0] litedramcore_bankmachine3_cmd_payload_a = 16'd0; +wire [2:0] litedramcore_bankmachine3_cmd_payload_ba; +reg litedramcore_bankmachine3_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine3_auto_precharge = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; +wire [22:0] litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; +wire [22:0] litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; +wire [25:0] litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; +wire [25:0] litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +reg [4:0] litedramcore_bankmachine3_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [25:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we; +wire [25:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr; +wire [25:0] litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we; +wire [22:0] litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; +wire [22:0] litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine3_cmd_buffer_sink_valid; +wire litedramcore_bankmachine3_cmd_buffer_sink_ready; +wire litedramcore_bankmachine3_cmd_buffer_sink_first; +wire litedramcore_bankmachine3_cmd_buffer_sink_last; +wire litedramcore_bankmachine3_cmd_buffer_sink_payload_we; +wire [22:0] litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine3_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_source_ready; +reg litedramcore_bankmachine3_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_source_payload_we = 1'd0; +reg [22:0] litedramcore_bankmachine3_cmd_buffer_source_payload_addr = 23'd0; +reg [15:0] litedramcore_bankmachine3_row = 16'd0; +reg litedramcore_bankmachine3_row_opened = 1'd0; +wire litedramcore_bankmachine3_row_hit; +reg litedramcore_bankmachine3_row_open = 1'd0; +reg litedramcore_bankmachine3_row_close = 1'd0; +reg litedramcore_bankmachine3_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine3_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_twtpcon_count = 3'd0; +wire litedramcore_bankmachine3_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_trccon_count = 3'd0; +wire litedramcore_bankmachine3_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_trascon_count = 3'd0; +wire litedramcore_bankmachine4_req_valid; +wire litedramcore_bankmachine4_req_ready; +wire litedramcore_bankmachine4_req_we; +wire [22:0] litedramcore_bankmachine4_req_addr; +wire litedramcore_bankmachine4_req_lock; +reg litedramcore_bankmachine4_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine4_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine4_refresh_req; +reg litedramcore_bankmachine4_refresh_gnt = 1'd0; +reg litedramcore_bankmachine4_cmd_valid = 1'd0; +reg litedramcore_bankmachine4_cmd_ready = 1'd0; +reg [15:0] litedramcore_bankmachine4_cmd_payload_a = 16'd0; +wire [2:0] litedramcore_bankmachine4_cmd_payload_ba; +reg litedramcore_bankmachine4_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine4_auto_precharge = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; +wire [22:0] litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; +wire [22:0] litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; +wire [25:0] litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; +wire [25:0] litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +reg [4:0] litedramcore_bankmachine4_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [25:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we; +wire [25:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr; +wire [25:0] litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we; +wire [22:0] litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; +wire [22:0] litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine4_cmd_buffer_sink_valid; +wire litedramcore_bankmachine4_cmd_buffer_sink_ready; +wire litedramcore_bankmachine4_cmd_buffer_sink_first; +wire litedramcore_bankmachine4_cmd_buffer_sink_last; +wire litedramcore_bankmachine4_cmd_buffer_sink_payload_we; +wire [22:0] litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine4_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_source_ready; +reg litedramcore_bankmachine4_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_source_payload_we = 1'd0; +reg [22:0] litedramcore_bankmachine4_cmd_buffer_source_payload_addr = 23'd0; +reg [15:0] litedramcore_bankmachine4_row = 16'd0; +reg litedramcore_bankmachine4_row_opened = 1'd0; +wire litedramcore_bankmachine4_row_hit; +reg litedramcore_bankmachine4_row_open = 1'd0; +reg litedramcore_bankmachine4_row_close = 1'd0; +reg litedramcore_bankmachine4_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine4_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_twtpcon_count = 3'd0; +wire litedramcore_bankmachine4_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_trccon_count = 3'd0; +wire litedramcore_bankmachine4_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_trascon_count = 3'd0; +wire litedramcore_bankmachine5_req_valid; +wire litedramcore_bankmachine5_req_ready; +wire litedramcore_bankmachine5_req_we; +wire [22:0] litedramcore_bankmachine5_req_addr; +wire litedramcore_bankmachine5_req_lock; +reg litedramcore_bankmachine5_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine5_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine5_refresh_req; +reg litedramcore_bankmachine5_refresh_gnt = 1'd0; +reg litedramcore_bankmachine5_cmd_valid = 1'd0; +reg litedramcore_bankmachine5_cmd_ready = 1'd0; +reg [15:0] litedramcore_bankmachine5_cmd_payload_a = 16'd0; +wire [2:0] litedramcore_bankmachine5_cmd_payload_ba; +reg litedramcore_bankmachine5_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine5_auto_precharge = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; +wire [22:0] litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; +wire [22:0] litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; +wire [25:0] litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; +wire [25:0] litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +reg [4:0] litedramcore_bankmachine5_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [25:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we; +wire [25:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr; +wire [25:0] litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we; +wire [22:0] litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; +wire [22:0] litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine5_cmd_buffer_sink_valid; +wire litedramcore_bankmachine5_cmd_buffer_sink_ready; +wire litedramcore_bankmachine5_cmd_buffer_sink_first; +wire litedramcore_bankmachine5_cmd_buffer_sink_last; +wire litedramcore_bankmachine5_cmd_buffer_sink_payload_we; +wire [22:0] litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine5_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_source_ready; +reg litedramcore_bankmachine5_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_source_payload_we = 1'd0; +reg [22:0] litedramcore_bankmachine5_cmd_buffer_source_payload_addr = 23'd0; +reg [15:0] litedramcore_bankmachine5_row = 16'd0; +reg litedramcore_bankmachine5_row_opened = 1'd0; +wire litedramcore_bankmachine5_row_hit; +reg litedramcore_bankmachine5_row_open = 1'd0; +reg litedramcore_bankmachine5_row_close = 1'd0; +reg litedramcore_bankmachine5_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine5_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_twtpcon_count = 3'd0; +wire litedramcore_bankmachine5_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_trccon_count = 3'd0; +wire litedramcore_bankmachine5_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_trascon_count = 3'd0; +wire litedramcore_bankmachine6_req_valid; +wire litedramcore_bankmachine6_req_ready; +wire litedramcore_bankmachine6_req_we; +wire [22:0] litedramcore_bankmachine6_req_addr; +wire litedramcore_bankmachine6_req_lock; +reg litedramcore_bankmachine6_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine6_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine6_refresh_req; +reg litedramcore_bankmachine6_refresh_gnt = 1'd0; +reg litedramcore_bankmachine6_cmd_valid = 1'd0; +reg litedramcore_bankmachine6_cmd_ready = 1'd0; +reg [15:0] litedramcore_bankmachine6_cmd_payload_a = 16'd0; +wire [2:0] litedramcore_bankmachine6_cmd_payload_ba; +reg litedramcore_bankmachine6_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine6_auto_precharge = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; +wire [22:0] litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; +wire [22:0] litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; +wire [25:0] litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; +wire [25:0] litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +reg [4:0] litedramcore_bankmachine6_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [25:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we; +wire [25:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr; +wire [25:0] litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we; +wire [22:0] litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; +wire [22:0] litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine6_cmd_buffer_sink_valid; +wire litedramcore_bankmachine6_cmd_buffer_sink_ready; +wire litedramcore_bankmachine6_cmd_buffer_sink_first; +wire litedramcore_bankmachine6_cmd_buffer_sink_last; +wire litedramcore_bankmachine6_cmd_buffer_sink_payload_we; +wire [22:0] litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine6_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_source_ready; +reg litedramcore_bankmachine6_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_source_payload_we = 1'd0; +reg [22:0] litedramcore_bankmachine6_cmd_buffer_source_payload_addr = 23'd0; +reg [15:0] litedramcore_bankmachine6_row = 16'd0; +reg litedramcore_bankmachine6_row_opened = 1'd0; +wire litedramcore_bankmachine6_row_hit; +reg litedramcore_bankmachine6_row_open = 1'd0; +reg litedramcore_bankmachine6_row_close = 1'd0; +reg litedramcore_bankmachine6_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine6_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_twtpcon_count = 3'd0; +wire litedramcore_bankmachine6_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_trccon_count = 3'd0; +wire litedramcore_bankmachine6_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_trascon_count = 3'd0; +wire litedramcore_bankmachine7_req_valid; +wire litedramcore_bankmachine7_req_ready; +wire litedramcore_bankmachine7_req_we; +wire [22:0] litedramcore_bankmachine7_req_addr; +wire litedramcore_bankmachine7_req_lock; +reg litedramcore_bankmachine7_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine7_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine7_refresh_req; +reg litedramcore_bankmachine7_refresh_gnt = 1'd0; +reg litedramcore_bankmachine7_cmd_valid = 1'd0; +reg litedramcore_bankmachine7_cmd_ready = 1'd0; +reg [15:0] litedramcore_bankmachine7_cmd_payload_a = 16'd0; +wire [2:0] litedramcore_bankmachine7_cmd_payload_ba; +reg litedramcore_bankmachine7_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine7_auto_precharge = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; +wire [22:0] litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; +wire [22:0] litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; +wire [25:0] litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; +wire [25:0] litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +reg [4:0] litedramcore_bankmachine7_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [25:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we; +wire [25:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr; +wire [25:0] litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we; +wire [22:0] litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; +wire [22:0] litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine7_cmd_buffer_sink_valid; +wire litedramcore_bankmachine7_cmd_buffer_sink_ready; +wire litedramcore_bankmachine7_cmd_buffer_sink_first; +wire litedramcore_bankmachine7_cmd_buffer_sink_last; +wire litedramcore_bankmachine7_cmd_buffer_sink_payload_we; +wire [22:0] litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine7_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_source_ready; +reg litedramcore_bankmachine7_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_source_payload_we = 1'd0; +reg [22:0] litedramcore_bankmachine7_cmd_buffer_source_payload_addr = 23'd0; +reg [15:0] litedramcore_bankmachine7_row = 16'd0; +reg litedramcore_bankmachine7_row_opened = 1'd0; +wire litedramcore_bankmachine7_row_hit; +reg litedramcore_bankmachine7_row_open = 1'd0; +reg litedramcore_bankmachine7_row_close = 1'd0; +reg litedramcore_bankmachine7_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine7_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_twtpcon_count = 3'd0; +wire litedramcore_bankmachine7_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_trccon_count = 3'd0; +wire litedramcore_bankmachine7_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_trascon_count = 3'd0; +wire litedramcore_ras_allowed; +wire litedramcore_cas_allowed; +wire [1:0] litedramcore_rdcmdphase; +wire [1:0] litedramcore_wrcmdphase; +reg litedramcore_choose_cmd_want_reads = 1'd0; +reg litedramcore_choose_cmd_want_writes = 1'd0; +reg litedramcore_choose_cmd_want_cmds = 1'd0; +reg litedramcore_choose_cmd_want_activates = 1'd0; +wire litedramcore_choose_cmd_cmd_valid; +reg litedramcore_choose_cmd_cmd_ready = 1'd0; +wire [15:0] litedramcore_choose_cmd_cmd_payload_a; +wire [2:0] litedramcore_choose_cmd_cmd_payload_ba; +reg litedramcore_choose_cmd_cmd_payload_cas = 1'd0; +reg litedramcore_choose_cmd_cmd_payload_ras = 1'd0; +reg litedramcore_choose_cmd_cmd_payload_we = 1'd0; +wire litedramcore_choose_cmd_cmd_payload_is_cmd; +wire litedramcore_choose_cmd_cmd_payload_is_read; +wire litedramcore_choose_cmd_cmd_payload_is_write; +reg [7:0] litedramcore_choose_cmd_valids = 8'd0; +wire [7:0] litedramcore_choose_cmd_request; +reg [2:0] litedramcore_choose_cmd_grant = 3'd0; +wire litedramcore_choose_cmd_ce; +reg litedramcore_choose_req_want_reads = 1'd0; +reg litedramcore_choose_req_want_writes = 1'd0; +reg litedramcore_choose_req_want_cmds = 1'd0; +reg litedramcore_choose_req_want_activates = 1'd0; +wire litedramcore_choose_req_cmd_valid; +reg litedramcore_choose_req_cmd_ready = 1'd0; +wire [15:0] litedramcore_choose_req_cmd_payload_a; +wire [2:0] litedramcore_choose_req_cmd_payload_ba; +reg litedramcore_choose_req_cmd_payload_cas = 1'd0; +reg litedramcore_choose_req_cmd_payload_ras = 1'd0; +reg litedramcore_choose_req_cmd_payload_we = 1'd0; +wire litedramcore_choose_req_cmd_payload_is_cmd; +wire litedramcore_choose_req_cmd_payload_is_read; +wire litedramcore_choose_req_cmd_payload_is_write; +reg [7:0] litedramcore_choose_req_valids = 8'd0; +wire [7:0] litedramcore_choose_req_request; +reg [2:0] litedramcore_choose_req_grant = 3'd0; +wire litedramcore_choose_req_ce; +reg [15:0] litedramcore_nop_a = 16'd0; +reg [2:0] litedramcore_nop_ba = 3'd0; +reg [1:0] litedramcore_steerer_sel0 = 2'd0; +reg [1:0] litedramcore_steerer_sel1 = 2'd0; +reg [1:0] litedramcore_steerer_sel2 = 2'd0; +reg [1:0] litedramcore_steerer_sel3 = 2'd0; +reg litedramcore_steerer0 = 1'd1; +reg litedramcore_steerer1 = 1'd1; +reg litedramcore_steerer2 = 1'd1; +reg litedramcore_steerer3 = 1'd1; +reg litedramcore_steerer4 = 1'd1; +reg litedramcore_steerer5 = 1'd1; +reg litedramcore_steerer6 = 1'd1; +reg litedramcore_steerer7 = 1'd1; +wire litedramcore_trrdcon_valid; +(* dont_touch = "true" *) reg litedramcore_trrdcon_ready = 1'd0; +reg litedramcore_trrdcon_count = 1'd0; +wire litedramcore_tfawcon_valid; +(* dont_touch = "true" *) reg litedramcore_tfawcon_ready = 1'd1; +wire [2:0] litedramcore_tfawcon_count; +reg [4:0] litedramcore_tfawcon_window = 5'd0; +wire litedramcore_tccdcon_valid; +(* dont_touch = "true" *) reg litedramcore_tccdcon_ready = 1'd0; +reg litedramcore_tccdcon_count = 1'd0; +wire litedramcore_twtrcon_valid; +(* dont_touch = "true" *) reg litedramcore_twtrcon_ready = 1'd0; +reg [2:0] litedramcore_twtrcon_count = 3'd0; +wire litedramcore_read_available; +wire litedramcore_write_available; +reg litedramcore_en0 = 1'd0; +wire litedramcore_max_time0; +reg [4:0] litedramcore_time0 = 5'd0; +reg litedramcore_en1 = 1'd0; +wire litedramcore_max_time1; +reg [3:0] litedramcore_time1 = 4'd0; +wire litedramcore_go_to_refresh; +reg init_done_storage = 1'd0; +reg init_done_re = 1'd0; +reg init_error_storage = 1'd0; +reg init_error_re = 1'd0; +wire [29:0] wb_bus_adr; +wire [31:0] wb_bus_dat_w; +wire [31:0] wb_bus_dat_r; +wire [3:0] wb_bus_sel; +wire wb_bus_cyc; +wire wb_bus_stb; +wire wb_bus_ack; +wire wb_bus_we; +wire [2:0] wb_bus_cti; +wire [1:0] wb_bus_bte; +wire wb_bus_err; +wire user_enable; +wire user_port_cmd_valid; +wire user_port_cmd_ready; +wire user_port_cmd_payload_we; +wire [25:0] user_port_cmd_payload_addr; +wire user_port_wdata_valid; +wire user_port_wdata_ready; +wire [127:0] user_port_wdata_payload_data; +wire [15:0] user_port_wdata_payload_we; +wire user_port_rdata_valid; +wire user_port_rdata_ready; +wire [127:0] user_port_rdata_payload_data; +reg [13:0] litedramcore_adr = 14'd0; +reg litedramcore_we = 1'd0; +reg [31:0] litedramcore_dat_w = 32'd0; +wire [31:0] litedramcore_dat_r; +wire [29:0] litedramcore_wishbone_adr; +wire [31:0] litedramcore_wishbone_dat_w; +reg [31:0] litedramcore_wishbone_dat_r = 32'd0; +wire [3:0] litedramcore_wishbone_sel; +wire litedramcore_wishbone_cyc; +wire litedramcore_wishbone_stb; +reg litedramcore_wishbone_ack = 1'd0; +wire litedramcore_wishbone_we; +wire [2:0] litedramcore_wishbone_cti; +wire [1:0] litedramcore_wishbone_bte; +reg litedramcore_wishbone_err = 1'd0; +wire [13:0] interface0_bank_bus_adr; +wire interface0_bank_bus_we; +wire [31:0] interface0_bank_bus_dat_w; +reg [31:0] interface0_bank_bus_dat_r = 32'd0; +reg csrbank0_init_done0_re = 1'd0; +wire csrbank0_init_done0_r; +reg csrbank0_init_done0_we = 1'd0; +wire csrbank0_init_done0_w; +reg csrbank0_init_error0_re = 1'd0; +wire csrbank0_init_error0_r; +reg csrbank0_init_error0_we = 1'd0; +wire csrbank0_init_error0_w; +wire csrbank0_sel; +wire [13:0] interface1_bank_bus_adr; +wire interface1_bank_bus_we; +wire [31:0] interface1_bank_bus_dat_w; +reg [31:0] interface1_bank_bus_dat_r = 32'd0; +reg csrbank1_rst0_re = 1'd0; +wire csrbank1_rst0_r; +reg csrbank1_rst0_we = 1'd0; +wire csrbank1_rst0_w; +reg csrbank1_dly_sel0_re = 1'd0; +wire [1:0] csrbank1_dly_sel0_r; +reg csrbank1_dly_sel0_we = 1'd0; +wire [1:0] csrbank1_dly_sel0_w; +reg csrbank1_half_sys8x_taps0_re = 1'd0; +wire [4:0] csrbank1_half_sys8x_taps0_r; +reg csrbank1_half_sys8x_taps0_we = 1'd0; +wire [4:0] csrbank1_half_sys8x_taps0_w; +reg csrbank1_wlevel_en0_re = 1'd0; +wire csrbank1_wlevel_en0_r; +reg csrbank1_wlevel_en0_we = 1'd0; +wire csrbank1_wlevel_en0_w; +reg csrbank1_rdphase0_re = 1'd0; +wire [1:0] csrbank1_rdphase0_r; +reg csrbank1_rdphase0_we = 1'd0; +wire [1:0] csrbank1_rdphase0_w; +reg csrbank1_wrphase0_re = 1'd0; +wire [1:0] csrbank1_wrphase0_r; +reg csrbank1_wrphase0_we = 1'd0; +wire [1:0] csrbank1_wrphase0_w; +wire csrbank1_sel; +wire [13:0] interface2_bank_bus_adr; +wire interface2_bank_bus_we; +wire [31:0] interface2_bank_bus_dat_w; +reg [31:0] interface2_bank_bus_dat_r = 32'd0; +reg csrbank2_dfii_control0_re = 1'd0; +wire [3:0] csrbank2_dfii_control0_r; +reg csrbank2_dfii_control0_we = 1'd0; +wire [3:0] csrbank2_dfii_control0_w; +reg csrbank2_dfii_pi0_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi0_command0_r; +reg csrbank2_dfii_pi0_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi0_command0_w; +reg csrbank2_dfii_pi0_address0_re = 1'd0; +wire [15:0] csrbank2_dfii_pi0_address0_r; +reg csrbank2_dfii_pi0_address0_we = 1'd0; +wire [15:0] csrbank2_dfii_pi0_address0_w; +reg csrbank2_dfii_pi0_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi0_baddress0_r; +reg csrbank2_dfii_pi0_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi0_baddress0_w; +reg csrbank2_dfii_pi0_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi0_wrdata0_r; +reg csrbank2_dfii_pi0_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi0_wrdata0_w; +reg csrbank2_dfii_pi0_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi0_rddata_r; +reg csrbank2_dfii_pi0_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi0_rddata_w; +reg csrbank2_dfii_pi1_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi1_command0_r; +reg csrbank2_dfii_pi1_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi1_command0_w; +reg csrbank2_dfii_pi1_address0_re = 1'd0; +wire [15:0] csrbank2_dfii_pi1_address0_r; +reg csrbank2_dfii_pi1_address0_we = 1'd0; +wire [15:0] csrbank2_dfii_pi1_address0_w; +reg csrbank2_dfii_pi1_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi1_baddress0_r; +reg csrbank2_dfii_pi1_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi1_baddress0_w; +reg csrbank2_dfii_pi1_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi1_wrdata0_r; +reg csrbank2_dfii_pi1_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi1_wrdata0_w; +reg csrbank2_dfii_pi1_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi1_rddata_r; +reg csrbank2_dfii_pi1_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi1_rddata_w; +reg csrbank2_dfii_pi2_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi2_command0_r; +reg csrbank2_dfii_pi2_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi2_command0_w; +reg csrbank2_dfii_pi2_address0_re = 1'd0; +wire [15:0] csrbank2_dfii_pi2_address0_r; +reg csrbank2_dfii_pi2_address0_we = 1'd0; +wire [15:0] csrbank2_dfii_pi2_address0_w; +reg csrbank2_dfii_pi2_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi2_baddress0_r; +reg csrbank2_dfii_pi2_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi2_baddress0_w; +reg csrbank2_dfii_pi2_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi2_wrdata0_r; +reg csrbank2_dfii_pi2_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi2_wrdata0_w; +reg csrbank2_dfii_pi2_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi2_rddata_r; +reg csrbank2_dfii_pi2_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi2_rddata_w; +reg csrbank2_dfii_pi3_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi3_command0_r; +reg csrbank2_dfii_pi3_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi3_command0_w; +reg csrbank2_dfii_pi3_address0_re = 1'd0; +wire [15:0] csrbank2_dfii_pi3_address0_r; +reg csrbank2_dfii_pi3_address0_we = 1'd0; +wire [15:0] csrbank2_dfii_pi3_address0_w; +reg csrbank2_dfii_pi3_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi3_baddress0_r; +reg csrbank2_dfii_pi3_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi3_baddress0_w; +reg csrbank2_dfii_pi3_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi3_wrdata0_r; +reg csrbank2_dfii_pi3_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi3_wrdata0_w; +reg csrbank2_dfii_pi3_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi3_rddata_r; +reg csrbank2_dfii_pi3_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi3_rddata_w; +wire csrbank2_sel; +wire [13:0] csr_interconnect_adr; +wire csr_interconnect_we; +wire [31:0] csr_interconnect_dat_w; +wire [31:0] csr_interconnect_dat_r; +wire litedramcore_reset0; +wire litedramcore_reset1; +wire litedramcore_reset2; +wire litedramcore_reset3; +wire litedramcore_reset4; +wire litedramcore_reset5; +wire litedramcore_reset6; +wire litedramcore_reset7; +wire litedramcore_pll_fb; +reg [1:0] litedramcore_refresher_state = 2'd0; +reg [1:0] litedramcore_refresher_next_state = 2'd0; +reg [3:0] litedramcore_bankmachine0_state = 4'd0; +reg [3:0] litedramcore_bankmachine0_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine1_state = 4'd0; +reg [3:0] litedramcore_bankmachine1_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine2_state = 4'd0; +reg [3:0] litedramcore_bankmachine2_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine3_state = 4'd0; +reg [3:0] litedramcore_bankmachine3_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine4_state = 4'd0; +reg [3:0] litedramcore_bankmachine4_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine5_state = 4'd0; +reg [3:0] litedramcore_bankmachine5_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine6_state = 4'd0; +reg [3:0] litedramcore_bankmachine6_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine7_state = 4'd0; +reg [3:0] litedramcore_bankmachine7_next_state = 4'd0; +reg [3:0] litedramcore_multiplexer_state = 4'd0; +reg [3:0] litedramcore_multiplexer_next_state = 4'd0; +wire litedramcore_roundrobin0_request; +wire litedramcore_roundrobin0_grant; +wire litedramcore_roundrobin0_ce; +wire litedramcore_roundrobin1_request; +wire litedramcore_roundrobin1_grant; +wire litedramcore_roundrobin1_ce; +wire litedramcore_roundrobin2_request; +wire litedramcore_roundrobin2_grant; +wire litedramcore_roundrobin2_ce; +wire litedramcore_roundrobin3_request; +wire litedramcore_roundrobin3_grant; +wire litedramcore_roundrobin3_ce; +wire litedramcore_roundrobin4_request; +wire litedramcore_roundrobin4_grant; +wire litedramcore_roundrobin4_ce; +wire litedramcore_roundrobin5_request; +wire litedramcore_roundrobin5_grant; +wire litedramcore_roundrobin5_ce; +wire litedramcore_roundrobin6_request; +wire litedramcore_roundrobin6_grant; +wire litedramcore_roundrobin6_ce; +wire litedramcore_roundrobin7_request; +wire litedramcore_roundrobin7_grant; +wire litedramcore_roundrobin7_ce; +reg litedramcore_locked0 = 1'd0; +reg litedramcore_locked1 = 1'd0; +reg litedramcore_locked2 = 1'd0; +reg litedramcore_locked3 = 1'd0; +reg litedramcore_locked4 = 1'd0; +reg litedramcore_locked5 = 1'd0; +reg litedramcore_locked6 = 1'd0; +reg litedramcore_locked7 = 1'd0; +reg litedramcore_new_master_wdata_ready0 = 1'd0; +reg litedramcore_new_master_wdata_ready1 = 1'd0; +reg litedramcore_new_master_rdata_valid0 = 1'd0; +reg litedramcore_new_master_rdata_valid1 = 1'd0; +reg litedramcore_new_master_rdata_valid2 = 1'd0; +reg litedramcore_new_master_rdata_valid3 = 1'd0; +reg litedramcore_new_master_rdata_valid4 = 1'd0; +reg litedramcore_new_master_rdata_valid5 = 1'd0; +reg litedramcore_new_master_rdata_valid6 = 1'd0; +reg litedramcore_new_master_rdata_valid7 = 1'd0; +reg litedramcore_new_master_rdata_valid8 = 1'd0; +reg [1:0] litedramcore_state = 2'd0; +reg [1:0] litedramcore_next_state = 2'd0; +reg [31:0] litedramcore_dat_w_next_value0 = 32'd0; +reg litedramcore_dat_w_next_value_ce0 = 1'd0; +reg [13:0] litedramcore_adr_next_value1 = 14'd0; +reg litedramcore_adr_next_value_ce1 = 1'd0; +reg litedramcore_we_next_value2 = 1'd0; +reg litedramcore_we_next_value_ce2 = 1'd0; +reg rhs_array_muxed0 = 1'd0; +reg [15:0] rhs_array_muxed1 = 16'd0; +reg [2:0] rhs_array_muxed2 = 3'd0; +reg rhs_array_muxed3 = 1'd0; +reg rhs_array_muxed4 = 1'd0; +reg rhs_array_muxed5 = 1'd0; +reg t_array_muxed0 = 1'd0; +reg t_array_muxed1 = 1'd0; +reg t_array_muxed2 = 1'd0; +reg rhs_array_muxed6 = 1'd0; +reg [15:0] rhs_array_muxed7 = 16'd0; +reg [2:0] rhs_array_muxed8 = 3'd0; +reg rhs_array_muxed9 = 1'd0; +reg rhs_array_muxed10 = 1'd0; +reg rhs_array_muxed11 = 1'd0; +reg t_array_muxed3 = 1'd0; +reg t_array_muxed4 = 1'd0; +reg t_array_muxed5 = 1'd0; +reg [22:0] rhs_array_muxed12 = 23'd0; +reg rhs_array_muxed13 = 1'd0; +reg rhs_array_muxed14 = 1'd0; +reg [22:0] rhs_array_muxed15 = 23'd0; +reg rhs_array_muxed16 = 1'd0; +reg rhs_array_muxed17 = 1'd0; +reg [22:0] rhs_array_muxed18 = 23'd0; +reg rhs_array_muxed19 = 1'd0; +reg rhs_array_muxed20 = 1'd0; +reg [22:0] rhs_array_muxed21 = 23'd0; +reg rhs_array_muxed22 = 1'd0; +reg rhs_array_muxed23 = 1'd0; +reg [22:0] rhs_array_muxed24 = 23'd0; +reg rhs_array_muxed25 = 1'd0; +reg rhs_array_muxed26 = 1'd0; +reg [22:0] rhs_array_muxed27 = 23'd0; +reg rhs_array_muxed28 = 1'd0; +reg rhs_array_muxed29 = 1'd0; +reg [22:0] rhs_array_muxed30 = 23'd0; +reg rhs_array_muxed31 = 1'd0; +reg rhs_array_muxed32 = 1'd0; +reg [22:0] rhs_array_muxed33 = 23'd0; +reg rhs_array_muxed34 = 1'd0; +reg rhs_array_muxed35 = 1'd0; +reg [2:0] array_muxed0 = 3'd0; +reg [15:0] array_muxed1 = 16'd0; +reg array_muxed2 = 1'd0; +reg array_muxed3 = 1'd0; +reg array_muxed4 = 1'd0; +reg array_muxed5 = 1'd0; +reg array_muxed6 = 1'd0; +reg [2:0] array_muxed7 = 3'd0; +reg [15:0] array_muxed8 = 16'd0; +reg array_muxed9 = 1'd0; +reg array_muxed10 = 1'd0; +reg array_muxed11 = 1'd0; +reg array_muxed12 = 1'd0; +reg array_muxed13 = 1'd0; +reg [2:0] array_muxed14 = 3'd0; +reg [15:0] array_muxed15 = 16'd0; +reg array_muxed16 = 1'd0; +reg array_muxed17 = 1'd0; +reg array_muxed18 = 1'd0; +reg array_muxed19 = 1'd0; +reg array_muxed20 = 1'd0; +reg [2:0] array_muxed21 = 3'd0; +reg [15:0] array_muxed22 = 16'd0; +reg array_muxed23 = 1'd0; +reg array_muxed24 = 1'd0; +reg array_muxed25 = 1'd0; +reg array_muxed26 = 1'd0; +reg array_muxed27 = 1'd0; +wire xilinxasyncresetsynchronizerimpl0; +wire xilinxasyncresetsynchronizerimpl0_rst_meta; +wire xilinxasyncresetsynchronizerimpl1; +wire xilinxasyncresetsynchronizerimpl1_rst_meta; +wire xilinxasyncresetsynchronizerimpl2; +wire xilinxasyncresetsynchronizerimpl2_rst_meta; +wire xilinxasyncresetsynchronizerimpl2_expr; +wire xilinxasyncresetsynchronizerimpl3; +wire xilinxasyncresetsynchronizerimpl3_rst_meta; +wire xilinxasyncresetsynchronizerimpl3_expr; //------------------------------------------------------------------------------ // Combinatorial Logic //------------------------------------------------------------------------------ -assign init_done = main_init_done_storage; -assign init_error = main_init_error_storage; -assign main_wb_bus_adr = wb_ctrl_adr; -assign main_wb_bus_dat_w = wb_ctrl_dat_w; -assign wb_ctrl_dat_r = main_wb_bus_dat_r; -assign main_wb_bus_sel = wb_ctrl_sel; -assign main_wb_bus_cyc = wb_ctrl_cyc; -assign main_wb_bus_stb = wb_ctrl_stb; -assign wb_ctrl_ack = main_wb_bus_ack; -assign main_wb_bus_we = wb_ctrl_we; -assign main_wb_bus_cti = wb_ctrl_cti; -assign main_wb_bus_bte = wb_ctrl_bte; -assign wb_ctrl_err = main_wb_bus_err; +assign init_done = init_done_storage; +assign init_error = init_error_storage; +assign wb_bus_adr = wb_ctrl_adr; +assign wb_bus_dat_w = wb_ctrl_dat_w; +assign wb_ctrl_dat_r = wb_bus_dat_r; +assign wb_bus_sel = wb_ctrl_sel; +assign wb_bus_cyc = wb_ctrl_cyc; +assign wb_bus_stb = wb_ctrl_stb; +assign wb_ctrl_ack = wb_bus_ack; +assign wb_bus_we = wb_ctrl_we; +assign wb_bus_cti = wb_ctrl_cti; +assign wb_bus_bte = wb_ctrl_bte; +assign wb_ctrl_err = wb_bus_err; assign user_clk = sys_clk; assign user_rst = sys_rst; -assign main_user_enable = 1'd1; -assign main_user_port_cmd_valid = (user_port_native_0_cmd_valid & main_user_enable); -assign user_port_native_0_cmd_ready = (main_user_port_cmd_ready & main_user_enable); -assign main_user_port_cmd_payload_we = user_port_native_0_cmd_we; -assign main_user_port_cmd_payload_addr = user_port_native_0_cmd_addr; -assign main_user_port_wdata_valid = (user_port_native_0_wdata_valid & main_user_enable); -assign user_port_native_0_wdata_ready = (main_user_port_wdata_ready & main_user_enable); -assign main_user_port_wdata_payload_we = user_port_native_0_wdata_we; -assign main_user_port_wdata_payload_data = user_port_native_0_wdata_data; -assign user_port_native_0_rdata_valid = (main_user_port_rdata_valid & main_user_enable); -assign main_user_port_rdata_ready = (user_port_native_0_rdata_ready & main_user_enable); -assign user_port_native_0_rdata_data = main_user_port_rdata_payload_data; -assign main_reset = (rst | main_rst); -assign pll_locked = main_locked; -assign main_clkin = clk; -assign iodelay_clk = main_clkout_buf0; -assign sys_clk = main_clkout_buf1; -assign sys4x_clk = main_clkout_buf2; -assign sys4x_dqs_clk = main_clkout_buf3; -assign main_a7ddrphy_dqs_oe_delay_tappeddelayline = ((main_a7ddrphy_dqs_preamble | main_a7ddrphy_dqs_oe) | main_a7ddrphy_dqs_postamble); -assign main_a7ddrphy_dq_oe_delay_tappeddelayline = ((main_a7ddrphy_dqs_preamble | main_a7ddrphy_dq_oe) | main_a7ddrphy_dqs_postamble); -always @(*) begin - main_a7ddrphy_dfi_p0_rddata <= 32'd0; - main_a7ddrphy_dfi_p0_rddata[0] <= main_a7ddrphy_bitslip04[0]; - main_a7ddrphy_dfi_p0_rddata[16] <= main_a7ddrphy_bitslip04[1]; - main_a7ddrphy_dfi_p0_rddata[1] <= main_a7ddrphy_bitslip14[0]; - main_a7ddrphy_dfi_p0_rddata[17] <= main_a7ddrphy_bitslip14[1]; - main_a7ddrphy_dfi_p0_rddata[2] <= main_a7ddrphy_bitslip22[0]; - main_a7ddrphy_dfi_p0_rddata[18] <= main_a7ddrphy_bitslip22[1]; - main_a7ddrphy_dfi_p0_rddata[3] <= main_a7ddrphy_bitslip32[0]; - main_a7ddrphy_dfi_p0_rddata[19] <= main_a7ddrphy_bitslip32[1]; - main_a7ddrphy_dfi_p0_rddata[4] <= main_a7ddrphy_bitslip42[0]; - main_a7ddrphy_dfi_p0_rddata[20] <= main_a7ddrphy_bitslip42[1]; - main_a7ddrphy_dfi_p0_rddata[5] <= main_a7ddrphy_bitslip52[0]; - main_a7ddrphy_dfi_p0_rddata[21] <= main_a7ddrphy_bitslip52[1]; - main_a7ddrphy_dfi_p0_rddata[6] <= main_a7ddrphy_bitslip62[0]; - main_a7ddrphy_dfi_p0_rddata[22] <= main_a7ddrphy_bitslip62[1]; - main_a7ddrphy_dfi_p0_rddata[7] <= main_a7ddrphy_bitslip72[0]; - main_a7ddrphy_dfi_p0_rddata[23] <= main_a7ddrphy_bitslip72[1]; - main_a7ddrphy_dfi_p0_rddata[8] <= main_a7ddrphy_bitslip82[0]; - main_a7ddrphy_dfi_p0_rddata[24] <= main_a7ddrphy_bitslip82[1]; - main_a7ddrphy_dfi_p0_rddata[9] <= main_a7ddrphy_bitslip92[0]; - main_a7ddrphy_dfi_p0_rddata[25] <= main_a7ddrphy_bitslip92[1]; - main_a7ddrphy_dfi_p0_rddata[10] <= main_a7ddrphy_bitslip102[0]; - main_a7ddrphy_dfi_p0_rddata[26] <= main_a7ddrphy_bitslip102[1]; - main_a7ddrphy_dfi_p0_rddata[11] <= main_a7ddrphy_bitslip112[0]; - main_a7ddrphy_dfi_p0_rddata[27] <= main_a7ddrphy_bitslip112[1]; - main_a7ddrphy_dfi_p0_rddata[12] <= main_a7ddrphy_bitslip122[0]; - main_a7ddrphy_dfi_p0_rddata[28] <= main_a7ddrphy_bitslip122[1]; - main_a7ddrphy_dfi_p0_rddata[13] <= main_a7ddrphy_bitslip132[0]; - main_a7ddrphy_dfi_p0_rddata[29] <= main_a7ddrphy_bitslip132[1]; - main_a7ddrphy_dfi_p0_rddata[14] <= main_a7ddrphy_bitslip142[0]; - main_a7ddrphy_dfi_p0_rddata[30] <= main_a7ddrphy_bitslip142[1]; - main_a7ddrphy_dfi_p0_rddata[15] <= main_a7ddrphy_bitslip152[0]; - main_a7ddrphy_dfi_p0_rddata[31] <= main_a7ddrphy_bitslip152[1]; -end -always @(*) begin - main_a7ddrphy_dfi_p1_rddata <= 32'd0; - main_a7ddrphy_dfi_p1_rddata[0] <= main_a7ddrphy_bitslip04[2]; - main_a7ddrphy_dfi_p1_rddata[16] <= main_a7ddrphy_bitslip04[3]; - main_a7ddrphy_dfi_p1_rddata[1] <= main_a7ddrphy_bitslip14[2]; - main_a7ddrphy_dfi_p1_rddata[17] <= main_a7ddrphy_bitslip14[3]; - main_a7ddrphy_dfi_p1_rddata[2] <= main_a7ddrphy_bitslip22[2]; - main_a7ddrphy_dfi_p1_rddata[18] <= main_a7ddrphy_bitslip22[3]; - main_a7ddrphy_dfi_p1_rddata[3] <= main_a7ddrphy_bitslip32[2]; - main_a7ddrphy_dfi_p1_rddata[19] <= main_a7ddrphy_bitslip32[3]; - main_a7ddrphy_dfi_p1_rddata[4] <= main_a7ddrphy_bitslip42[2]; - main_a7ddrphy_dfi_p1_rddata[20] <= main_a7ddrphy_bitslip42[3]; - main_a7ddrphy_dfi_p1_rddata[5] <= main_a7ddrphy_bitslip52[2]; - main_a7ddrphy_dfi_p1_rddata[21] <= main_a7ddrphy_bitslip52[3]; - main_a7ddrphy_dfi_p1_rddata[6] <= main_a7ddrphy_bitslip62[2]; - main_a7ddrphy_dfi_p1_rddata[22] <= main_a7ddrphy_bitslip62[3]; - main_a7ddrphy_dfi_p1_rddata[7] <= main_a7ddrphy_bitslip72[2]; - main_a7ddrphy_dfi_p1_rddata[23] <= main_a7ddrphy_bitslip72[3]; - main_a7ddrphy_dfi_p1_rddata[8] <= main_a7ddrphy_bitslip82[2]; - main_a7ddrphy_dfi_p1_rddata[24] <= main_a7ddrphy_bitslip82[3]; - main_a7ddrphy_dfi_p1_rddata[9] <= main_a7ddrphy_bitslip92[2]; - main_a7ddrphy_dfi_p1_rddata[25] <= main_a7ddrphy_bitslip92[3]; - main_a7ddrphy_dfi_p1_rddata[10] <= main_a7ddrphy_bitslip102[2]; - main_a7ddrphy_dfi_p1_rddata[26] <= main_a7ddrphy_bitslip102[3]; - main_a7ddrphy_dfi_p1_rddata[11] <= main_a7ddrphy_bitslip112[2]; - main_a7ddrphy_dfi_p1_rddata[27] <= main_a7ddrphy_bitslip112[3]; - main_a7ddrphy_dfi_p1_rddata[12] <= main_a7ddrphy_bitslip122[2]; - main_a7ddrphy_dfi_p1_rddata[28] <= main_a7ddrphy_bitslip122[3]; - main_a7ddrphy_dfi_p1_rddata[13] <= main_a7ddrphy_bitslip132[2]; - main_a7ddrphy_dfi_p1_rddata[29] <= main_a7ddrphy_bitslip132[3]; - main_a7ddrphy_dfi_p1_rddata[14] <= main_a7ddrphy_bitslip142[2]; - main_a7ddrphy_dfi_p1_rddata[30] <= main_a7ddrphy_bitslip142[3]; - main_a7ddrphy_dfi_p1_rddata[15] <= main_a7ddrphy_bitslip152[2]; - main_a7ddrphy_dfi_p1_rddata[31] <= main_a7ddrphy_bitslip152[3]; -end -always @(*) begin - main_a7ddrphy_dfi_p2_rddata <= 32'd0; - main_a7ddrphy_dfi_p2_rddata[0] <= main_a7ddrphy_bitslip04[4]; - main_a7ddrphy_dfi_p2_rddata[16] <= main_a7ddrphy_bitslip04[5]; - main_a7ddrphy_dfi_p2_rddata[1] <= main_a7ddrphy_bitslip14[4]; - main_a7ddrphy_dfi_p2_rddata[17] <= main_a7ddrphy_bitslip14[5]; - main_a7ddrphy_dfi_p2_rddata[2] <= main_a7ddrphy_bitslip22[4]; - main_a7ddrphy_dfi_p2_rddata[18] <= main_a7ddrphy_bitslip22[5]; - main_a7ddrphy_dfi_p2_rddata[3] <= main_a7ddrphy_bitslip32[4]; - main_a7ddrphy_dfi_p2_rddata[19] <= main_a7ddrphy_bitslip32[5]; - main_a7ddrphy_dfi_p2_rddata[4] <= main_a7ddrphy_bitslip42[4]; - main_a7ddrphy_dfi_p2_rddata[20] <= main_a7ddrphy_bitslip42[5]; - main_a7ddrphy_dfi_p2_rddata[5] <= main_a7ddrphy_bitslip52[4]; - main_a7ddrphy_dfi_p2_rddata[21] <= main_a7ddrphy_bitslip52[5]; - main_a7ddrphy_dfi_p2_rddata[6] <= main_a7ddrphy_bitslip62[4]; - main_a7ddrphy_dfi_p2_rddata[22] <= main_a7ddrphy_bitslip62[5]; - main_a7ddrphy_dfi_p2_rddata[7] <= main_a7ddrphy_bitslip72[4]; - main_a7ddrphy_dfi_p2_rddata[23] <= main_a7ddrphy_bitslip72[5]; - main_a7ddrphy_dfi_p2_rddata[8] <= main_a7ddrphy_bitslip82[4]; - main_a7ddrphy_dfi_p2_rddata[24] <= main_a7ddrphy_bitslip82[5]; - main_a7ddrphy_dfi_p2_rddata[9] <= main_a7ddrphy_bitslip92[4]; - main_a7ddrphy_dfi_p2_rddata[25] <= main_a7ddrphy_bitslip92[5]; - main_a7ddrphy_dfi_p2_rddata[10] <= main_a7ddrphy_bitslip102[4]; - main_a7ddrphy_dfi_p2_rddata[26] <= main_a7ddrphy_bitslip102[5]; - main_a7ddrphy_dfi_p2_rddata[11] <= main_a7ddrphy_bitslip112[4]; - main_a7ddrphy_dfi_p2_rddata[27] <= main_a7ddrphy_bitslip112[5]; - main_a7ddrphy_dfi_p2_rddata[12] <= main_a7ddrphy_bitslip122[4]; - main_a7ddrphy_dfi_p2_rddata[28] <= main_a7ddrphy_bitslip122[5]; - main_a7ddrphy_dfi_p2_rddata[13] <= main_a7ddrphy_bitslip132[4]; - main_a7ddrphy_dfi_p2_rddata[29] <= main_a7ddrphy_bitslip132[5]; - main_a7ddrphy_dfi_p2_rddata[14] <= main_a7ddrphy_bitslip142[4]; - main_a7ddrphy_dfi_p2_rddata[30] <= main_a7ddrphy_bitslip142[5]; - main_a7ddrphy_dfi_p2_rddata[15] <= main_a7ddrphy_bitslip152[4]; - main_a7ddrphy_dfi_p2_rddata[31] <= main_a7ddrphy_bitslip152[5]; -end -always @(*) begin - main_a7ddrphy_dfi_p3_rddata <= 32'd0; - main_a7ddrphy_dfi_p3_rddata[0] <= main_a7ddrphy_bitslip04[6]; - main_a7ddrphy_dfi_p3_rddata[16] <= main_a7ddrphy_bitslip04[7]; - main_a7ddrphy_dfi_p3_rddata[1] <= main_a7ddrphy_bitslip14[6]; - main_a7ddrphy_dfi_p3_rddata[17] <= main_a7ddrphy_bitslip14[7]; - main_a7ddrphy_dfi_p3_rddata[2] <= main_a7ddrphy_bitslip22[6]; - main_a7ddrphy_dfi_p3_rddata[18] <= main_a7ddrphy_bitslip22[7]; - main_a7ddrphy_dfi_p3_rddata[3] <= main_a7ddrphy_bitslip32[6]; - main_a7ddrphy_dfi_p3_rddata[19] <= main_a7ddrphy_bitslip32[7]; - main_a7ddrphy_dfi_p3_rddata[4] <= main_a7ddrphy_bitslip42[6]; - main_a7ddrphy_dfi_p3_rddata[20] <= main_a7ddrphy_bitslip42[7]; - main_a7ddrphy_dfi_p3_rddata[5] <= main_a7ddrphy_bitslip52[6]; - main_a7ddrphy_dfi_p3_rddata[21] <= main_a7ddrphy_bitslip52[7]; - main_a7ddrphy_dfi_p3_rddata[6] <= main_a7ddrphy_bitslip62[6]; - main_a7ddrphy_dfi_p3_rddata[22] <= main_a7ddrphy_bitslip62[7]; - main_a7ddrphy_dfi_p3_rddata[7] <= main_a7ddrphy_bitslip72[6]; - main_a7ddrphy_dfi_p3_rddata[23] <= main_a7ddrphy_bitslip72[7]; - main_a7ddrphy_dfi_p3_rddata[8] <= main_a7ddrphy_bitslip82[6]; - main_a7ddrphy_dfi_p3_rddata[24] <= main_a7ddrphy_bitslip82[7]; - main_a7ddrphy_dfi_p3_rddata[9] <= main_a7ddrphy_bitslip92[6]; - main_a7ddrphy_dfi_p3_rddata[25] <= main_a7ddrphy_bitslip92[7]; - main_a7ddrphy_dfi_p3_rddata[10] <= main_a7ddrphy_bitslip102[6]; - main_a7ddrphy_dfi_p3_rddata[26] <= main_a7ddrphy_bitslip102[7]; - main_a7ddrphy_dfi_p3_rddata[11] <= main_a7ddrphy_bitslip112[6]; - main_a7ddrphy_dfi_p3_rddata[27] <= main_a7ddrphy_bitslip112[7]; - main_a7ddrphy_dfi_p3_rddata[12] <= main_a7ddrphy_bitslip122[6]; - main_a7ddrphy_dfi_p3_rddata[28] <= main_a7ddrphy_bitslip122[7]; - main_a7ddrphy_dfi_p3_rddata[13] <= main_a7ddrphy_bitslip132[6]; - main_a7ddrphy_dfi_p3_rddata[29] <= main_a7ddrphy_bitslip132[7]; - main_a7ddrphy_dfi_p3_rddata[14] <= main_a7ddrphy_bitslip142[6]; - main_a7ddrphy_dfi_p3_rddata[30] <= main_a7ddrphy_bitslip142[7]; - main_a7ddrphy_dfi_p3_rddata[15] <= main_a7ddrphy_bitslip152[6]; - main_a7ddrphy_dfi_p3_rddata[31] <= main_a7ddrphy_bitslip152[7]; -end -assign main_a7ddrphy_dfi_p0_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dfi_p1_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dfi_p2_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dfi_p3_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dq_oe = main_a7ddrphy_wrdata_en_tappeddelayline1; -always @(*) begin - main_a7ddrphy_dqs_oe <= 1'd0; - if (main_a7ddrphy_wlevel_en_storage) begin - main_a7ddrphy_dqs_oe <= 1'd1; - end else begin - main_a7ddrphy_dqs_oe <= main_a7ddrphy_dq_oe; - end -end -assign main_a7ddrphy_dqs_preamble = (main_a7ddrphy_wrdata_en_tappeddelayline0 & (~main_a7ddrphy_wrdata_en_tappeddelayline1)); -assign main_a7ddrphy_dqs_postamble = (main_a7ddrphy_wrdata_en_tappeddelayline2 & (~main_a7ddrphy_wrdata_en_tappeddelayline1)); -always @(*) begin - main_a7ddrphy_dqspattern_o0 <= 8'd0; - main_a7ddrphy_dqspattern_o0 <= 7'd85; - if (main_a7ddrphy_dqspattern0) begin - main_a7ddrphy_dqspattern_o0 <= 5'd21; - end - if (main_a7ddrphy_dqspattern1) begin - main_a7ddrphy_dqspattern_o0 <= 7'd84; - end - if (main_a7ddrphy_wlevel_en_storage) begin - main_a7ddrphy_dqspattern_o0 <= 1'd0; - if (main_a7ddrphy_wlevel_strobe_re) begin - main_a7ddrphy_dqspattern_o0 <= 1'd1; - end - end -end -always @(*) begin - main_a7ddrphy_bitslip00 <= 8'd0; - case (main_a7ddrphy_bitslip0_value0) +assign user_enable = 1'd1; +assign user_port_cmd_valid = (user_port_native_0_cmd_valid & user_enable); +assign user_port_native_0_cmd_ready = (user_port_cmd_ready & user_enable); +assign user_port_cmd_payload_we = user_port_native_0_cmd_we; +assign user_port_cmd_payload_addr = user_port_native_0_cmd_addr; +assign user_port_wdata_valid = (user_port_native_0_wdata_valid & user_enable); +assign user_port_native_0_wdata_ready = (user_port_wdata_ready & user_enable); +assign user_port_wdata_payload_we = user_port_native_0_wdata_we; +assign user_port_wdata_payload_data = user_port_native_0_wdata_data; +assign user_port_native_0_rdata_valid = (user_port_rdata_valid & user_enable); +assign user_port_rdata_ready = (user_port_native_0_rdata_ready & user_enable); +assign user_port_native_0_rdata_data = user_port_rdata_payload_data; +assign reset = (rst | rst_1); +assign pll_locked = locked; +assign clkin = clk; +assign iodelay_clk = clkout_buf0; +assign sys_clk = clkout_buf1; +assign sys4x_clk = clkout_buf2; +assign sys4x_dqs_clk = clkout_buf3; +assign ddram_ba = a7ddrphy_pads_ba; +assign a7ddrphy_dqs_oe_delay_tappeddelayline = ((a7ddrphy_dqs_preamble | a7ddrphy_dqs_oe) | a7ddrphy_dqs_postamble); +assign a7ddrphy_dq_oe_delay_tappeddelayline = ((a7ddrphy_dqs_preamble | a7ddrphy_dq_oe) | a7ddrphy_dqs_postamble); +always @(*) begin + a7ddrphy_dfi_p0_rddata <= 32'd0; + a7ddrphy_dfi_p0_rddata[0] <= a7ddrphy_bitslip04[0]; + a7ddrphy_dfi_p0_rddata[16] <= a7ddrphy_bitslip04[1]; + a7ddrphy_dfi_p0_rddata[1] <= a7ddrphy_bitslip14[0]; + a7ddrphy_dfi_p0_rddata[17] <= a7ddrphy_bitslip14[1]; + a7ddrphy_dfi_p0_rddata[2] <= a7ddrphy_bitslip22[0]; + a7ddrphy_dfi_p0_rddata[18] <= a7ddrphy_bitslip22[1]; + a7ddrphy_dfi_p0_rddata[3] <= a7ddrphy_bitslip32[0]; + a7ddrphy_dfi_p0_rddata[19] <= a7ddrphy_bitslip32[1]; + a7ddrphy_dfi_p0_rddata[4] <= a7ddrphy_bitslip42[0]; + a7ddrphy_dfi_p0_rddata[20] <= a7ddrphy_bitslip42[1]; + a7ddrphy_dfi_p0_rddata[5] <= a7ddrphy_bitslip52[0]; + a7ddrphy_dfi_p0_rddata[21] <= a7ddrphy_bitslip52[1]; + a7ddrphy_dfi_p0_rddata[6] <= a7ddrphy_bitslip62[0]; + a7ddrphy_dfi_p0_rddata[22] <= a7ddrphy_bitslip62[1]; + a7ddrphy_dfi_p0_rddata[7] <= a7ddrphy_bitslip72[0]; + a7ddrphy_dfi_p0_rddata[23] <= a7ddrphy_bitslip72[1]; + a7ddrphy_dfi_p0_rddata[8] <= a7ddrphy_bitslip82[0]; + a7ddrphy_dfi_p0_rddata[24] <= a7ddrphy_bitslip82[1]; + a7ddrphy_dfi_p0_rddata[9] <= a7ddrphy_bitslip92[0]; + a7ddrphy_dfi_p0_rddata[25] <= a7ddrphy_bitslip92[1]; + a7ddrphy_dfi_p0_rddata[10] <= a7ddrphy_bitslip102[0]; + a7ddrphy_dfi_p0_rddata[26] <= a7ddrphy_bitslip102[1]; + a7ddrphy_dfi_p0_rddata[11] <= a7ddrphy_bitslip112[0]; + a7ddrphy_dfi_p0_rddata[27] <= a7ddrphy_bitslip112[1]; + a7ddrphy_dfi_p0_rddata[12] <= a7ddrphy_bitslip122[0]; + a7ddrphy_dfi_p0_rddata[28] <= a7ddrphy_bitslip122[1]; + a7ddrphy_dfi_p0_rddata[13] <= a7ddrphy_bitslip132[0]; + a7ddrphy_dfi_p0_rddata[29] <= a7ddrphy_bitslip132[1]; + a7ddrphy_dfi_p0_rddata[14] <= a7ddrphy_bitslip142[0]; + a7ddrphy_dfi_p0_rddata[30] <= a7ddrphy_bitslip142[1]; + a7ddrphy_dfi_p0_rddata[15] <= a7ddrphy_bitslip152[0]; + a7ddrphy_dfi_p0_rddata[31] <= a7ddrphy_bitslip152[1]; +end +always @(*) begin + a7ddrphy_dfi_p1_rddata <= 32'd0; + a7ddrphy_dfi_p1_rddata[0] <= a7ddrphy_bitslip04[2]; + a7ddrphy_dfi_p1_rddata[16] <= a7ddrphy_bitslip04[3]; + a7ddrphy_dfi_p1_rddata[1] <= a7ddrphy_bitslip14[2]; + a7ddrphy_dfi_p1_rddata[17] <= a7ddrphy_bitslip14[3]; + a7ddrphy_dfi_p1_rddata[2] <= a7ddrphy_bitslip22[2]; + a7ddrphy_dfi_p1_rddata[18] <= a7ddrphy_bitslip22[3]; + a7ddrphy_dfi_p1_rddata[3] <= a7ddrphy_bitslip32[2]; + a7ddrphy_dfi_p1_rddata[19] <= a7ddrphy_bitslip32[3]; + a7ddrphy_dfi_p1_rddata[4] <= a7ddrphy_bitslip42[2]; + a7ddrphy_dfi_p1_rddata[20] <= a7ddrphy_bitslip42[3]; + a7ddrphy_dfi_p1_rddata[5] <= a7ddrphy_bitslip52[2]; + a7ddrphy_dfi_p1_rddata[21] <= a7ddrphy_bitslip52[3]; + a7ddrphy_dfi_p1_rddata[6] <= a7ddrphy_bitslip62[2]; + a7ddrphy_dfi_p1_rddata[22] <= a7ddrphy_bitslip62[3]; + a7ddrphy_dfi_p1_rddata[7] <= a7ddrphy_bitslip72[2]; + a7ddrphy_dfi_p1_rddata[23] <= a7ddrphy_bitslip72[3]; + a7ddrphy_dfi_p1_rddata[8] <= a7ddrphy_bitslip82[2]; + a7ddrphy_dfi_p1_rddata[24] <= a7ddrphy_bitslip82[3]; + a7ddrphy_dfi_p1_rddata[9] <= a7ddrphy_bitslip92[2]; + a7ddrphy_dfi_p1_rddata[25] <= a7ddrphy_bitslip92[3]; + a7ddrphy_dfi_p1_rddata[10] <= a7ddrphy_bitslip102[2]; + a7ddrphy_dfi_p1_rddata[26] <= a7ddrphy_bitslip102[3]; + a7ddrphy_dfi_p1_rddata[11] <= a7ddrphy_bitslip112[2]; + a7ddrphy_dfi_p1_rddata[27] <= a7ddrphy_bitslip112[3]; + a7ddrphy_dfi_p1_rddata[12] <= a7ddrphy_bitslip122[2]; + a7ddrphy_dfi_p1_rddata[28] <= a7ddrphy_bitslip122[3]; + a7ddrphy_dfi_p1_rddata[13] <= a7ddrphy_bitslip132[2]; + a7ddrphy_dfi_p1_rddata[29] <= a7ddrphy_bitslip132[3]; + a7ddrphy_dfi_p1_rddata[14] <= a7ddrphy_bitslip142[2]; + a7ddrphy_dfi_p1_rddata[30] <= a7ddrphy_bitslip142[3]; + a7ddrphy_dfi_p1_rddata[15] <= a7ddrphy_bitslip152[2]; + a7ddrphy_dfi_p1_rddata[31] <= a7ddrphy_bitslip152[3]; +end +always @(*) begin + a7ddrphy_dfi_p2_rddata <= 32'd0; + a7ddrphy_dfi_p2_rddata[0] <= a7ddrphy_bitslip04[4]; + a7ddrphy_dfi_p2_rddata[16] <= a7ddrphy_bitslip04[5]; + a7ddrphy_dfi_p2_rddata[1] <= a7ddrphy_bitslip14[4]; + a7ddrphy_dfi_p2_rddata[17] <= a7ddrphy_bitslip14[5]; + a7ddrphy_dfi_p2_rddata[2] <= a7ddrphy_bitslip22[4]; + a7ddrphy_dfi_p2_rddata[18] <= a7ddrphy_bitslip22[5]; + a7ddrphy_dfi_p2_rddata[3] <= a7ddrphy_bitslip32[4]; + a7ddrphy_dfi_p2_rddata[19] <= a7ddrphy_bitslip32[5]; + a7ddrphy_dfi_p2_rddata[4] <= a7ddrphy_bitslip42[4]; + a7ddrphy_dfi_p2_rddata[20] <= a7ddrphy_bitslip42[5]; + a7ddrphy_dfi_p2_rddata[5] <= a7ddrphy_bitslip52[4]; + a7ddrphy_dfi_p2_rddata[21] <= a7ddrphy_bitslip52[5]; + a7ddrphy_dfi_p2_rddata[6] <= a7ddrphy_bitslip62[4]; + a7ddrphy_dfi_p2_rddata[22] <= a7ddrphy_bitslip62[5]; + a7ddrphy_dfi_p2_rddata[7] <= a7ddrphy_bitslip72[4]; + a7ddrphy_dfi_p2_rddata[23] <= a7ddrphy_bitslip72[5]; + a7ddrphy_dfi_p2_rddata[8] <= a7ddrphy_bitslip82[4]; + a7ddrphy_dfi_p2_rddata[24] <= a7ddrphy_bitslip82[5]; + a7ddrphy_dfi_p2_rddata[9] <= a7ddrphy_bitslip92[4]; + a7ddrphy_dfi_p2_rddata[25] <= a7ddrphy_bitslip92[5]; + a7ddrphy_dfi_p2_rddata[10] <= a7ddrphy_bitslip102[4]; + a7ddrphy_dfi_p2_rddata[26] <= a7ddrphy_bitslip102[5]; + a7ddrphy_dfi_p2_rddata[11] <= a7ddrphy_bitslip112[4]; + a7ddrphy_dfi_p2_rddata[27] <= a7ddrphy_bitslip112[5]; + a7ddrphy_dfi_p2_rddata[12] <= a7ddrphy_bitslip122[4]; + a7ddrphy_dfi_p2_rddata[28] <= a7ddrphy_bitslip122[5]; + a7ddrphy_dfi_p2_rddata[13] <= a7ddrphy_bitslip132[4]; + a7ddrphy_dfi_p2_rddata[29] <= a7ddrphy_bitslip132[5]; + a7ddrphy_dfi_p2_rddata[14] <= a7ddrphy_bitslip142[4]; + a7ddrphy_dfi_p2_rddata[30] <= a7ddrphy_bitslip142[5]; + a7ddrphy_dfi_p2_rddata[15] <= a7ddrphy_bitslip152[4]; + a7ddrphy_dfi_p2_rddata[31] <= a7ddrphy_bitslip152[5]; +end +always @(*) begin + a7ddrphy_dfi_p3_rddata <= 32'd0; + a7ddrphy_dfi_p3_rddata[0] <= a7ddrphy_bitslip04[6]; + a7ddrphy_dfi_p3_rddata[16] <= a7ddrphy_bitslip04[7]; + a7ddrphy_dfi_p3_rddata[1] <= a7ddrphy_bitslip14[6]; + a7ddrphy_dfi_p3_rddata[17] <= a7ddrphy_bitslip14[7]; + a7ddrphy_dfi_p3_rddata[2] <= a7ddrphy_bitslip22[6]; + a7ddrphy_dfi_p3_rddata[18] <= a7ddrphy_bitslip22[7]; + a7ddrphy_dfi_p3_rddata[3] <= a7ddrphy_bitslip32[6]; + a7ddrphy_dfi_p3_rddata[19] <= a7ddrphy_bitslip32[7]; + a7ddrphy_dfi_p3_rddata[4] <= a7ddrphy_bitslip42[6]; + a7ddrphy_dfi_p3_rddata[20] <= a7ddrphy_bitslip42[7]; + a7ddrphy_dfi_p3_rddata[5] <= a7ddrphy_bitslip52[6]; + a7ddrphy_dfi_p3_rddata[21] <= a7ddrphy_bitslip52[7]; + a7ddrphy_dfi_p3_rddata[6] <= a7ddrphy_bitslip62[6]; + a7ddrphy_dfi_p3_rddata[22] <= a7ddrphy_bitslip62[7]; + a7ddrphy_dfi_p3_rddata[7] <= a7ddrphy_bitslip72[6]; + a7ddrphy_dfi_p3_rddata[23] <= a7ddrphy_bitslip72[7]; + a7ddrphy_dfi_p3_rddata[8] <= a7ddrphy_bitslip82[6]; + a7ddrphy_dfi_p3_rddata[24] <= a7ddrphy_bitslip82[7]; + a7ddrphy_dfi_p3_rddata[9] <= a7ddrphy_bitslip92[6]; + a7ddrphy_dfi_p3_rddata[25] <= a7ddrphy_bitslip92[7]; + a7ddrphy_dfi_p3_rddata[10] <= a7ddrphy_bitslip102[6]; + a7ddrphy_dfi_p3_rddata[26] <= a7ddrphy_bitslip102[7]; + a7ddrphy_dfi_p3_rddata[11] <= a7ddrphy_bitslip112[6]; + a7ddrphy_dfi_p3_rddata[27] <= a7ddrphy_bitslip112[7]; + a7ddrphy_dfi_p3_rddata[12] <= a7ddrphy_bitslip122[6]; + a7ddrphy_dfi_p3_rddata[28] <= a7ddrphy_bitslip122[7]; + a7ddrphy_dfi_p3_rddata[13] <= a7ddrphy_bitslip132[6]; + a7ddrphy_dfi_p3_rddata[29] <= a7ddrphy_bitslip132[7]; + a7ddrphy_dfi_p3_rddata[14] <= a7ddrphy_bitslip142[6]; + a7ddrphy_dfi_p3_rddata[30] <= a7ddrphy_bitslip142[7]; + a7ddrphy_dfi_p3_rddata[15] <= a7ddrphy_bitslip152[6]; + a7ddrphy_dfi_p3_rddata[31] <= a7ddrphy_bitslip152[7]; +end +assign a7ddrphy_dfi_p0_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dfi_p1_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dfi_p2_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dfi_p3_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dq_oe = a7ddrphy_wrdata_en_tappeddelayline1; +always @(*) begin + a7ddrphy_dqs_oe <= 1'd0; + if (a7ddrphy_wlevel_en_storage) begin + a7ddrphy_dqs_oe <= 1'd1; + end else begin + a7ddrphy_dqs_oe <= a7ddrphy_dq_oe; + end +end +assign a7ddrphy_dqs_preamble = (a7ddrphy_wrdata_en_tappeddelayline0 & (~a7ddrphy_wrdata_en_tappeddelayline1)); +assign a7ddrphy_dqs_postamble = (a7ddrphy_wrdata_en_tappeddelayline2 & (~a7ddrphy_wrdata_en_tappeddelayline1)); +always @(*) begin + a7ddrphy_dqspattern_o0 <= 8'd0; + a7ddrphy_dqspattern_o0 <= 7'd85; + if (a7ddrphy_dqspattern0) begin + a7ddrphy_dqspattern_o0 <= 5'd21; + end + if (a7ddrphy_dqspattern1) begin + a7ddrphy_dqspattern_o0 <= 7'd84; + end + if (a7ddrphy_wlevel_en_storage) begin + a7ddrphy_dqspattern_o0 <= 1'd0; + if (a7ddrphy_wlevel_strobe_re) begin + a7ddrphy_dqspattern_o0 <= 1'd1; + end + end +end +always @(*) begin + a7ddrphy_bitslip00 <= 8'd0; + case (a7ddrphy_bitslip0_value0) 1'd0: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[8:1]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[9:2]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[10:3]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[11:4]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[12:5]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[13:6]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[14:7]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[15:8]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip10 <= 8'd0; - case (main_a7ddrphy_bitslip1_value0) + a7ddrphy_bitslip10 <= 8'd0; + case (a7ddrphy_bitslip1_value0) 1'd0: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[8:1]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[9:2]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[10:3]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[11:4]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[12:5]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[13:6]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[14:7]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[15:8]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip01 <= 8'd0; - case (main_a7ddrphy_bitslip0_value1) + a7ddrphy_bitslip01 <= 8'd0; + case (a7ddrphy_bitslip0_value1) 1'd0: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[8:1]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[9:2]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[10:3]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[11:4]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[12:5]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[13:6]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[14:7]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[15:8]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip11 <= 8'd0; - case (main_a7ddrphy_bitslip1_value1) + a7ddrphy_bitslip11 <= 8'd0; + case (a7ddrphy_bitslip1_value1) 1'd0: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[8:1]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[9:2]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[10:3]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[11:4]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[12:5]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[13:6]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[14:7]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[15:8]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip02 <= 8'd0; - case (main_a7ddrphy_bitslip0_value2) + a7ddrphy_bitslip02 <= 8'd0; + case (a7ddrphy_bitslip0_value2) 1'd0: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[8:1]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[9:2]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[10:3]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[11:4]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[12:5]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[13:6]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[14:7]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[15:8]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip04 <= 8'd0; - case (main_a7ddrphy_bitslip0_value3) + a7ddrphy_bitslip04 <= 8'd0; + case (a7ddrphy_bitslip0_value3) 1'd0: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[8:1]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[9:2]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[10:3]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[11:4]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[12:5]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[13:6]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[14:7]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[15:8]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip12 <= 8'd0; - case (main_a7ddrphy_bitslip1_value2) + a7ddrphy_bitslip12 <= 8'd0; + case (a7ddrphy_bitslip1_value2) 1'd0: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[8:1]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[9:2]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[10:3]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[11:4]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[12:5]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[13:6]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[14:7]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[15:8]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip14 <= 8'd0; - case (main_a7ddrphy_bitslip1_value3) + a7ddrphy_bitslip14 <= 8'd0; + case (a7ddrphy_bitslip1_value3) 1'd0: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[8:1]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[9:2]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[10:3]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[11:4]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[12:5]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[13:6]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[14:7]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[15:8]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip20 <= 8'd0; - case (main_a7ddrphy_bitslip2_value0) + a7ddrphy_bitslip20 <= 8'd0; + case (a7ddrphy_bitslip2_value0) 1'd0: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[8:1]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[9:2]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[10:3]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[11:4]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[12:5]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[13:6]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[14:7]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[15:8]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip22 <= 8'd0; - case (main_a7ddrphy_bitslip2_value1) + a7ddrphy_bitslip22 <= 8'd0; + case (a7ddrphy_bitslip2_value1) 1'd0: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[8:1]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[9:2]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[10:3]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[11:4]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[12:5]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[13:6]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[14:7]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[15:8]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip30 <= 8'd0; - case (main_a7ddrphy_bitslip3_value0) + a7ddrphy_bitslip30 <= 8'd0; + case (a7ddrphy_bitslip3_value0) 1'd0: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[8:1]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[9:2]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[10:3]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[11:4]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[12:5]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[13:6]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[14:7]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[15:8]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip32 <= 8'd0; - case (main_a7ddrphy_bitslip3_value1) + a7ddrphy_bitslip32 <= 8'd0; + case (a7ddrphy_bitslip3_value1) 1'd0: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[8:1]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[9:2]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[10:3]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[11:4]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[12:5]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[13:6]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[14:7]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[15:8]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip40 <= 8'd0; - case (main_a7ddrphy_bitslip4_value0) + a7ddrphy_bitslip40 <= 8'd0; + case (a7ddrphy_bitslip4_value0) 1'd0: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[8:1]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[9:2]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[10:3]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[11:4]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[12:5]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[13:6]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[14:7]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[15:8]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip42 <= 8'd0; - case (main_a7ddrphy_bitslip4_value1) + a7ddrphy_bitslip42 <= 8'd0; + case (a7ddrphy_bitslip4_value1) 1'd0: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[8:1]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[9:2]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[10:3]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[11:4]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[12:5]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[13:6]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[14:7]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[15:8]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip50 <= 8'd0; - case (main_a7ddrphy_bitslip5_value0) + a7ddrphy_bitslip50 <= 8'd0; + case (a7ddrphy_bitslip5_value0) 1'd0: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[8:1]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[9:2]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[10:3]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[11:4]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[12:5]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[13:6]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[14:7]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[15:8]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip52 <= 8'd0; - case (main_a7ddrphy_bitslip5_value1) + a7ddrphy_bitslip52 <= 8'd0; + case (a7ddrphy_bitslip5_value1) 1'd0: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[8:1]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[9:2]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[10:3]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[11:4]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[12:5]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[13:6]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[14:7]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[15:8]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip60 <= 8'd0; - case (main_a7ddrphy_bitslip6_value0) + a7ddrphy_bitslip60 <= 8'd0; + case (a7ddrphy_bitslip6_value0) 1'd0: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[8:1]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[9:2]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[10:3]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[11:4]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[12:5]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[13:6]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[14:7]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[15:8]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip62 <= 8'd0; - case (main_a7ddrphy_bitslip6_value1) + a7ddrphy_bitslip62 <= 8'd0; + case (a7ddrphy_bitslip6_value1) 1'd0: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[8:1]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[9:2]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[10:3]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[11:4]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[12:5]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[13:6]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[14:7]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[15:8]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip70 <= 8'd0; - case (main_a7ddrphy_bitslip7_value0) + a7ddrphy_bitslip70 <= 8'd0; + case (a7ddrphy_bitslip7_value0) 1'd0: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[8:1]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[9:2]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[10:3]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[11:4]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[12:5]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[13:6]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[14:7]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[15:8]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip72 <= 8'd0; - case (main_a7ddrphy_bitslip7_value1) + a7ddrphy_bitslip72 <= 8'd0; + case (a7ddrphy_bitslip7_value1) 1'd0: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[8:1]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[9:2]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[10:3]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[11:4]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[12:5]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[13:6]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[14:7]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[15:8]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip80 <= 8'd0; - case (main_a7ddrphy_bitslip8_value0) + a7ddrphy_bitslip80 <= 8'd0; + case (a7ddrphy_bitslip8_value0) 1'd0: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[8:1]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[9:2]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[10:3]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[11:4]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[12:5]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[13:6]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[14:7]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[15:8]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip82 <= 8'd0; - case (main_a7ddrphy_bitslip8_value1) + a7ddrphy_bitslip82 <= 8'd0; + case (a7ddrphy_bitslip8_value1) 1'd0: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[8:1]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[9:2]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[10:3]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[11:4]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[12:5]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[13:6]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[14:7]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[15:8]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip90 <= 8'd0; - case (main_a7ddrphy_bitslip9_value0) + a7ddrphy_bitslip90 <= 8'd0; + case (a7ddrphy_bitslip9_value0) 1'd0: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[8:1]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[9:2]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[10:3]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[11:4]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[12:5]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[13:6]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[14:7]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[15:8]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip92 <= 8'd0; - case (main_a7ddrphy_bitslip9_value1) + a7ddrphy_bitslip92 <= 8'd0; + case (a7ddrphy_bitslip9_value1) 1'd0: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[8:1]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[9:2]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[10:3]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[11:4]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[12:5]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[13:6]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[14:7]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[15:8]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip100 <= 8'd0; - case (main_a7ddrphy_bitslip10_value0) + a7ddrphy_bitslip100 <= 8'd0; + case (a7ddrphy_bitslip10_value0) 1'd0: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[8:1]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[9:2]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[10:3]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[11:4]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[12:5]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[13:6]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[14:7]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[15:8]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip102 <= 8'd0; - case (main_a7ddrphy_bitslip10_value1) + a7ddrphy_bitslip102 <= 8'd0; + case (a7ddrphy_bitslip10_value1) 1'd0: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[8:1]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[9:2]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[10:3]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[11:4]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[12:5]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[13:6]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[14:7]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[15:8]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip110 <= 8'd0; - case (main_a7ddrphy_bitslip11_value0) + a7ddrphy_bitslip110 <= 8'd0; + case (a7ddrphy_bitslip11_value0) 1'd0: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[8:1]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[9:2]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[10:3]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[11:4]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[12:5]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[13:6]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[14:7]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[15:8]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip112 <= 8'd0; - case (main_a7ddrphy_bitslip11_value1) + a7ddrphy_bitslip112 <= 8'd0; + case (a7ddrphy_bitslip11_value1) 1'd0: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[8:1]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[9:2]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[10:3]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[11:4]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[12:5]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[13:6]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[14:7]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[15:8]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip120 <= 8'd0; - case (main_a7ddrphy_bitslip12_value0) + a7ddrphy_bitslip120 <= 8'd0; + case (a7ddrphy_bitslip12_value0) 1'd0: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[8:1]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[9:2]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[10:3]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[11:4]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[12:5]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[13:6]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[14:7]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[15:8]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip122 <= 8'd0; - case (main_a7ddrphy_bitslip12_value1) + a7ddrphy_bitslip122 <= 8'd0; + case (a7ddrphy_bitslip12_value1) 1'd0: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[8:1]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[9:2]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[10:3]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[11:4]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[12:5]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[13:6]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[14:7]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[15:8]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip130 <= 8'd0; - case (main_a7ddrphy_bitslip13_value0) + a7ddrphy_bitslip130 <= 8'd0; + case (a7ddrphy_bitslip13_value0) 1'd0: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[8:1]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[9:2]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[10:3]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[11:4]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[12:5]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[13:6]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[14:7]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[15:8]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip132 <= 8'd0; - case (main_a7ddrphy_bitslip13_value1) + a7ddrphy_bitslip132 <= 8'd0; + case (a7ddrphy_bitslip13_value1) 1'd0: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[8:1]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[9:2]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[10:3]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[11:4]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[12:5]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[13:6]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[14:7]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[15:8]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip140 <= 8'd0; - case (main_a7ddrphy_bitslip14_value0) + a7ddrphy_bitslip140 <= 8'd0; + case (a7ddrphy_bitslip14_value0) 1'd0: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[8:1]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[9:2]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[10:3]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[11:4]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[12:5]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[13:6]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[14:7]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[15:8]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip142 <= 8'd0; - case (main_a7ddrphy_bitslip14_value1) + a7ddrphy_bitslip142 <= 8'd0; + case (a7ddrphy_bitslip14_value1) 1'd0: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[8:1]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[9:2]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[10:3]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[11:4]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[12:5]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[13:6]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[14:7]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[15:8]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip150 <= 8'd0; - case (main_a7ddrphy_bitslip15_value0) + a7ddrphy_bitslip150 <= 8'd0; + case (a7ddrphy_bitslip15_value0) 1'd0: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[8:1]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[9:2]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[10:3]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[11:4]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[12:5]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[13:6]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[14:7]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[15:8]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip152 <= 8'd0; - case (main_a7ddrphy_bitslip15_value1) + a7ddrphy_bitslip152 <= 8'd0; + case (a7ddrphy_bitslip15_value1) 1'd0: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[8:1]; - end - 1'd1: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[9:2]; - end - 2'd2: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[10:3]; - end - 2'd3: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[11:4]; - end - 3'd4: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[12:5]; - end - 3'd5: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[13:6]; - end - 3'd6: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[14:7]; - end - 3'd7: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[15:8]; - end - endcase -end -assign main_a7ddrphy_dfi_p0_address = main_litedramcore_master_p0_address; -assign main_a7ddrphy_dfi_p0_bank = main_litedramcore_master_p0_bank; -assign main_a7ddrphy_dfi_p0_cas_n = main_litedramcore_master_p0_cas_n; -assign main_a7ddrphy_dfi_p0_cs_n = main_litedramcore_master_p0_cs_n; -assign main_a7ddrphy_dfi_p0_ras_n = main_litedramcore_master_p0_ras_n; -assign main_a7ddrphy_dfi_p0_we_n = main_litedramcore_master_p0_we_n; -assign main_a7ddrphy_dfi_p0_cke = main_litedramcore_master_p0_cke; -assign main_a7ddrphy_dfi_p0_odt = main_litedramcore_master_p0_odt; -assign main_a7ddrphy_dfi_p0_reset_n = main_litedramcore_master_p0_reset_n; -assign main_a7ddrphy_dfi_p0_act_n = main_litedramcore_master_p0_act_n; -assign main_a7ddrphy_dfi_p0_wrdata = main_litedramcore_master_p0_wrdata; -assign main_a7ddrphy_dfi_p0_wrdata_en = main_litedramcore_master_p0_wrdata_en; -assign main_a7ddrphy_dfi_p0_wrdata_mask = main_litedramcore_master_p0_wrdata_mask; -assign main_a7ddrphy_dfi_p0_rddata_en = main_litedramcore_master_p0_rddata_en; -assign main_litedramcore_master_p0_rddata = main_a7ddrphy_dfi_p0_rddata; -assign main_litedramcore_master_p0_rddata_valid = main_a7ddrphy_dfi_p0_rddata_valid; -assign main_a7ddrphy_dfi_p1_address = main_litedramcore_master_p1_address; -assign main_a7ddrphy_dfi_p1_bank = main_litedramcore_master_p1_bank; -assign main_a7ddrphy_dfi_p1_cas_n = main_litedramcore_master_p1_cas_n; -assign main_a7ddrphy_dfi_p1_cs_n = main_litedramcore_master_p1_cs_n; -assign main_a7ddrphy_dfi_p1_ras_n = main_litedramcore_master_p1_ras_n; -assign main_a7ddrphy_dfi_p1_we_n = main_litedramcore_master_p1_we_n; -assign main_a7ddrphy_dfi_p1_cke = main_litedramcore_master_p1_cke; -assign main_a7ddrphy_dfi_p1_odt = main_litedramcore_master_p1_odt; -assign main_a7ddrphy_dfi_p1_reset_n = main_litedramcore_master_p1_reset_n; -assign main_a7ddrphy_dfi_p1_act_n = main_litedramcore_master_p1_act_n; -assign main_a7ddrphy_dfi_p1_wrdata = main_litedramcore_master_p1_wrdata; -assign main_a7ddrphy_dfi_p1_wrdata_en = main_litedramcore_master_p1_wrdata_en; -assign main_a7ddrphy_dfi_p1_wrdata_mask = main_litedramcore_master_p1_wrdata_mask; -assign main_a7ddrphy_dfi_p1_rddata_en = main_litedramcore_master_p1_rddata_en; -assign main_litedramcore_master_p1_rddata = main_a7ddrphy_dfi_p1_rddata; -assign main_litedramcore_master_p1_rddata_valid = main_a7ddrphy_dfi_p1_rddata_valid; -assign main_a7ddrphy_dfi_p2_address = main_litedramcore_master_p2_address; -assign main_a7ddrphy_dfi_p2_bank = main_litedramcore_master_p2_bank; -assign main_a7ddrphy_dfi_p2_cas_n = main_litedramcore_master_p2_cas_n; -assign main_a7ddrphy_dfi_p2_cs_n = main_litedramcore_master_p2_cs_n; -assign main_a7ddrphy_dfi_p2_ras_n = main_litedramcore_master_p2_ras_n; -assign main_a7ddrphy_dfi_p2_we_n = main_litedramcore_master_p2_we_n; -assign main_a7ddrphy_dfi_p2_cke = main_litedramcore_master_p2_cke; -assign main_a7ddrphy_dfi_p2_odt = main_litedramcore_master_p2_odt; -assign main_a7ddrphy_dfi_p2_reset_n = main_litedramcore_master_p2_reset_n; -assign main_a7ddrphy_dfi_p2_act_n = main_litedramcore_master_p2_act_n; -assign main_a7ddrphy_dfi_p2_wrdata = main_litedramcore_master_p2_wrdata; -assign main_a7ddrphy_dfi_p2_wrdata_en = main_litedramcore_master_p2_wrdata_en; -assign main_a7ddrphy_dfi_p2_wrdata_mask = main_litedramcore_master_p2_wrdata_mask; -assign main_a7ddrphy_dfi_p2_rddata_en = main_litedramcore_master_p2_rddata_en; -assign main_litedramcore_master_p2_rddata = main_a7ddrphy_dfi_p2_rddata; -assign main_litedramcore_master_p2_rddata_valid = main_a7ddrphy_dfi_p2_rddata_valid; -assign main_a7ddrphy_dfi_p3_address = main_litedramcore_master_p3_address; -assign main_a7ddrphy_dfi_p3_bank = main_litedramcore_master_p3_bank; -assign main_a7ddrphy_dfi_p3_cas_n = main_litedramcore_master_p3_cas_n; -assign main_a7ddrphy_dfi_p3_cs_n = main_litedramcore_master_p3_cs_n; -assign main_a7ddrphy_dfi_p3_ras_n = main_litedramcore_master_p3_ras_n; -assign main_a7ddrphy_dfi_p3_we_n = main_litedramcore_master_p3_we_n; -assign main_a7ddrphy_dfi_p3_cke = main_litedramcore_master_p3_cke; -assign main_a7ddrphy_dfi_p3_odt = main_litedramcore_master_p3_odt; -assign main_a7ddrphy_dfi_p3_reset_n = main_litedramcore_master_p3_reset_n; -assign main_a7ddrphy_dfi_p3_act_n = main_litedramcore_master_p3_act_n; -assign main_a7ddrphy_dfi_p3_wrdata = main_litedramcore_master_p3_wrdata; -assign main_a7ddrphy_dfi_p3_wrdata_en = main_litedramcore_master_p3_wrdata_en; -assign main_a7ddrphy_dfi_p3_wrdata_mask = main_litedramcore_master_p3_wrdata_mask; -assign main_a7ddrphy_dfi_p3_rddata_en = main_litedramcore_master_p3_rddata_en; -assign main_litedramcore_master_p3_rddata = main_a7ddrphy_dfi_p3_rddata; -assign main_litedramcore_master_p3_rddata_valid = main_a7ddrphy_dfi_p3_rddata_valid; -assign main_litedramcore_slave_p0_address = main_litedramcore_dfi_p0_address; -assign main_litedramcore_slave_p0_bank = main_litedramcore_dfi_p0_bank; -assign main_litedramcore_slave_p0_cas_n = main_litedramcore_dfi_p0_cas_n; -assign main_litedramcore_slave_p0_cs_n = main_litedramcore_dfi_p0_cs_n; -assign main_litedramcore_slave_p0_ras_n = main_litedramcore_dfi_p0_ras_n; -assign main_litedramcore_slave_p0_we_n = main_litedramcore_dfi_p0_we_n; -assign main_litedramcore_slave_p0_cke = main_litedramcore_dfi_p0_cke; -assign main_litedramcore_slave_p0_odt = main_litedramcore_dfi_p0_odt; -assign main_litedramcore_slave_p0_reset_n = main_litedramcore_dfi_p0_reset_n; -assign main_litedramcore_slave_p0_act_n = main_litedramcore_dfi_p0_act_n; -assign main_litedramcore_slave_p0_wrdata = main_litedramcore_dfi_p0_wrdata; -assign main_litedramcore_slave_p0_wrdata_en = main_litedramcore_dfi_p0_wrdata_en; -assign main_litedramcore_slave_p0_wrdata_mask = main_litedramcore_dfi_p0_wrdata_mask; -assign main_litedramcore_slave_p0_rddata_en = main_litedramcore_dfi_p0_rddata_en; -assign main_litedramcore_dfi_p0_rddata = main_litedramcore_slave_p0_rddata; -assign main_litedramcore_dfi_p0_rddata_valid = main_litedramcore_slave_p0_rddata_valid; -assign main_litedramcore_slave_p1_address = main_litedramcore_dfi_p1_address; -assign main_litedramcore_slave_p1_bank = main_litedramcore_dfi_p1_bank; -assign main_litedramcore_slave_p1_cas_n = main_litedramcore_dfi_p1_cas_n; -assign main_litedramcore_slave_p1_cs_n = main_litedramcore_dfi_p1_cs_n; -assign main_litedramcore_slave_p1_ras_n = main_litedramcore_dfi_p1_ras_n; -assign main_litedramcore_slave_p1_we_n = main_litedramcore_dfi_p1_we_n; -assign main_litedramcore_slave_p1_cke = main_litedramcore_dfi_p1_cke; -assign main_litedramcore_slave_p1_odt = main_litedramcore_dfi_p1_odt; -assign main_litedramcore_slave_p1_reset_n = main_litedramcore_dfi_p1_reset_n; -assign main_litedramcore_slave_p1_act_n = main_litedramcore_dfi_p1_act_n; -assign main_litedramcore_slave_p1_wrdata = main_litedramcore_dfi_p1_wrdata; -assign main_litedramcore_slave_p1_wrdata_en = main_litedramcore_dfi_p1_wrdata_en; -assign main_litedramcore_slave_p1_wrdata_mask = main_litedramcore_dfi_p1_wrdata_mask; -assign main_litedramcore_slave_p1_rddata_en = main_litedramcore_dfi_p1_rddata_en; -assign main_litedramcore_dfi_p1_rddata = main_litedramcore_slave_p1_rddata; -assign main_litedramcore_dfi_p1_rddata_valid = main_litedramcore_slave_p1_rddata_valid; -assign main_litedramcore_slave_p2_address = main_litedramcore_dfi_p2_address; -assign main_litedramcore_slave_p2_bank = main_litedramcore_dfi_p2_bank; -assign main_litedramcore_slave_p2_cas_n = main_litedramcore_dfi_p2_cas_n; -assign main_litedramcore_slave_p2_cs_n = main_litedramcore_dfi_p2_cs_n; -assign main_litedramcore_slave_p2_ras_n = main_litedramcore_dfi_p2_ras_n; -assign main_litedramcore_slave_p2_we_n = main_litedramcore_dfi_p2_we_n; -assign main_litedramcore_slave_p2_cke = main_litedramcore_dfi_p2_cke; -assign main_litedramcore_slave_p2_odt = main_litedramcore_dfi_p2_odt; -assign main_litedramcore_slave_p2_reset_n = main_litedramcore_dfi_p2_reset_n; -assign main_litedramcore_slave_p2_act_n = main_litedramcore_dfi_p2_act_n; -assign main_litedramcore_slave_p2_wrdata = main_litedramcore_dfi_p2_wrdata; -assign main_litedramcore_slave_p2_wrdata_en = main_litedramcore_dfi_p2_wrdata_en; -assign main_litedramcore_slave_p2_wrdata_mask = main_litedramcore_dfi_p2_wrdata_mask; -assign main_litedramcore_slave_p2_rddata_en = main_litedramcore_dfi_p2_rddata_en; -assign main_litedramcore_dfi_p2_rddata = main_litedramcore_slave_p2_rddata; -assign main_litedramcore_dfi_p2_rddata_valid = main_litedramcore_slave_p2_rddata_valid; -assign main_litedramcore_slave_p3_address = main_litedramcore_dfi_p3_address; -assign main_litedramcore_slave_p3_bank = main_litedramcore_dfi_p3_bank; -assign main_litedramcore_slave_p3_cas_n = main_litedramcore_dfi_p3_cas_n; -assign main_litedramcore_slave_p3_cs_n = main_litedramcore_dfi_p3_cs_n; -assign main_litedramcore_slave_p3_ras_n = main_litedramcore_dfi_p3_ras_n; -assign main_litedramcore_slave_p3_we_n = main_litedramcore_dfi_p3_we_n; -assign main_litedramcore_slave_p3_cke = main_litedramcore_dfi_p3_cke; -assign main_litedramcore_slave_p3_odt = main_litedramcore_dfi_p3_odt; -assign main_litedramcore_slave_p3_reset_n = main_litedramcore_dfi_p3_reset_n; -assign main_litedramcore_slave_p3_act_n = main_litedramcore_dfi_p3_act_n; -assign main_litedramcore_slave_p3_wrdata = main_litedramcore_dfi_p3_wrdata; -assign main_litedramcore_slave_p3_wrdata_en = main_litedramcore_dfi_p3_wrdata_en; -assign main_litedramcore_slave_p3_wrdata_mask = main_litedramcore_dfi_p3_wrdata_mask; -assign main_litedramcore_slave_p3_rddata_en = main_litedramcore_dfi_p3_rddata_en; -assign main_litedramcore_dfi_p3_rddata = main_litedramcore_slave_p3_rddata; -assign main_litedramcore_dfi_p3_rddata_valid = main_litedramcore_slave_p3_rddata_valid; + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[8:1]; + end + 1'd1: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[9:2]; + end + 2'd2: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[10:3]; + end + 2'd3: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[11:4]; + end + 3'd4: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[12:5]; + end + 3'd5: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[13:6]; + end + 3'd6: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[14:7]; + end + 3'd7: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[15:8]; + end + endcase +end +assign a7ddrphy_dfi_p0_address = litedramcore_master_p0_address; +assign a7ddrphy_dfi_p0_bank = litedramcore_master_p0_bank; +assign a7ddrphy_dfi_p0_cas_n = litedramcore_master_p0_cas_n; +assign a7ddrphy_dfi_p0_cs_n = litedramcore_master_p0_cs_n; +assign a7ddrphy_dfi_p0_ras_n = litedramcore_master_p0_ras_n; +assign a7ddrphy_dfi_p0_we_n = litedramcore_master_p0_we_n; +assign a7ddrphy_dfi_p0_cke = litedramcore_master_p0_cke; +assign a7ddrphy_dfi_p0_odt = litedramcore_master_p0_odt; +assign a7ddrphy_dfi_p0_reset_n = litedramcore_master_p0_reset_n; +assign a7ddrphy_dfi_p0_act_n = litedramcore_master_p0_act_n; +assign a7ddrphy_dfi_p0_wrdata = litedramcore_master_p0_wrdata; +assign a7ddrphy_dfi_p0_wrdata_en = litedramcore_master_p0_wrdata_en; +assign a7ddrphy_dfi_p0_wrdata_mask = litedramcore_master_p0_wrdata_mask; +assign a7ddrphy_dfi_p0_rddata_en = litedramcore_master_p0_rddata_en; +assign litedramcore_master_p0_rddata = a7ddrphy_dfi_p0_rddata; +assign litedramcore_master_p0_rddata_valid = a7ddrphy_dfi_p0_rddata_valid; +assign a7ddrphy_dfi_p1_address = litedramcore_master_p1_address; +assign a7ddrphy_dfi_p1_bank = litedramcore_master_p1_bank; +assign a7ddrphy_dfi_p1_cas_n = litedramcore_master_p1_cas_n; +assign a7ddrphy_dfi_p1_cs_n = litedramcore_master_p1_cs_n; +assign a7ddrphy_dfi_p1_ras_n = litedramcore_master_p1_ras_n; +assign a7ddrphy_dfi_p1_we_n = litedramcore_master_p1_we_n; +assign a7ddrphy_dfi_p1_cke = litedramcore_master_p1_cke; +assign a7ddrphy_dfi_p1_odt = litedramcore_master_p1_odt; +assign a7ddrphy_dfi_p1_reset_n = litedramcore_master_p1_reset_n; +assign a7ddrphy_dfi_p1_act_n = litedramcore_master_p1_act_n; +assign a7ddrphy_dfi_p1_wrdata = litedramcore_master_p1_wrdata; +assign a7ddrphy_dfi_p1_wrdata_en = litedramcore_master_p1_wrdata_en; +assign a7ddrphy_dfi_p1_wrdata_mask = litedramcore_master_p1_wrdata_mask; +assign a7ddrphy_dfi_p1_rddata_en = litedramcore_master_p1_rddata_en; +assign litedramcore_master_p1_rddata = a7ddrphy_dfi_p1_rddata; +assign litedramcore_master_p1_rddata_valid = a7ddrphy_dfi_p1_rddata_valid; +assign a7ddrphy_dfi_p2_address = litedramcore_master_p2_address; +assign a7ddrphy_dfi_p2_bank = litedramcore_master_p2_bank; +assign a7ddrphy_dfi_p2_cas_n = litedramcore_master_p2_cas_n; +assign a7ddrphy_dfi_p2_cs_n = litedramcore_master_p2_cs_n; +assign a7ddrphy_dfi_p2_ras_n = litedramcore_master_p2_ras_n; +assign a7ddrphy_dfi_p2_we_n = litedramcore_master_p2_we_n; +assign a7ddrphy_dfi_p2_cke = litedramcore_master_p2_cke; +assign a7ddrphy_dfi_p2_odt = litedramcore_master_p2_odt; +assign a7ddrphy_dfi_p2_reset_n = litedramcore_master_p2_reset_n; +assign a7ddrphy_dfi_p2_act_n = litedramcore_master_p2_act_n; +assign a7ddrphy_dfi_p2_wrdata = litedramcore_master_p2_wrdata; +assign a7ddrphy_dfi_p2_wrdata_en = litedramcore_master_p2_wrdata_en; +assign a7ddrphy_dfi_p2_wrdata_mask = litedramcore_master_p2_wrdata_mask; +assign a7ddrphy_dfi_p2_rddata_en = litedramcore_master_p2_rddata_en; +assign litedramcore_master_p2_rddata = a7ddrphy_dfi_p2_rddata; +assign litedramcore_master_p2_rddata_valid = a7ddrphy_dfi_p2_rddata_valid; +assign a7ddrphy_dfi_p3_address = litedramcore_master_p3_address; +assign a7ddrphy_dfi_p3_bank = litedramcore_master_p3_bank; +assign a7ddrphy_dfi_p3_cas_n = litedramcore_master_p3_cas_n; +assign a7ddrphy_dfi_p3_cs_n = litedramcore_master_p3_cs_n; +assign a7ddrphy_dfi_p3_ras_n = litedramcore_master_p3_ras_n; +assign a7ddrphy_dfi_p3_we_n = litedramcore_master_p3_we_n; +assign a7ddrphy_dfi_p3_cke = litedramcore_master_p3_cke; +assign a7ddrphy_dfi_p3_odt = litedramcore_master_p3_odt; +assign a7ddrphy_dfi_p3_reset_n = litedramcore_master_p3_reset_n; +assign a7ddrphy_dfi_p3_act_n = litedramcore_master_p3_act_n; +assign a7ddrphy_dfi_p3_wrdata = litedramcore_master_p3_wrdata; +assign a7ddrphy_dfi_p3_wrdata_en = litedramcore_master_p3_wrdata_en; +assign a7ddrphy_dfi_p3_wrdata_mask = litedramcore_master_p3_wrdata_mask; +assign a7ddrphy_dfi_p3_rddata_en = litedramcore_master_p3_rddata_en; +assign litedramcore_master_p3_rddata = a7ddrphy_dfi_p3_rddata; +assign litedramcore_master_p3_rddata_valid = a7ddrphy_dfi_p3_rddata_valid; +assign litedramcore_slave_p0_address = litedramcore_dfi_p0_address; +assign litedramcore_slave_p0_bank = litedramcore_dfi_p0_bank; +assign litedramcore_slave_p0_cas_n = litedramcore_dfi_p0_cas_n; +assign litedramcore_slave_p0_cs_n = litedramcore_dfi_p0_cs_n; +assign litedramcore_slave_p0_ras_n = litedramcore_dfi_p0_ras_n; +assign litedramcore_slave_p0_we_n = litedramcore_dfi_p0_we_n; +assign litedramcore_slave_p0_cke = litedramcore_dfi_p0_cke; +assign litedramcore_slave_p0_odt = litedramcore_dfi_p0_odt; +assign litedramcore_slave_p0_reset_n = litedramcore_dfi_p0_reset_n; +assign litedramcore_slave_p0_act_n = litedramcore_dfi_p0_act_n; +assign litedramcore_slave_p0_wrdata = litedramcore_dfi_p0_wrdata; +assign litedramcore_slave_p0_wrdata_en = litedramcore_dfi_p0_wrdata_en; +assign litedramcore_slave_p0_wrdata_mask = litedramcore_dfi_p0_wrdata_mask; +assign litedramcore_slave_p0_rddata_en = litedramcore_dfi_p0_rddata_en; +assign litedramcore_dfi_p0_rddata = litedramcore_slave_p0_rddata; +assign litedramcore_dfi_p0_rddata_valid = litedramcore_slave_p0_rddata_valid; +assign litedramcore_slave_p1_address = litedramcore_dfi_p1_address; +assign litedramcore_slave_p1_bank = litedramcore_dfi_p1_bank; +assign litedramcore_slave_p1_cas_n = litedramcore_dfi_p1_cas_n; +assign litedramcore_slave_p1_cs_n = litedramcore_dfi_p1_cs_n; +assign litedramcore_slave_p1_ras_n = litedramcore_dfi_p1_ras_n; +assign litedramcore_slave_p1_we_n = litedramcore_dfi_p1_we_n; +assign litedramcore_slave_p1_cke = litedramcore_dfi_p1_cke; +assign litedramcore_slave_p1_odt = litedramcore_dfi_p1_odt; +assign litedramcore_slave_p1_reset_n = litedramcore_dfi_p1_reset_n; +assign litedramcore_slave_p1_act_n = litedramcore_dfi_p1_act_n; +assign litedramcore_slave_p1_wrdata = litedramcore_dfi_p1_wrdata; +assign litedramcore_slave_p1_wrdata_en = litedramcore_dfi_p1_wrdata_en; +assign litedramcore_slave_p1_wrdata_mask = litedramcore_dfi_p1_wrdata_mask; +assign litedramcore_slave_p1_rddata_en = litedramcore_dfi_p1_rddata_en; +assign litedramcore_dfi_p1_rddata = litedramcore_slave_p1_rddata; +assign litedramcore_dfi_p1_rddata_valid = litedramcore_slave_p1_rddata_valid; +assign litedramcore_slave_p2_address = litedramcore_dfi_p2_address; +assign litedramcore_slave_p2_bank = litedramcore_dfi_p2_bank; +assign litedramcore_slave_p2_cas_n = litedramcore_dfi_p2_cas_n; +assign litedramcore_slave_p2_cs_n = litedramcore_dfi_p2_cs_n; +assign litedramcore_slave_p2_ras_n = litedramcore_dfi_p2_ras_n; +assign litedramcore_slave_p2_we_n = litedramcore_dfi_p2_we_n; +assign litedramcore_slave_p2_cke = litedramcore_dfi_p2_cke; +assign litedramcore_slave_p2_odt = litedramcore_dfi_p2_odt; +assign litedramcore_slave_p2_reset_n = litedramcore_dfi_p2_reset_n; +assign litedramcore_slave_p2_act_n = litedramcore_dfi_p2_act_n; +assign litedramcore_slave_p2_wrdata = litedramcore_dfi_p2_wrdata; +assign litedramcore_slave_p2_wrdata_en = litedramcore_dfi_p2_wrdata_en; +assign litedramcore_slave_p2_wrdata_mask = litedramcore_dfi_p2_wrdata_mask; +assign litedramcore_slave_p2_rddata_en = litedramcore_dfi_p2_rddata_en; +assign litedramcore_dfi_p2_rddata = litedramcore_slave_p2_rddata; +assign litedramcore_dfi_p2_rddata_valid = litedramcore_slave_p2_rddata_valid; +assign litedramcore_slave_p3_address = litedramcore_dfi_p3_address; +assign litedramcore_slave_p3_bank = litedramcore_dfi_p3_bank; +assign litedramcore_slave_p3_cas_n = litedramcore_dfi_p3_cas_n; +assign litedramcore_slave_p3_cs_n = litedramcore_dfi_p3_cs_n; +assign litedramcore_slave_p3_ras_n = litedramcore_dfi_p3_ras_n; +assign litedramcore_slave_p3_we_n = litedramcore_dfi_p3_we_n; +assign litedramcore_slave_p3_cke = litedramcore_dfi_p3_cke; +assign litedramcore_slave_p3_odt = litedramcore_dfi_p3_odt; +assign litedramcore_slave_p3_reset_n = litedramcore_dfi_p3_reset_n; +assign litedramcore_slave_p3_act_n = litedramcore_dfi_p3_act_n; +assign litedramcore_slave_p3_wrdata = litedramcore_dfi_p3_wrdata; +assign litedramcore_slave_p3_wrdata_en = litedramcore_dfi_p3_wrdata_en; +assign litedramcore_slave_p3_wrdata_mask = litedramcore_dfi_p3_wrdata_mask; +assign litedramcore_slave_p3_rddata_en = litedramcore_dfi_p3_rddata_en; +assign litedramcore_dfi_p3_rddata = litedramcore_slave_p3_rddata; +assign litedramcore_dfi_p3_rddata_valid = litedramcore_slave_p3_rddata_valid; +always @(*) begin + litedramcore_master_p3_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_ras_n <= litedramcore_ext_dfi_p3_ras_n; + end else begin + litedramcore_master_p3_ras_n <= litedramcore_slave_p3_ras_n; + end + end else begin + litedramcore_master_p3_ras_n <= litedramcore_csr_dfi_p3_ras_n; + end +end always @(*) begin - main_litedramcore_master_p2_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata <= main_litedramcore_slave_p2_wrdata; + litedramcore_master_p3_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_we_n <= litedramcore_ext_dfi_p3_we_n; + end else begin + litedramcore_master_p3_we_n <= litedramcore_slave_p3_we_n; + end end else begin - main_litedramcore_master_p2_wrdata <= main_litedramcore_inti_p2_wrdata; + litedramcore_master_p3_we_n <= litedramcore_csr_dfi_p3_we_n; end end always @(*) begin - main_litedramcore_inti_p3_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p3_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cke <= litedramcore_ext_dfi_p3_cke; + end else begin + litedramcore_master_p3_cke <= litedramcore_slave_p3_cke; + end end else begin - main_litedramcore_inti_p3_rddata <= main_litedramcore_master_p3_rddata; + litedramcore_master_p3_cke <= litedramcore_csr_dfi_p3_cke; end end always @(*) begin - main_litedramcore_master_p2_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata_en <= main_litedramcore_slave_p2_wrdata_en; + litedramcore_master_p3_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_odt <= litedramcore_ext_dfi_p3_odt; + end else begin + litedramcore_master_p3_odt <= litedramcore_slave_p3_odt; + end end else begin - main_litedramcore_master_p2_wrdata_en <= main_litedramcore_inti_p2_wrdata_en; + litedramcore_master_p3_odt <= litedramcore_csr_dfi_p3_odt; end end always @(*) begin - main_litedramcore_inti_p3_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p3_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_reset_n <= litedramcore_ext_dfi_p3_reset_n; + end else begin + litedramcore_master_p3_reset_n <= litedramcore_slave_p3_reset_n; + end end else begin - main_litedramcore_inti_p3_rddata_valid <= main_litedramcore_master_p3_rddata_valid; + litedramcore_master_p3_reset_n <= litedramcore_csr_dfi_p3_reset_n; end end always @(*) begin - main_litedramcore_master_p2_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata_mask <= main_litedramcore_slave_p2_wrdata_mask; + litedramcore_master_p3_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_act_n <= litedramcore_ext_dfi_p3_act_n; + end else begin + litedramcore_master_p3_act_n <= litedramcore_slave_p3_act_n; + end end else begin - main_litedramcore_master_p2_wrdata_mask <= main_litedramcore_inti_p2_wrdata_mask; + litedramcore_master_p3_act_n <= litedramcore_csr_dfi_p3_act_n; end end always @(*) begin - main_litedramcore_master_p2_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_rddata_en <= main_litedramcore_slave_p2_rddata_en; + litedramcore_master_p3_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata <= litedramcore_ext_dfi_p3_wrdata; + end else begin + litedramcore_master_p3_wrdata <= litedramcore_slave_p3_wrdata; + end end else begin - main_litedramcore_master_p2_rddata_en <= main_litedramcore_inti_p2_rddata_en; + litedramcore_master_p3_wrdata <= litedramcore_csr_dfi_p3_wrdata; end end always @(*) begin - main_litedramcore_master_p3_address <= 16'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_address <= main_litedramcore_slave_p3_address; + litedramcore_master_p3_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata_en <= litedramcore_ext_dfi_p3_wrdata_en; + end else begin + litedramcore_master_p3_wrdata_en <= litedramcore_slave_p3_wrdata_en; + end end else begin - main_litedramcore_master_p3_address <= main_litedramcore_inti_p3_address; + litedramcore_master_p3_wrdata_en <= litedramcore_csr_dfi_p3_wrdata_en; end end always @(*) begin - main_litedramcore_master_p3_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_bank <= main_litedramcore_slave_p3_bank; + litedramcore_master_p3_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata_mask <= litedramcore_ext_dfi_p3_wrdata_mask; + end else begin + litedramcore_master_p3_wrdata_mask <= litedramcore_slave_p3_wrdata_mask; + end end else begin - main_litedramcore_master_p3_bank <= main_litedramcore_inti_p3_bank; + litedramcore_master_p3_wrdata_mask <= litedramcore_csr_dfi_p3_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p3_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cas_n <= main_litedramcore_slave_p3_cas_n; + litedramcore_master_p3_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_rddata_en <= litedramcore_ext_dfi_p3_rddata_en; + end else begin + litedramcore_master_p3_rddata_en <= litedramcore_slave_p3_rddata_en; + end end else begin - main_litedramcore_master_p3_cas_n <= main_litedramcore_inti_p3_cas_n; + litedramcore_master_p3_rddata_en <= litedramcore_csr_dfi_p3_rddata_en; end end always @(*) begin - main_litedramcore_master_p3_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cs_n <= main_litedramcore_slave_p3_cs_n; + litedramcore_csr_dfi_p0_rddata <= 32'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p3_cs_n <= main_litedramcore_inti_p3_cs_n; + litedramcore_csr_dfi_p0_rddata <= litedramcore_master_p0_rddata; end end always @(*) begin - main_litedramcore_master_p3_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_ras_n <= main_litedramcore_slave_p3_ras_n; + litedramcore_csr_dfi_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p3_ras_n <= main_litedramcore_inti_p3_ras_n; + litedramcore_csr_dfi_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; end end always @(*) begin - main_litedramcore_slave_p3_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p3_rddata <= main_litedramcore_master_p3_rddata; + litedramcore_csr_dfi_p1_rddata <= 32'd0; + if (litedramcore_sel) begin end else begin + litedramcore_csr_dfi_p1_rddata <= litedramcore_master_p1_rddata; end end always @(*) begin - main_litedramcore_master_p3_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_we_n <= main_litedramcore_slave_p3_we_n; + litedramcore_csr_dfi_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p3_we_n <= main_litedramcore_inti_p3_we_n; + litedramcore_csr_dfi_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; end end always @(*) begin - main_litedramcore_slave_p3_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p3_rddata_valid <= main_litedramcore_master_p3_rddata_valid; + litedramcore_csr_dfi_p2_rddata <= 32'd0; + if (litedramcore_sel) begin end else begin + litedramcore_csr_dfi_p2_rddata <= litedramcore_master_p2_rddata; end end always @(*) begin - main_litedramcore_master_p3_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cke <= main_litedramcore_slave_p3_cke; + litedramcore_csr_dfi_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p3_cke <= main_litedramcore_inti_p3_cke; + litedramcore_csr_dfi_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; end end always @(*) begin - main_litedramcore_master_p3_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_odt <= main_litedramcore_slave_p3_odt; + litedramcore_csr_dfi_p3_rddata <= 32'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p3_odt <= main_litedramcore_inti_p3_odt; + litedramcore_csr_dfi_p3_rddata <= litedramcore_master_p3_rddata; end end always @(*) begin - main_litedramcore_master_p3_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_reset_n <= main_litedramcore_slave_p3_reset_n; + litedramcore_csr_dfi_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p3_reset_n <= main_litedramcore_inti_p3_reset_n; + litedramcore_csr_dfi_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; end end always @(*) begin - main_litedramcore_master_p3_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_act_n <= main_litedramcore_slave_p3_act_n; + litedramcore_ext_dfi_p0_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p0_rddata <= litedramcore_master_p0_rddata; + end else begin + end end else begin - main_litedramcore_master_p3_act_n <= main_litedramcore_inti_p3_act_n; end end always @(*) begin - main_litedramcore_master_p3_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata <= main_litedramcore_slave_p3_wrdata; + litedramcore_ext_dfi_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p3_wrdata <= main_litedramcore_inti_p3_wrdata; end end always @(*) begin - main_litedramcore_inti_p0_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_ext_dfi_p1_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p1_rddata <= litedramcore_master_p1_rddata; + end else begin + end end else begin - main_litedramcore_inti_p0_rddata <= main_litedramcore_master_p0_rddata; end end always @(*) begin - main_litedramcore_master_p3_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata_en <= main_litedramcore_slave_p3_wrdata_en; + litedramcore_ext_dfi_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p3_wrdata_en <= main_litedramcore_inti_p3_wrdata_en; end end always @(*) begin - main_litedramcore_inti_p0_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_ext_dfi_p2_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p2_rddata <= litedramcore_master_p2_rddata; + end else begin + end end else begin - main_litedramcore_inti_p0_rddata_valid <= main_litedramcore_master_p0_rddata_valid; end end always @(*) begin - main_litedramcore_master_p3_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata_mask <= main_litedramcore_slave_p3_wrdata_mask; + litedramcore_ext_dfi_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p3_wrdata_mask <= main_litedramcore_inti_p3_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p3_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_rddata_en <= main_litedramcore_slave_p3_rddata_en; + litedramcore_slave_p0_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p0_rddata <= litedramcore_master_p0_rddata; + end end else begin - main_litedramcore_master_p3_rddata_en <= main_litedramcore_inti_p3_rddata_en; end end always @(*) begin - main_litedramcore_master_p0_address <= 16'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_address <= main_litedramcore_slave_p0_address; + litedramcore_slave_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end end else begin - main_litedramcore_master_p0_address <= main_litedramcore_inti_p0_address; end end always @(*) begin - main_litedramcore_master_p0_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_bank <= main_litedramcore_slave_p0_bank; + litedramcore_ext_dfi_p3_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p3_rddata <= litedramcore_master_p3_rddata; + end else begin + end end else begin - main_litedramcore_master_p0_bank <= main_litedramcore_inti_p0_bank; end end always @(*) begin - main_litedramcore_master_p0_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cas_n <= main_litedramcore_slave_p0_cas_n; + litedramcore_ext_dfi_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p0_cas_n <= main_litedramcore_inti_p0_cas_n; end end always @(*) begin - main_litedramcore_master_p0_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cs_n <= main_litedramcore_slave_p0_cs_n; + litedramcore_slave_p1_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p1_rddata <= litedramcore_master_p1_rddata; + end end else begin - main_litedramcore_master_p0_cs_n <= main_litedramcore_inti_p0_cs_n; end end always @(*) begin - main_litedramcore_master_p0_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_ras_n <= main_litedramcore_slave_p0_ras_n; + litedramcore_slave_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end end else begin - main_litedramcore_master_p0_ras_n <= main_litedramcore_inti_p0_ras_n; end end always @(*) begin - main_litedramcore_slave_p0_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p0_rddata <= main_litedramcore_master_p0_rddata; + litedramcore_slave_p2_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p2_rddata <= litedramcore_master_p2_rddata; + end end else begin end end always @(*) begin - main_litedramcore_slave_p0_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p0_rddata_valid <= main_litedramcore_master_p0_rddata_valid; + litedramcore_slave_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; + end end else begin end end always @(*) begin - main_litedramcore_master_p0_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_we_n <= main_litedramcore_slave_p0_we_n; + litedramcore_slave_p3_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p3_rddata <= litedramcore_master_p3_rddata; + end end else begin - main_litedramcore_master_p0_we_n <= main_litedramcore_inti_p0_we_n; end end always @(*) begin - main_litedramcore_master_p0_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cke <= main_litedramcore_slave_p0_cke; + litedramcore_slave_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; + end end else begin - main_litedramcore_master_p0_cke <= main_litedramcore_inti_p0_cke; end end always @(*) begin - main_litedramcore_master_p0_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_odt <= main_litedramcore_slave_p0_odt; + litedramcore_master_p0_address <= 16'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_address <= litedramcore_ext_dfi_p0_address; + end else begin + litedramcore_master_p0_address <= litedramcore_slave_p0_address; + end end else begin - main_litedramcore_master_p0_odt <= main_litedramcore_inti_p0_odt; + litedramcore_master_p0_address <= litedramcore_csr_dfi_p0_address; end end always @(*) begin - main_litedramcore_master_p0_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_reset_n <= main_litedramcore_slave_p0_reset_n; + litedramcore_master_p0_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_bank <= litedramcore_ext_dfi_p0_bank; + end else begin + litedramcore_master_p0_bank <= litedramcore_slave_p0_bank; + end end else begin - main_litedramcore_master_p0_reset_n <= main_litedramcore_inti_p0_reset_n; + litedramcore_master_p0_bank <= litedramcore_csr_dfi_p0_bank; end end always @(*) begin - main_litedramcore_master_p0_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_act_n <= main_litedramcore_slave_p0_act_n; + litedramcore_master_p0_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cas_n <= litedramcore_ext_dfi_p0_cas_n; + end else begin + litedramcore_master_p0_cas_n <= litedramcore_slave_p0_cas_n; + end + end else begin + litedramcore_master_p0_cas_n <= litedramcore_csr_dfi_p0_cas_n; + end +end +always @(*) begin + litedramcore_master_p0_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cs_n <= litedramcore_ext_dfi_p0_cs_n; + end else begin + litedramcore_master_p0_cs_n <= litedramcore_slave_p0_cs_n; + end end else begin - main_litedramcore_master_p0_act_n <= main_litedramcore_inti_p0_act_n; + litedramcore_master_p0_cs_n <= litedramcore_csr_dfi_p0_cs_n; end end always @(*) begin - main_litedramcore_master_p0_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata <= main_litedramcore_slave_p0_wrdata; + litedramcore_master_p0_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_ras_n <= litedramcore_ext_dfi_p0_ras_n; + end else begin + litedramcore_master_p0_ras_n <= litedramcore_slave_p0_ras_n; + end + end else begin + litedramcore_master_p0_ras_n <= litedramcore_csr_dfi_p0_ras_n; + end +end +always @(*) begin + litedramcore_master_p0_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_we_n <= litedramcore_ext_dfi_p0_we_n; + end else begin + litedramcore_master_p0_we_n <= litedramcore_slave_p0_we_n; + end end else begin - main_litedramcore_master_p0_wrdata <= main_litedramcore_inti_p0_wrdata; + litedramcore_master_p0_we_n <= litedramcore_csr_dfi_p0_we_n; end end always @(*) begin - main_litedramcore_inti_p1_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p0_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cke <= litedramcore_ext_dfi_p0_cke; + end else begin + litedramcore_master_p0_cke <= litedramcore_slave_p0_cke; + end end else begin - main_litedramcore_inti_p1_rddata <= main_litedramcore_master_p1_rddata; + litedramcore_master_p0_cke <= litedramcore_csr_dfi_p0_cke; end end always @(*) begin - main_litedramcore_master_p0_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata_en <= main_litedramcore_slave_p0_wrdata_en; + litedramcore_master_p0_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_odt <= litedramcore_ext_dfi_p0_odt; + end else begin + litedramcore_master_p0_odt <= litedramcore_slave_p0_odt; + end end else begin - main_litedramcore_master_p0_wrdata_en <= main_litedramcore_inti_p0_wrdata_en; + litedramcore_master_p0_odt <= litedramcore_csr_dfi_p0_odt; end end always @(*) begin - main_litedramcore_inti_p1_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p0_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_reset_n <= litedramcore_ext_dfi_p0_reset_n; + end else begin + litedramcore_master_p0_reset_n <= litedramcore_slave_p0_reset_n; + end end else begin - main_litedramcore_inti_p1_rddata_valid <= main_litedramcore_master_p1_rddata_valid; + litedramcore_master_p0_reset_n <= litedramcore_csr_dfi_p0_reset_n; end end always @(*) begin - main_litedramcore_master_p0_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata_mask <= main_litedramcore_slave_p0_wrdata_mask; + litedramcore_master_p0_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_act_n <= litedramcore_ext_dfi_p0_act_n; + end else begin + litedramcore_master_p0_act_n <= litedramcore_slave_p0_act_n; + end end else begin - main_litedramcore_master_p0_wrdata_mask <= main_litedramcore_inti_p0_wrdata_mask; + litedramcore_master_p0_act_n <= litedramcore_csr_dfi_p0_act_n; end end always @(*) begin - main_litedramcore_master_p0_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_rddata_en <= main_litedramcore_slave_p0_rddata_en; + litedramcore_master_p0_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata <= litedramcore_ext_dfi_p0_wrdata; + end else begin + litedramcore_master_p0_wrdata <= litedramcore_slave_p0_wrdata; + end end else begin - main_litedramcore_master_p0_rddata_en <= main_litedramcore_inti_p0_rddata_en; + litedramcore_master_p0_wrdata <= litedramcore_csr_dfi_p0_wrdata; end end always @(*) begin - main_litedramcore_master_p1_address <= 16'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_address <= main_litedramcore_slave_p1_address; + litedramcore_master_p0_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata_en <= litedramcore_ext_dfi_p0_wrdata_en; + end else begin + litedramcore_master_p0_wrdata_en <= litedramcore_slave_p0_wrdata_en; + end end else begin - main_litedramcore_master_p1_address <= main_litedramcore_inti_p1_address; + litedramcore_master_p0_wrdata_en <= litedramcore_csr_dfi_p0_wrdata_en; end end always @(*) begin - main_litedramcore_master_p1_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_bank <= main_litedramcore_slave_p1_bank; + litedramcore_master_p0_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata_mask <= litedramcore_ext_dfi_p0_wrdata_mask; + end else begin + litedramcore_master_p0_wrdata_mask <= litedramcore_slave_p0_wrdata_mask; + end + end else begin + litedramcore_master_p0_wrdata_mask <= litedramcore_csr_dfi_p0_wrdata_mask; + end +end +always @(*) begin + litedramcore_master_p0_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_rddata_en <= litedramcore_ext_dfi_p0_rddata_en; + end else begin + litedramcore_master_p0_rddata_en <= litedramcore_slave_p0_rddata_en; + end + end else begin + litedramcore_master_p0_rddata_en <= litedramcore_csr_dfi_p0_rddata_en; + end +end +always @(*) begin + litedramcore_master_p1_address <= 16'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_address <= litedramcore_ext_dfi_p1_address; + end else begin + litedramcore_master_p1_address <= litedramcore_slave_p1_address; + end + end else begin + litedramcore_master_p1_address <= litedramcore_csr_dfi_p1_address; + end +end +always @(*) begin + litedramcore_master_p1_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_bank <= litedramcore_ext_dfi_p1_bank; + end else begin + litedramcore_master_p1_bank <= litedramcore_slave_p1_bank; + end + end else begin + litedramcore_master_p1_bank <= litedramcore_csr_dfi_p1_bank; + end +end +always @(*) begin + litedramcore_master_p1_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cas_n <= litedramcore_ext_dfi_p1_cas_n; + end else begin + litedramcore_master_p1_cas_n <= litedramcore_slave_p1_cas_n; + end + end else begin + litedramcore_master_p1_cas_n <= litedramcore_csr_dfi_p1_cas_n; + end +end +always @(*) begin + litedramcore_master_p1_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cs_n <= litedramcore_ext_dfi_p1_cs_n; + end else begin + litedramcore_master_p1_cs_n <= litedramcore_slave_p1_cs_n; + end end else begin - main_litedramcore_master_p1_bank <= main_litedramcore_inti_p1_bank; + litedramcore_master_p1_cs_n <= litedramcore_csr_dfi_p1_cs_n; end end always @(*) begin - main_litedramcore_master_p1_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cas_n <= main_litedramcore_slave_p1_cas_n; + litedramcore_master_p1_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_ras_n <= litedramcore_ext_dfi_p1_ras_n; + end else begin + litedramcore_master_p1_ras_n <= litedramcore_slave_p1_ras_n; + end end else begin - main_litedramcore_master_p1_cas_n <= main_litedramcore_inti_p1_cas_n; + litedramcore_master_p1_ras_n <= litedramcore_csr_dfi_p1_ras_n; end end always @(*) begin - main_litedramcore_master_p1_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cs_n <= main_litedramcore_slave_p1_cs_n; + litedramcore_master_p1_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_we_n <= litedramcore_ext_dfi_p1_we_n; + end else begin + litedramcore_master_p1_we_n <= litedramcore_slave_p1_we_n; + end end else begin - main_litedramcore_master_p1_cs_n <= main_litedramcore_inti_p1_cs_n; + litedramcore_master_p1_we_n <= litedramcore_csr_dfi_p1_we_n; end end always @(*) begin - main_litedramcore_slave_p2_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p2_rddata_valid <= main_litedramcore_master_p2_rddata_valid; + litedramcore_master_p1_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cke <= litedramcore_ext_dfi_p1_cke; + end else begin + litedramcore_master_p1_cke <= litedramcore_slave_p1_cke; + end end else begin + litedramcore_master_p1_cke <= litedramcore_csr_dfi_p1_cke; end end always @(*) begin - main_litedramcore_master_p1_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_ras_n <= main_litedramcore_slave_p1_ras_n; + litedramcore_master_p1_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_odt <= litedramcore_ext_dfi_p1_odt; + end else begin + litedramcore_master_p1_odt <= litedramcore_slave_p1_odt; + end end else begin - main_litedramcore_master_p1_ras_n <= main_litedramcore_inti_p1_ras_n; + litedramcore_master_p1_odt <= litedramcore_csr_dfi_p1_odt; end end always @(*) begin - main_litedramcore_slave_p1_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p1_rddata <= main_litedramcore_master_p1_rddata; + litedramcore_master_p1_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_reset_n <= litedramcore_ext_dfi_p1_reset_n; + end else begin + litedramcore_master_p1_reset_n <= litedramcore_slave_p1_reset_n; + end end else begin + litedramcore_master_p1_reset_n <= litedramcore_csr_dfi_p1_reset_n; end end always @(*) begin - main_litedramcore_master_p1_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_we_n <= main_litedramcore_slave_p1_we_n; + litedramcore_master_p1_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_act_n <= litedramcore_ext_dfi_p1_act_n; + end else begin + litedramcore_master_p1_act_n <= litedramcore_slave_p1_act_n; + end end else begin - main_litedramcore_master_p1_we_n <= main_litedramcore_inti_p1_we_n; + litedramcore_master_p1_act_n <= litedramcore_csr_dfi_p1_act_n; end end always @(*) begin - main_litedramcore_slave_p1_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p1_rddata_valid <= main_litedramcore_master_p1_rddata_valid; + litedramcore_master_p1_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata <= litedramcore_ext_dfi_p1_wrdata; + end else begin + litedramcore_master_p1_wrdata <= litedramcore_slave_p1_wrdata; + end end else begin + litedramcore_master_p1_wrdata <= litedramcore_csr_dfi_p1_wrdata; end end always @(*) begin - main_litedramcore_master_p1_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cke <= main_litedramcore_slave_p1_cke; + litedramcore_master_p1_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata_en <= litedramcore_ext_dfi_p1_wrdata_en; + end else begin + litedramcore_master_p1_wrdata_en <= litedramcore_slave_p1_wrdata_en; + end end else begin - main_litedramcore_master_p1_cke <= main_litedramcore_inti_p1_cke; + litedramcore_master_p1_wrdata_en <= litedramcore_csr_dfi_p1_wrdata_en; end end always @(*) begin - main_litedramcore_master_p1_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_odt <= main_litedramcore_slave_p1_odt; + litedramcore_master_p1_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata_mask <= litedramcore_ext_dfi_p1_wrdata_mask; + end else begin + litedramcore_master_p1_wrdata_mask <= litedramcore_slave_p1_wrdata_mask; + end end else begin - main_litedramcore_master_p1_odt <= main_litedramcore_inti_p1_odt; + litedramcore_master_p1_wrdata_mask <= litedramcore_csr_dfi_p1_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p1_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_reset_n <= main_litedramcore_slave_p1_reset_n; + litedramcore_master_p1_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_rddata_en <= litedramcore_ext_dfi_p1_rddata_en; + end else begin + litedramcore_master_p1_rddata_en <= litedramcore_slave_p1_rddata_en; + end end else begin - main_litedramcore_master_p1_reset_n <= main_litedramcore_inti_p1_reset_n; + litedramcore_master_p1_rddata_en <= litedramcore_csr_dfi_p1_rddata_en; end end always @(*) begin - main_litedramcore_master_p1_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_act_n <= main_litedramcore_slave_p1_act_n; + litedramcore_master_p2_address <= 16'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_address <= litedramcore_ext_dfi_p2_address; + end else begin + litedramcore_master_p2_address <= litedramcore_slave_p2_address; + end end else begin - main_litedramcore_master_p1_act_n <= main_litedramcore_inti_p1_act_n; + litedramcore_master_p2_address <= litedramcore_csr_dfi_p2_address; end end always @(*) begin - main_litedramcore_master_p1_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata <= main_litedramcore_slave_p1_wrdata; + litedramcore_master_p2_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_bank <= litedramcore_ext_dfi_p2_bank; + end else begin + litedramcore_master_p2_bank <= litedramcore_slave_p2_bank; + end end else begin - main_litedramcore_master_p1_wrdata <= main_litedramcore_inti_p1_wrdata; + litedramcore_master_p2_bank <= litedramcore_csr_dfi_p2_bank; end end always @(*) begin - main_litedramcore_inti_p2_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p2_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cas_n <= litedramcore_ext_dfi_p2_cas_n; + end else begin + litedramcore_master_p2_cas_n <= litedramcore_slave_p2_cas_n; + end end else begin - main_litedramcore_inti_p2_rddata <= main_litedramcore_master_p2_rddata; + litedramcore_master_p2_cas_n <= litedramcore_csr_dfi_p2_cas_n; end end always @(*) begin - main_litedramcore_master_p1_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata_en <= main_litedramcore_slave_p1_wrdata_en; + litedramcore_master_p2_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cs_n <= litedramcore_ext_dfi_p2_cs_n; + end else begin + litedramcore_master_p2_cs_n <= litedramcore_slave_p2_cs_n; + end end else begin - main_litedramcore_master_p1_wrdata_en <= main_litedramcore_inti_p1_wrdata_en; + litedramcore_master_p2_cs_n <= litedramcore_csr_dfi_p2_cs_n; end end always @(*) begin - main_litedramcore_inti_p2_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p2_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_ras_n <= litedramcore_ext_dfi_p2_ras_n; + end else begin + litedramcore_master_p2_ras_n <= litedramcore_slave_p2_ras_n; + end end else begin - main_litedramcore_inti_p2_rddata_valid <= main_litedramcore_master_p2_rddata_valid; + litedramcore_master_p2_ras_n <= litedramcore_csr_dfi_p2_ras_n; end end always @(*) begin - main_litedramcore_master_p1_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata_mask <= main_litedramcore_slave_p1_wrdata_mask; + litedramcore_master_p2_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_we_n <= litedramcore_ext_dfi_p2_we_n; + end else begin + litedramcore_master_p2_we_n <= litedramcore_slave_p2_we_n; + end end else begin - main_litedramcore_master_p1_wrdata_mask <= main_litedramcore_inti_p1_wrdata_mask; + litedramcore_master_p2_we_n <= litedramcore_csr_dfi_p2_we_n; end end always @(*) begin - main_litedramcore_master_p1_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_rddata_en <= main_litedramcore_slave_p1_rddata_en; + litedramcore_master_p2_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cke <= litedramcore_ext_dfi_p2_cke; + end else begin + litedramcore_master_p2_cke <= litedramcore_slave_p2_cke; + end end else begin - main_litedramcore_master_p1_rddata_en <= main_litedramcore_inti_p1_rddata_en; + litedramcore_master_p2_cke <= litedramcore_csr_dfi_p2_cke; end end always @(*) begin - main_litedramcore_master_p2_address <= 16'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_address <= main_litedramcore_slave_p2_address; + litedramcore_master_p2_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_odt <= litedramcore_ext_dfi_p2_odt; + end else begin + litedramcore_master_p2_odt <= litedramcore_slave_p2_odt; + end end else begin - main_litedramcore_master_p2_address <= main_litedramcore_inti_p2_address; + litedramcore_master_p2_odt <= litedramcore_csr_dfi_p2_odt; end end always @(*) begin - main_litedramcore_master_p2_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_bank <= main_litedramcore_slave_p2_bank; + litedramcore_master_p2_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_reset_n <= litedramcore_ext_dfi_p2_reset_n; + end else begin + litedramcore_master_p2_reset_n <= litedramcore_slave_p2_reset_n; + end end else begin - main_litedramcore_master_p2_bank <= main_litedramcore_inti_p2_bank; + litedramcore_master_p2_reset_n <= litedramcore_csr_dfi_p2_reset_n; end end always @(*) begin - main_litedramcore_master_p2_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cas_n <= main_litedramcore_slave_p2_cas_n; + litedramcore_master_p2_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_act_n <= litedramcore_ext_dfi_p2_act_n; + end else begin + litedramcore_master_p2_act_n <= litedramcore_slave_p2_act_n; + end end else begin - main_litedramcore_master_p2_cas_n <= main_litedramcore_inti_p2_cas_n; + litedramcore_master_p2_act_n <= litedramcore_csr_dfi_p2_act_n; end end always @(*) begin - main_litedramcore_master_p2_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cs_n <= main_litedramcore_slave_p2_cs_n; + litedramcore_master_p2_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata <= litedramcore_ext_dfi_p2_wrdata; + end else begin + litedramcore_master_p2_wrdata <= litedramcore_slave_p2_wrdata; + end end else begin - main_litedramcore_master_p2_cs_n <= main_litedramcore_inti_p2_cs_n; + litedramcore_master_p2_wrdata <= litedramcore_csr_dfi_p2_wrdata; end end always @(*) begin - main_litedramcore_master_p2_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_ras_n <= main_litedramcore_slave_p2_ras_n; + litedramcore_master_p2_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata_en <= litedramcore_ext_dfi_p2_wrdata_en; + end else begin + litedramcore_master_p2_wrdata_en <= litedramcore_slave_p2_wrdata_en; + end end else begin - main_litedramcore_master_p2_ras_n <= main_litedramcore_inti_p2_ras_n; + litedramcore_master_p2_wrdata_en <= litedramcore_csr_dfi_p2_wrdata_en; end end always @(*) begin - main_litedramcore_slave_p2_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p2_rddata <= main_litedramcore_master_p2_rddata; + litedramcore_master_p2_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata_mask <= litedramcore_ext_dfi_p2_wrdata_mask; + end else begin + litedramcore_master_p2_wrdata_mask <= litedramcore_slave_p2_wrdata_mask; + end end else begin + litedramcore_master_p2_wrdata_mask <= litedramcore_csr_dfi_p2_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p2_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_we_n <= main_litedramcore_slave_p2_we_n; + litedramcore_master_p2_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_rddata_en <= litedramcore_ext_dfi_p2_rddata_en; + end else begin + litedramcore_master_p2_rddata_en <= litedramcore_slave_p2_rddata_en; + end end else begin - main_litedramcore_master_p2_we_n <= main_litedramcore_inti_p2_we_n; + litedramcore_master_p2_rddata_en <= litedramcore_csr_dfi_p2_rddata_en; end end always @(*) begin - main_litedramcore_master_p2_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cke <= main_litedramcore_slave_p2_cke; + litedramcore_master_p3_address <= 16'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_address <= litedramcore_ext_dfi_p3_address; + end else begin + litedramcore_master_p3_address <= litedramcore_slave_p3_address; + end end else begin - main_litedramcore_master_p2_cke <= main_litedramcore_inti_p2_cke; + litedramcore_master_p3_address <= litedramcore_csr_dfi_p3_address; end end always @(*) begin - main_litedramcore_master_p2_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_odt <= main_litedramcore_slave_p2_odt; + litedramcore_master_p3_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_bank <= litedramcore_ext_dfi_p3_bank; + end else begin + litedramcore_master_p3_bank <= litedramcore_slave_p3_bank; + end end else begin - main_litedramcore_master_p2_odt <= main_litedramcore_inti_p2_odt; + litedramcore_master_p3_bank <= litedramcore_csr_dfi_p3_bank; end end always @(*) begin - main_litedramcore_master_p2_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_reset_n <= main_litedramcore_slave_p2_reset_n; + litedramcore_master_p3_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cas_n <= litedramcore_ext_dfi_p3_cas_n; + end else begin + litedramcore_master_p3_cas_n <= litedramcore_slave_p3_cas_n; + end end else begin - main_litedramcore_master_p2_reset_n <= main_litedramcore_inti_p2_reset_n; + litedramcore_master_p3_cas_n <= litedramcore_csr_dfi_p3_cas_n; end end always @(*) begin - main_litedramcore_master_p2_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_act_n <= main_litedramcore_slave_p2_act_n; + litedramcore_master_p3_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cs_n <= litedramcore_ext_dfi_p3_cs_n; + end else begin + litedramcore_master_p3_cs_n <= litedramcore_slave_p3_cs_n; + end end else begin - main_litedramcore_master_p2_act_n <= main_litedramcore_inti_p2_act_n; + litedramcore_master_p3_cs_n <= litedramcore_csr_dfi_p3_cs_n; end end -assign main_litedramcore_inti_p0_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p1_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p2_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p3_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p0_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p1_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p2_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p3_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p0_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p1_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p2_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p3_reset_n = main_litedramcore_reset_n; +assign litedramcore_csr_dfi_p0_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p1_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p2_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p3_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p0_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p1_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p2_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p3_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p0_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p1_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p2_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p3_reset_n = litedramcore_reset_n; always @(*) begin - main_litedramcore_inti_p0_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_cas_n <= (~main_litedramcore_phaseinjector0_command_storage[2]); + litedramcore_csr_dfi_p0_cas_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_cas_n <= (~litedramcore_phaseinjector0_csrfield_cas); end else begin - main_litedramcore_inti_p0_cas_n <= 1'd1; + litedramcore_csr_dfi_p0_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p0_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_cs_n <= {1{(~main_litedramcore_phaseinjector0_command_storage[0])}}; + litedramcore_csr_dfi_p0_cs_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_cs_n <= {1{(~litedramcore_phaseinjector0_csrfield_cs)}}; end else begin - main_litedramcore_inti_p0_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p0_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p0_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_ras_n <= (~main_litedramcore_phaseinjector0_command_storage[3]); + litedramcore_csr_dfi_p0_ras_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_ras_n <= (~litedramcore_phaseinjector0_csrfield_ras); end else begin - main_litedramcore_inti_p0_ras_n <= 1'd1; + litedramcore_csr_dfi_p0_ras_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p0_we_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_we_n <= (~main_litedramcore_phaseinjector0_command_storage[1]); + litedramcore_csr_dfi_p0_we_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_we_n <= (~litedramcore_phaseinjector0_csrfield_we); end else begin - main_litedramcore_inti_p0_we_n <= 1'd1; + litedramcore_csr_dfi_p0_we_n <= 1'd1; end end -assign main_litedramcore_inti_p0_address = main_litedramcore_phaseinjector0_address_storage; -assign main_litedramcore_inti_p0_bank = main_litedramcore_phaseinjector0_baddress_storage; -assign main_litedramcore_inti_p0_wrdata_en = (main_litedramcore_phaseinjector0_command_issue_re & main_litedramcore_phaseinjector0_command_storage[4]); -assign main_litedramcore_inti_p0_rddata_en = (main_litedramcore_phaseinjector0_command_issue_re & main_litedramcore_phaseinjector0_command_storage[5]); -assign main_litedramcore_inti_p0_wrdata = main_litedramcore_phaseinjector0_wrdata_storage; -assign main_litedramcore_inti_p0_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p0_address = litedramcore_phaseinjector0_address_storage; +assign litedramcore_csr_dfi_p0_bank = litedramcore_phaseinjector0_baddress_storage; +assign litedramcore_csr_dfi_p0_wrdata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_csrfield_wren); +assign litedramcore_csr_dfi_p0_rddata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_csrfield_rden); +assign litedramcore_csr_dfi_p0_wrdata = litedramcore_phaseinjector0_wrdata_storage; +assign litedramcore_csr_dfi_p0_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p1_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_cas_n <= (~main_litedramcore_phaseinjector1_command_storage[2]); + litedramcore_csr_dfi_p1_cas_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_cas_n <= (~litedramcore_phaseinjector1_csrfield_cas); end else begin - main_litedramcore_inti_p1_cas_n <= 1'd1; + litedramcore_csr_dfi_p1_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p1_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_cs_n <= {1{(~main_litedramcore_phaseinjector1_command_storage[0])}}; + litedramcore_csr_dfi_p1_cs_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_cs_n <= {1{(~litedramcore_phaseinjector1_csrfield_cs)}}; end else begin - main_litedramcore_inti_p1_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p1_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p1_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_ras_n <= (~main_litedramcore_phaseinjector1_command_storage[3]); + litedramcore_csr_dfi_p1_ras_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_ras_n <= (~litedramcore_phaseinjector1_csrfield_ras); end else begin - main_litedramcore_inti_p1_ras_n <= 1'd1; + litedramcore_csr_dfi_p1_ras_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p1_we_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_we_n <= (~main_litedramcore_phaseinjector1_command_storage[1]); + litedramcore_csr_dfi_p1_we_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_we_n <= (~litedramcore_phaseinjector1_csrfield_we); end else begin - main_litedramcore_inti_p1_we_n <= 1'd1; + litedramcore_csr_dfi_p1_we_n <= 1'd1; end end -assign main_litedramcore_inti_p1_address = main_litedramcore_phaseinjector1_address_storage; -assign main_litedramcore_inti_p1_bank = main_litedramcore_phaseinjector1_baddress_storage; -assign main_litedramcore_inti_p1_wrdata_en = (main_litedramcore_phaseinjector1_command_issue_re & main_litedramcore_phaseinjector1_command_storage[4]); -assign main_litedramcore_inti_p1_rddata_en = (main_litedramcore_phaseinjector1_command_issue_re & main_litedramcore_phaseinjector1_command_storage[5]); -assign main_litedramcore_inti_p1_wrdata = main_litedramcore_phaseinjector1_wrdata_storage; -assign main_litedramcore_inti_p1_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p1_address = litedramcore_phaseinjector1_address_storage; +assign litedramcore_csr_dfi_p1_bank = litedramcore_phaseinjector1_baddress_storage; +assign litedramcore_csr_dfi_p1_wrdata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_csrfield_wren); +assign litedramcore_csr_dfi_p1_rddata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_csrfield_rden); +assign litedramcore_csr_dfi_p1_wrdata = litedramcore_phaseinjector1_wrdata_storage; +assign litedramcore_csr_dfi_p1_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p2_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_cas_n <= (~main_litedramcore_phaseinjector2_command_storage[2]); + litedramcore_csr_dfi_p2_cas_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_cas_n <= (~litedramcore_phaseinjector2_csrfield_cas); end else begin - main_litedramcore_inti_p2_cas_n <= 1'd1; + litedramcore_csr_dfi_p2_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p2_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_cs_n <= {1{(~main_litedramcore_phaseinjector2_command_storage[0])}}; + litedramcore_csr_dfi_p2_cs_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_cs_n <= {1{(~litedramcore_phaseinjector2_csrfield_cs)}}; end else begin - main_litedramcore_inti_p2_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p2_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p2_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_ras_n <= (~main_litedramcore_phaseinjector2_command_storage[3]); + litedramcore_csr_dfi_p2_ras_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_ras_n <= (~litedramcore_phaseinjector2_csrfield_ras); end else begin - main_litedramcore_inti_p2_ras_n <= 1'd1; + litedramcore_csr_dfi_p2_ras_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p2_we_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_we_n <= (~main_litedramcore_phaseinjector2_command_storage[1]); + litedramcore_csr_dfi_p2_we_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_we_n <= (~litedramcore_phaseinjector2_csrfield_we); end else begin - main_litedramcore_inti_p2_we_n <= 1'd1; + litedramcore_csr_dfi_p2_we_n <= 1'd1; end end -assign main_litedramcore_inti_p2_address = main_litedramcore_phaseinjector2_address_storage; -assign main_litedramcore_inti_p2_bank = main_litedramcore_phaseinjector2_baddress_storage; -assign main_litedramcore_inti_p2_wrdata_en = (main_litedramcore_phaseinjector2_command_issue_re & main_litedramcore_phaseinjector2_command_storage[4]); -assign main_litedramcore_inti_p2_rddata_en = (main_litedramcore_phaseinjector2_command_issue_re & main_litedramcore_phaseinjector2_command_storage[5]); -assign main_litedramcore_inti_p2_wrdata = main_litedramcore_phaseinjector2_wrdata_storage; -assign main_litedramcore_inti_p2_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p2_address = litedramcore_phaseinjector2_address_storage; +assign litedramcore_csr_dfi_p2_bank = litedramcore_phaseinjector2_baddress_storage; +assign litedramcore_csr_dfi_p2_wrdata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_csrfield_wren); +assign litedramcore_csr_dfi_p2_rddata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_csrfield_rden); +assign litedramcore_csr_dfi_p2_wrdata = litedramcore_phaseinjector2_wrdata_storage; +assign litedramcore_csr_dfi_p2_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p3_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_cas_n <= (~main_litedramcore_phaseinjector3_command_storage[2]); + litedramcore_csr_dfi_p3_cas_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_cas_n <= (~litedramcore_phaseinjector3_csrfield_cas); end else begin - main_litedramcore_inti_p3_cas_n <= 1'd1; + litedramcore_csr_dfi_p3_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p3_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_cs_n <= {1{(~main_litedramcore_phaseinjector3_command_storage[0])}}; + litedramcore_csr_dfi_p3_cs_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_cs_n <= {1{(~litedramcore_phaseinjector3_csrfield_cs)}}; end else begin - main_litedramcore_inti_p3_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p3_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p3_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_ras_n <= (~main_litedramcore_phaseinjector3_command_storage[3]); + litedramcore_csr_dfi_p3_ras_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_ras_n <= (~litedramcore_phaseinjector3_csrfield_ras); end else begin - main_litedramcore_inti_p3_ras_n <= 1'd1; + litedramcore_csr_dfi_p3_ras_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p3_we_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_we_n <= (~main_litedramcore_phaseinjector3_command_storage[1]); + litedramcore_csr_dfi_p3_we_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_we_n <= (~litedramcore_phaseinjector3_csrfield_we); end else begin - main_litedramcore_inti_p3_we_n <= 1'd1; + litedramcore_csr_dfi_p3_we_n <= 1'd1; end end -assign main_litedramcore_inti_p3_address = main_litedramcore_phaseinjector3_address_storage; -assign main_litedramcore_inti_p3_bank = main_litedramcore_phaseinjector3_baddress_storage; -assign main_litedramcore_inti_p3_wrdata_en = (main_litedramcore_phaseinjector3_command_issue_re & main_litedramcore_phaseinjector3_command_storage[4]); -assign main_litedramcore_inti_p3_rddata_en = (main_litedramcore_phaseinjector3_command_issue_re & main_litedramcore_phaseinjector3_command_storage[5]); -assign main_litedramcore_inti_p3_wrdata = main_litedramcore_phaseinjector3_wrdata_storage; -assign main_litedramcore_inti_p3_wrdata_mask = 1'd0; -assign main_litedramcore_bankmachine0_req_valid = main_litedramcore_interface_bank0_valid; -assign main_litedramcore_interface_bank0_ready = main_litedramcore_bankmachine0_req_ready; -assign main_litedramcore_bankmachine0_req_we = main_litedramcore_interface_bank0_we; -assign main_litedramcore_bankmachine0_req_addr = main_litedramcore_interface_bank0_addr; -assign main_litedramcore_interface_bank0_lock = main_litedramcore_bankmachine0_req_lock; -assign main_litedramcore_interface_bank0_wdata_ready = main_litedramcore_bankmachine0_req_wdata_ready; -assign main_litedramcore_interface_bank0_rdata_valid = main_litedramcore_bankmachine0_req_rdata_valid; -assign main_litedramcore_bankmachine1_req_valid = main_litedramcore_interface_bank1_valid; -assign main_litedramcore_interface_bank1_ready = main_litedramcore_bankmachine1_req_ready; -assign main_litedramcore_bankmachine1_req_we = main_litedramcore_interface_bank1_we; -assign main_litedramcore_bankmachine1_req_addr = main_litedramcore_interface_bank1_addr; -assign main_litedramcore_interface_bank1_lock = main_litedramcore_bankmachine1_req_lock; -assign main_litedramcore_interface_bank1_wdata_ready = main_litedramcore_bankmachine1_req_wdata_ready; -assign main_litedramcore_interface_bank1_rdata_valid = main_litedramcore_bankmachine1_req_rdata_valid; -assign main_litedramcore_bankmachine2_req_valid = main_litedramcore_interface_bank2_valid; -assign main_litedramcore_interface_bank2_ready = main_litedramcore_bankmachine2_req_ready; -assign main_litedramcore_bankmachine2_req_we = main_litedramcore_interface_bank2_we; -assign main_litedramcore_bankmachine2_req_addr = main_litedramcore_interface_bank2_addr; -assign main_litedramcore_interface_bank2_lock = main_litedramcore_bankmachine2_req_lock; -assign main_litedramcore_interface_bank2_wdata_ready = main_litedramcore_bankmachine2_req_wdata_ready; -assign main_litedramcore_interface_bank2_rdata_valid = main_litedramcore_bankmachine2_req_rdata_valid; -assign main_litedramcore_bankmachine3_req_valid = main_litedramcore_interface_bank3_valid; -assign main_litedramcore_interface_bank3_ready = main_litedramcore_bankmachine3_req_ready; -assign main_litedramcore_bankmachine3_req_we = main_litedramcore_interface_bank3_we; -assign main_litedramcore_bankmachine3_req_addr = main_litedramcore_interface_bank3_addr; -assign main_litedramcore_interface_bank3_lock = main_litedramcore_bankmachine3_req_lock; -assign main_litedramcore_interface_bank3_wdata_ready = main_litedramcore_bankmachine3_req_wdata_ready; -assign main_litedramcore_interface_bank3_rdata_valid = main_litedramcore_bankmachine3_req_rdata_valid; -assign main_litedramcore_bankmachine4_req_valid = main_litedramcore_interface_bank4_valid; -assign main_litedramcore_interface_bank4_ready = main_litedramcore_bankmachine4_req_ready; -assign main_litedramcore_bankmachine4_req_we = main_litedramcore_interface_bank4_we; -assign main_litedramcore_bankmachine4_req_addr = main_litedramcore_interface_bank4_addr; -assign main_litedramcore_interface_bank4_lock = main_litedramcore_bankmachine4_req_lock; -assign main_litedramcore_interface_bank4_wdata_ready = main_litedramcore_bankmachine4_req_wdata_ready; -assign main_litedramcore_interface_bank4_rdata_valid = main_litedramcore_bankmachine4_req_rdata_valid; -assign main_litedramcore_bankmachine5_req_valid = main_litedramcore_interface_bank5_valid; -assign main_litedramcore_interface_bank5_ready = main_litedramcore_bankmachine5_req_ready; -assign main_litedramcore_bankmachine5_req_we = main_litedramcore_interface_bank5_we; -assign main_litedramcore_bankmachine5_req_addr = main_litedramcore_interface_bank5_addr; -assign main_litedramcore_interface_bank5_lock = main_litedramcore_bankmachine5_req_lock; -assign main_litedramcore_interface_bank5_wdata_ready = main_litedramcore_bankmachine5_req_wdata_ready; -assign main_litedramcore_interface_bank5_rdata_valid = main_litedramcore_bankmachine5_req_rdata_valid; -assign main_litedramcore_bankmachine6_req_valid = main_litedramcore_interface_bank6_valid; -assign main_litedramcore_interface_bank6_ready = main_litedramcore_bankmachine6_req_ready; -assign main_litedramcore_bankmachine6_req_we = main_litedramcore_interface_bank6_we; -assign main_litedramcore_bankmachine6_req_addr = main_litedramcore_interface_bank6_addr; -assign main_litedramcore_interface_bank6_lock = main_litedramcore_bankmachine6_req_lock; -assign main_litedramcore_interface_bank6_wdata_ready = main_litedramcore_bankmachine6_req_wdata_ready; -assign main_litedramcore_interface_bank6_rdata_valid = main_litedramcore_bankmachine6_req_rdata_valid; -assign main_litedramcore_bankmachine7_req_valid = main_litedramcore_interface_bank7_valid; -assign main_litedramcore_interface_bank7_ready = main_litedramcore_bankmachine7_req_ready; -assign main_litedramcore_bankmachine7_req_we = main_litedramcore_interface_bank7_we; -assign main_litedramcore_bankmachine7_req_addr = main_litedramcore_interface_bank7_addr; -assign main_litedramcore_interface_bank7_lock = main_litedramcore_bankmachine7_req_lock; -assign main_litedramcore_interface_bank7_wdata_ready = main_litedramcore_bankmachine7_req_wdata_ready; -assign main_litedramcore_interface_bank7_rdata_valid = main_litedramcore_bankmachine7_req_rdata_valid; -assign main_litedramcore_timer_wait = (~main_litedramcore_timer_done0); -assign main_litedramcore_postponer_req_i = main_litedramcore_timer_done0; -assign main_litedramcore_wants_refresh = main_litedramcore_postponer_req_o; -assign main_litedramcore_wants_zqcs = main_litedramcore_zqcs_timer_done0; -assign main_litedramcore_zqcs_timer_wait = (~main_litedramcore_zqcs_executer_done); -assign main_litedramcore_timer_done1 = (main_litedramcore_timer_count1 == 1'd0); -assign main_litedramcore_timer_done0 = main_litedramcore_timer_done1; -assign main_litedramcore_timer_count0 = main_litedramcore_timer_count1; -assign main_litedramcore_sequencer_start1 = (main_litedramcore_sequencer_start0 | (main_litedramcore_sequencer_count != 1'd0)); -assign main_litedramcore_sequencer_done0 = (main_litedramcore_sequencer_done1 & (main_litedramcore_sequencer_count == 1'd0)); -assign main_litedramcore_zqcs_timer_done1 = (main_litedramcore_zqcs_timer_count1 == 1'd0); -assign main_litedramcore_zqcs_timer_done0 = main_litedramcore_zqcs_timer_done1; -assign main_litedramcore_zqcs_timer_count0 = main_litedramcore_zqcs_timer_count1; -always @(*) begin - builder_refresher_next_state <= 2'd0; - builder_refresher_next_state <= builder_refresher_state; - case (builder_refresher_state) - 1'd1: begin - if (main_litedramcore_cmd_ready) begin - builder_refresher_next_state <= 2'd2; - end - end - 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin - builder_refresher_next_state <= 2'd3; +assign litedramcore_csr_dfi_p3_address = litedramcore_phaseinjector3_address_storage; +assign litedramcore_csr_dfi_p3_bank = litedramcore_phaseinjector3_baddress_storage; +assign litedramcore_csr_dfi_p3_wrdata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_csrfield_wren); +assign litedramcore_csr_dfi_p3_rddata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_csrfield_rden); +assign litedramcore_csr_dfi_p3_wrdata = litedramcore_phaseinjector3_wrdata_storage; +assign litedramcore_csr_dfi_p3_wrdata_mask = 1'd0; +assign litedramcore_bankmachine0_req_valid = litedramcore_interface_bank0_valid; +assign litedramcore_interface_bank0_ready = litedramcore_bankmachine0_req_ready; +assign litedramcore_bankmachine0_req_we = litedramcore_interface_bank0_we; +assign litedramcore_bankmachine0_req_addr = litedramcore_interface_bank0_addr; +assign litedramcore_interface_bank0_lock = litedramcore_bankmachine0_req_lock; +assign litedramcore_interface_bank0_wdata_ready = litedramcore_bankmachine0_req_wdata_ready; +assign litedramcore_interface_bank0_rdata_valid = litedramcore_bankmachine0_req_rdata_valid; +assign litedramcore_bankmachine1_req_valid = litedramcore_interface_bank1_valid; +assign litedramcore_interface_bank1_ready = litedramcore_bankmachine1_req_ready; +assign litedramcore_bankmachine1_req_we = litedramcore_interface_bank1_we; +assign litedramcore_bankmachine1_req_addr = litedramcore_interface_bank1_addr; +assign litedramcore_interface_bank1_lock = litedramcore_bankmachine1_req_lock; +assign litedramcore_interface_bank1_wdata_ready = litedramcore_bankmachine1_req_wdata_ready; +assign litedramcore_interface_bank1_rdata_valid = litedramcore_bankmachine1_req_rdata_valid; +assign litedramcore_bankmachine2_req_valid = litedramcore_interface_bank2_valid; +assign litedramcore_interface_bank2_ready = litedramcore_bankmachine2_req_ready; +assign litedramcore_bankmachine2_req_we = litedramcore_interface_bank2_we; +assign litedramcore_bankmachine2_req_addr = litedramcore_interface_bank2_addr; +assign litedramcore_interface_bank2_lock = litedramcore_bankmachine2_req_lock; +assign litedramcore_interface_bank2_wdata_ready = litedramcore_bankmachine2_req_wdata_ready; +assign litedramcore_interface_bank2_rdata_valid = litedramcore_bankmachine2_req_rdata_valid; +assign litedramcore_bankmachine3_req_valid = litedramcore_interface_bank3_valid; +assign litedramcore_interface_bank3_ready = litedramcore_bankmachine3_req_ready; +assign litedramcore_bankmachine3_req_we = litedramcore_interface_bank3_we; +assign litedramcore_bankmachine3_req_addr = litedramcore_interface_bank3_addr; +assign litedramcore_interface_bank3_lock = litedramcore_bankmachine3_req_lock; +assign litedramcore_interface_bank3_wdata_ready = litedramcore_bankmachine3_req_wdata_ready; +assign litedramcore_interface_bank3_rdata_valid = litedramcore_bankmachine3_req_rdata_valid; +assign litedramcore_bankmachine4_req_valid = litedramcore_interface_bank4_valid; +assign litedramcore_interface_bank4_ready = litedramcore_bankmachine4_req_ready; +assign litedramcore_bankmachine4_req_we = litedramcore_interface_bank4_we; +assign litedramcore_bankmachine4_req_addr = litedramcore_interface_bank4_addr; +assign litedramcore_interface_bank4_lock = litedramcore_bankmachine4_req_lock; +assign litedramcore_interface_bank4_wdata_ready = litedramcore_bankmachine4_req_wdata_ready; +assign litedramcore_interface_bank4_rdata_valid = litedramcore_bankmachine4_req_rdata_valid; +assign litedramcore_bankmachine5_req_valid = litedramcore_interface_bank5_valid; +assign litedramcore_interface_bank5_ready = litedramcore_bankmachine5_req_ready; +assign litedramcore_bankmachine5_req_we = litedramcore_interface_bank5_we; +assign litedramcore_bankmachine5_req_addr = litedramcore_interface_bank5_addr; +assign litedramcore_interface_bank5_lock = litedramcore_bankmachine5_req_lock; +assign litedramcore_interface_bank5_wdata_ready = litedramcore_bankmachine5_req_wdata_ready; +assign litedramcore_interface_bank5_rdata_valid = litedramcore_bankmachine5_req_rdata_valid; +assign litedramcore_bankmachine6_req_valid = litedramcore_interface_bank6_valid; +assign litedramcore_interface_bank6_ready = litedramcore_bankmachine6_req_ready; +assign litedramcore_bankmachine6_req_we = litedramcore_interface_bank6_we; +assign litedramcore_bankmachine6_req_addr = litedramcore_interface_bank6_addr; +assign litedramcore_interface_bank6_lock = litedramcore_bankmachine6_req_lock; +assign litedramcore_interface_bank6_wdata_ready = litedramcore_bankmachine6_req_wdata_ready; +assign litedramcore_interface_bank6_rdata_valid = litedramcore_bankmachine6_req_rdata_valid; +assign litedramcore_bankmachine7_req_valid = litedramcore_interface_bank7_valid; +assign litedramcore_interface_bank7_ready = litedramcore_bankmachine7_req_ready; +assign litedramcore_bankmachine7_req_we = litedramcore_interface_bank7_we; +assign litedramcore_bankmachine7_req_addr = litedramcore_interface_bank7_addr; +assign litedramcore_interface_bank7_lock = litedramcore_bankmachine7_req_lock; +assign litedramcore_interface_bank7_wdata_ready = litedramcore_bankmachine7_req_wdata_ready; +assign litedramcore_interface_bank7_rdata_valid = litedramcore_bankmachine7_req_rdata_valid; +assign litedramcore_timer_wait = (~litedramcore_timer_done0); +assign litedramcore_postponer_req_i = litedramcore_timer_done0; +assign litedramcore_wants_refresh = litedramcore_postponer_req_o; +assign litedramcore_wants_zqcs = litedramcore_zqcs_timer_done0; +assign litedramcore_zqcs_timer_wait = (~litedramcore_zqcs_executer_done); +assign litedramcore_timer_done1 = (litedramcore_timer_count1 == 1'd0); +assign litedramcore_timer_done0 = litedramcore_timer_done1; +assign litedramcore_timer_count0 = litedramcore_timer_count1; +assign litedramcore_sequencer_start1 = (litedramcore_sequencer_start0 | (litedramcore_sequencer_count != 1'd0)); +assign litedramcore_sequencer_done0 = (litedramcore_sequencer_done1 & (litedramcore_sequencer_count == 1'd0)); +assign litedramcore_zqcs_timer_done1 = (litedramcore_zqcs_timer_count1 == 1'd0); +assign litedramcore_zqcs_timer_done0 = litedramcore_zqcs_timer_done1; +assign litedramcore_zqcs_timer_count0 = litedramcore_zqcs_timer_count1; +always @(*) begin + litedramcore_refresher_next_state <= 2'd0; + litedramcore_refresher_next_state <= litedramcore_refresher_state; + case (litedramcore_refresher_state) + 1'd1: begin + if (litedramcore_cmd_ready) begin + litedramcore_refresher_next_state <= 2'd2; + end + end + 2'd2: begin + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin + litedramcore_refresher_next_state <= 2'd3; end else begin - builder_refresher_next_state <= 1'd0; + litedramcore_refresher_next_state <= 1'd0; end end end 2'd3: begin - if (main_litedramcore_zqcs_executer_done) begin - builder_refresher_next_state <= 1'd0; + if (litedramcore_zqcs_executer_done) begin + litedramcore_refresher_next_state <= 1'd0; end end default: begin if (1'd1) begin - if (main_litedramcore_wants_refresh) begin - builder_refresher_next_state <= 1'd1; + if (litedramcore_wants_refresh) begin + litedramcore_refresher_next_state <= 1'd1; end end end endcase end always @(*) begin - main_litedramcore_cmd_valid <= 1'd0; - case (builder_refresher_state) + litedramcore_cmd_valid <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin - main_litedramcore_cmd_valid <= 1'd1; + litedramcore_cmd_valid <= 1'd1; end 2'd2: begin - main_litedramcore_cmd_valid <= 1'd1; - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin + litedramcore_cmd_valid <= 1'd1; + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin end else begin - main_litedramcore_cmd_valid <= 1'd0; + litedramcore_cmd_valid <= 1'd0; end end end 2'd3: begin - main_litedramcore_cmd_valid <= 1'd1; - if (main_litedramcore_zqcs_executer_done) begin - main_litedramcore_cmd_valid <= 1'd0; + litedramcore_cmd_valid <= 1'd1; + if (litedramcore_zqcs_executer_done) begin + litedramcore_cmd_valid <= 1'd0; end end default: begin @@ -4149,14 +4568,14 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_zqcs_executer_start <= 1'd0; - case (builder_refresher_state) + litedramcore_zqcs_executer_start <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin end 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin - main_litedramcore_zqcs_executer_start <= 1'd1; + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin + litedramcore_zqcs_executer_start <= 1'd1; end else begin end end @@ -4168,21 +4587,21 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_cmd_last <= 1'd0; - case (builder_refresher_state) + litedramcore_cmd_last <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin end 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin end else begin - main_litedramcore_cmd_last <= 1'd1; + litedramcore_cmd_last <= 1'd1; end end end 2'd3: begin - if (main_litedramcore_zqcs_executer_done) begin - main_litedramcore_cmd_last <= 1'd1; + if (litedramcore_zqcs_executer_done) begin + litedramcore_cmd_last <= 1'd1; end end default: begin @@ -4190,11 +4609,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_sequencer_start0 <= 1'd0; - case (builder_refresher_state) + litedramcore_sequencer_start0 <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin - if (main_litedramcore_cmd_ready) begin - main_litedramcore_sequencer_start0 <= 1'd1; + if (litedramcore_cmd_ready) begin + litedramcore_sequencer_start0 <= 1'd1; end end 2'd2: begin @@ -4205,127 +4624,127 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine0_req_valid; -assign main_litedramcore_bankmachine0_req_ready = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine0_req_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine0_req_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_valid = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine0_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_source_ready = (main_litedramcore_bankmachine0_req_wdata_ready | main_litedramcore_bankmachine0_req_rdata_valid); -assign main_litedramcore_bankmachine0_req_lock = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine0_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine0_row_hit = (main_litedramcore_bankmachine0_row == main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[22:7]); -assign main_litedramcore_bankmachine0_cmd_payload_ba = 1'd0; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine0_req_valid; +assign litedramcore_bankmachine0_req_ready = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine0_req_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine0_req_addr; +assign litedramcore_bankmachine0_cmd_buffer_sink_valid = litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine0_cmd_buffer_sink_ready; +assign litedramcore_bankmachine0_cmd_buffer_sink_first = litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine0_cmd_buffer_sink_last = litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine0_cmd_buffer_sink_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_sink_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_source_ready = (litedramcore_bankmachine0_req_wdata_ready | litedramcore_bankmachine0_req_rdata_valid); +assign litedramcore_bankmachine0_req_lock = (litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine0_cmd_buffer_source_valid); +assign litedramcore_bankmachine0_row_hit = (litedramcore_bankmachine0_row == litedramcore_bankmachine0_cmd_buffer_source_payload_addr[22:7]); +assign litedramcore_bankmachine0_cmd_payload_ba = 1'd0; always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_a <= 16'd0; - if (main_litedramcore_bankmachine0_row_col_n_addr_sel) begin - main_litedramcore_bankmachine0_cmd_payload_a <= main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[22:7]; + litedramcore_bankmachine0_cmd_payload_a <= 16'd0; + if (litedramcore_bankmachine0_row_col_n_addr_sel) begin + litedramcore_bankmachine0_cmd_payload_a <= litedramcore_bankmachine0_cmd_buffer_source_payload_addr[22:7]; end else begin - main_litedramcore_bankmachine0_cmd_payload_a <= ((main_litedramcore_bankmachine0_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + litedramcore_bankmachine0_cmd_payload_a <= ((litedramcore_bankmachine0_auto_precharge <<< 4'd10) | {litedramcore_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); end end -assign main_litedramcore_bankmachine0_twtpcon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_cmd_payload_is_write); -assign main_litedramcore_bankmachine0_trccon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_row_open); -assign main_litedramcore_bankmachine0_trascon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_row_open); +assign litedramcore_bankmachine0_twtpcon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_cmd_payload_is_write); +assign litedramcore_bankmachine0_trccon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_row_open); +assign litedramcore_bankmachine0_trascon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_row_open); always @(*) begin - main_litedramcore_bankmachine0_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine0_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr[22:7] != main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[22:7])) begin - main_litedramcore_bankmachine0_auto_precharge <= (main_litedramcore_bankmachine0_row_close == 1'd0); + litedramcore_bankmachine0_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine0_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr[22:7] != litedramcore_bankmachine0_cmd_buffer_source_payload_addr[22:7])) begin + litedramcore_bankmachine0_auto_precharge <= (litedramcore_bankmachine0_row_close == 1'd0); end end end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_first = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_last = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce - 1'd1); + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine0_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine0_cmd_buffer_lookahead_produce - 1'd1); end else begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce; + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine0_cmd_buffer_lookahead_produce; end end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine0_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine0_cmd_buffer_source_valid) | main_litedramcore_bankmachine0_cmd_buffer_source_ready); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | litedramcore_bankmachine0_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine0_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine0_cmd_buffer_sink_ready = ((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine0_next_state <= 4'd0; - builder_bankmachine0_next_state <= builder_bankmachine0_state; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_next_state <= 4'd0; + litedramcore_bankmachine0_next_state <= litedramcore_bankmachine0_state; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - if (main_litedramcore_bankmachine0_cmd_ready) begin - builder_bankmachine0_next_state <= 3'd5; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + if (litedramcore_bankmachine0_cmd_ready) begin + litedramcore_bankmachine0_next_state <= 3'd5; end end end 2'd2: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - builder_bankmachine0_next_state <= 3'd5; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_next_state <= 3'd5; end end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - if (main_litedramcore_bankmachine0_cmd_ready) begin - builder_bankmachine0_next_state <= 3'd7; + if (litedramcore_bankmachine0_trccon_ready) begin + if (litedramcore_bankmachine0_cmd_ready) begin + litedramcore_bankmachine0_next_state <= 3'd7; end end end 3'd4: begin - if ((~main_litedramcore_bankmachine0_refresh_req)) begin - builder_bankmachine0_next_state <= 1'd0; + if ((~litedramcore_bankmachine0_refresh_req)) begin + litedramcore_bankmachine0_next_state <= 1'd0; end end 3'd5: begin - builder_bankmachine0_next_state <= 3'd6; + litedramcore_bankmachine0_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine0_next_state <= 2'd3; + litedramcore_bankmachine0_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine0_next_state <= 4'd8; + litedramcore_bankmachine0_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine0_next_state <= 1'd0; + litedramcore_bankmachine0_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin - builder_bankmachine0_next_state <= 3'd4; + if (litedramcore_bankmachine0_refresh_req) begin + litedramcore_bankmachine0_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if ((main_litedramcore_bankmachine0_cmd_ready & main_litedramcore_bankmachine0_auto_precharge)) begin - builder_bankmachine0_next_state <= 2'd2; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if ((litedramcore_bankmachine0_cmd_ready & litedramcore_bankmachine0_auto_precharge)) begin + litedramcore_bankmachine0_next_state <= 2'd2; end end else begin - builder_bankmachine0_next_state <= 1'd1; + litedramcore_bankmachine0_next_state <= 1'd1; end end else begin - builder_bankmachine0_next_state <= 2'd3; + litedramcore_bankmachine0_next_state <= 2'd3; end end end @@ -4333,8 +4752,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_req_rdata_valid <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4352,14 +4771,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine0_req_rdata_valid <= main_litedramcore_bankmachine0_cmd_ready; + litedramcore_bankmachine0_cmd_payload_is_read <= 1'd1; end end else begin end @@ -4371,8 +4790,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_refresh_gnt <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4380,9 +4799,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine0_twtpcon_ready) begin - main_litedramcore_bankmachine0_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -4393,23 +4809,32 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine0_refresh_req) begin + end else begin + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_cmd_payload_is_write <= 1'd1; + end else begin + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; - end end 3'd4: begin end @@ -4422,12 +4847,15 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_req_wdata_ready <= litedramcore_bankmachine0_cmd_ready; + end else begin + end end else begin end end else begin @@ -4438,16 +4866,13 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_row_open <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_row_open <= 1'd1; - end end 3'd4: begin end @@ -4460,22 +4885,37 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine0_refresh_req) begin + end else begin + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine0_req_rdata_valid <= litedramcore_bankmachine0_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine0_row_close <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; + if (litedramcore_bankmachine0_twtpcon_ready) begin + litedramcore_bankmachine0_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -4490,13 +4930,19 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_cas <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_valid <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; + end end 3'd4: begin end @@ -4509,12 +4955,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - main_litedramcore_bankmachine0_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; end else begin end end else begin @@ -4525,18 +4971,41 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_row_open <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; + end + 2'd2: begin + end + 2'd3: begin + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_row_open <= 1'd1; end end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine0_state) + 1'd1: begin + end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -4554,15 +5023,79 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_row_close <= 1'd0; + case (litedramcore_bankmachine0_state) + 1'd1: begin + litedramcore_bankmachine0_row_close <= 1'd1; + end + 2'd2: begin + litedramcore_bankmachine0_row_close <= 1'd1; + end + 2'd3: begin + end + 3'd4: begin + litedramcore_bankmachine0_row_close <= 1'd1; + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + litedramcore_bankmachine0_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine0_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + if (litedramcore_bankmachine0_refresh_req) begin + end else begin + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + litedramcore_bankmachine0_cmd_payload_cas <= 1'd1; + end else begin + end + end else begin + end + end + end + end + endcase +end +always @(*) begin + litedramcore_bankmachine0_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; + end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -4580,11 +5113,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -4602,13 +5135,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -4621,22 +5154,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -4650,38 +5183,127 @@ always @(*) begin end endcase end +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine1_req_valid; +assign litedramcore_bankmachine1_req_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine1_req_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine1_req_addr; +assign litedramcore_bankmachine1_cmd_buffer_sink_valid = litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine1_cmd_buffer_sink_ready; +assign litedramcore_bankmachine1_cmd_buffer_sink_first = litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine1_cmd_buffer_sink_last = litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine1_cmd_buffer_sink_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_sink_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_source_ready = (litedramcore_bankmachine1_req_wdata_ready | litedramcore_bankmachine1_req_rdata_valid); +assign litedramcore_bankmachine1_req_lock = (litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine1_cmd_buffer_source_valid); +assign litedramcore_bankmachine1_row_hit = (litedramcore_bankmachine1_row == litedramcore_bankmachine1_cmd_buffer_source_payload_addr[22:7]); +assign litedramcore_bankmachine1_cmd_payload_ba = 1'd1; +always @(*) begin + litedramcore_bankmachine1_cmd_payload_a <= 16'd0; + if (litedramcore_bankmachine1_row_col_n_addr_sel) begin + litedramcore_bankmachine1_cmd_payload_a <= litedramcore_bankmachine1_cmd_buffer_source_payload_addr[22:7]; + end else begin + litedramcore_bankmachine1_cmd_payload_a <= ((litedramcore_bankmachine1_auto_precharge <<< 4'd10) | {litedramcore_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine1_twtpcon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_cmd_payload_is_write); +assign litedramcore_bankmachine1_trccon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_row_open); +assign litedramcore_bankmachine1_trascon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_row_open); +always @(*) begin + litedramcore_bankmachine1_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine1_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr[22:7] != litedramcore_bankmachine1_cmd_buffer_source_payload_addr[22:7])) begin + litedramcore_bankmachine1_auto_precharge <= (litedramcore_bankmachine1_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_first = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_last = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine1_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine1_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine1_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | litedramcore_bankmachine1_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine1_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine1_cmd_buffer_sink_ready = ((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready); always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine1_next_state <= 4'd0; + litedramcore_bankmachine1_next_state <= litedramcore_bankmachine1_state; + case (litedramcore_bankmachine1_state) 1'd1: begin + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + if (litedramcore_bankmachine1_cmd_ready) begin + litedramcore_bankmachine1_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine1_trccon_ready) begin + if (litedramcore_bankmachine1_cmd_ready) begin + litedramcore_bankmachine1_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine1_refresh_req)) begin + litedramcore_bankmachine1_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine1_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine1_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine1_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine1_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin + litedramcore_bankmachine1_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine0_cmd_payload_is_read <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if ((litedramcore_bankmachine1_cmd_ready & litedramcore_bankmachine1_auto_precharge)) begin + litedramcore_bankmachine1_next_state <= 2'd2; end end else begin + litedramcore_bankmachine1_next_state <= 1'd1; end end else begin + litedramcore_bankmachine1_next_state <= 2'd3; end end end @@ -4689,8 +5311,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -4708,14 +5330,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin end else begin + litedramcore_bankmachine1_cmd_payload_is_read <= 1'd1; end end else begin end @@ -4727,8 +5349,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_req_wdata_ready <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -4746,13 +5368,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_req_wdata_ready <= main_litedramcore_bankmachine0_cmd_ready; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -4764,127 +5386,38 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine1_req_valid; -assign main_litedramcore_bankmachine1_req_ready = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine1_req_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine1_req_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_valid = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine1_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_source_ready = (main_litedramcore_bankmachine1_req_wdata_ready | main_litedramcore_bankmachine1_req_rdata_valid); -assign main_litedramcore_bankmachine1_req_lock = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine1_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine1_row_hit = (main_litedramcore_bankmachine1_row == main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[22:7]); -assign main_litedramcore_bankmachine1_cmd_payload_ba = 1'd1; -always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_a <= 16'd0; - if (main_litedramcore_bankmachine1_row_col_n_addr_sel) begin - main_litedramcore_bankmachine1_cmd_payload_a <= main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[22:7]; - end else begin - main_litedramcore_bankmachine1_cmd_payload_a <= ((main_litedramcore_bankmachine1_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine1_twtpcon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_cmd_payload_is_write); -assign main_litedramcore_bankmachine1_trccon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_row_open); -assign main_litedramcore_bankmachine1_trascon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_row_open); -always @(*) begin - main_litedramcore_bankmachine1_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine1_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr[22:7] != main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[22:7])) begin - main_litedramcore_bankmachine1_auto_precharge <= (main_litedramcore_bankmachine1_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine1_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine1_cmd_buffer_source_valid) | main_litedramcore_bankmachine1_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine1_next_state <= 4'd0; - builder_bankmachine1_next_state <= builder_bankmachine1_state; - case (builder_bankmachine1_state) - 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - if (main_litedramcore_bankmachine1_cmd_ready) begin - builder_bankmachine1_next_state <= 3'd5; - end - end +always @(*) begin + litedramcore_bankmachine1_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine1_state) + 1'd1: begin end 2'd2: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - builder_bankmachine1_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - if (main_litedramcore_bankmachine1_cmd_ready) begin - builder_bankmachine1_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine1_refresh_req)) begin - builder_bankmachine1_next_state <= 1'd0; - end end 3'd5: begin - builder_bankmachine1_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine1_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine1_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine1_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin - builder_bankmachine1_next_state <= 3'd4; + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if ((main_litedramcore_bankmachine1_cmd_ready & main_litedramcore_bankmachine1_auto_precharge)) begin - builder_bankmachine1_next_state <= 2'd2; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_req_wdata_ready <= litedramcore_bankmachine1_cmd_ready; + end else begin end end else begin - builder_bankmachine1_next_state <= 1'd1; end end else begin - builder_bankmachine1_next_state <= 2'd3; end end end @@ -4892,8 +5425,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_req_rdata_valid <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -4911,14 +5444,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine1_req_rdata_valid <= main_litedramcore_bankmachine1_cmd_ready; + litedramcore_bankmachine1_req_rdata_valid <= litedramcore_bankmachine1_cmd_ready; end end else begin end @@ -4930,8 +5463,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_refresh_gnt <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -4939,8 +5472,8 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine1_twtpcon_ready) begin - main_litedramcore_bankmachine1_refresh_gnt <= 1'd1; + if (litedramcore_bankmachine1_twtpcon_ready) begin + litedramcore_bankmachine1_refresh_gnt <= 1'd1; end end 3'd5: begin @@ -4956,18 +5489,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_valid <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end end 3'd4: begin @@ -4981,12 +5514,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end else begin end end else begin @@ -4997,15 +5530,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_row_open <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_row_open <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_row_open <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_row_open <= 1'd1; end end 3'd4: begin @@ -5023,18 +5556,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_row_close <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_row_close <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; + litedramcore_bankmachine1_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; + litedramcore_bankmachine1_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; + litedramcore_bankmachine1_row_close <= 1'd1; end 3'd5: begin end @@ -5049,8 +5582,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_cas <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5068,12 +5601,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - main_litedramcore_bankmachine1_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + litedramcore_bankmachine1_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -5084,18 +5617,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -5113,11 +5646,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -5135,13 +5668,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -5154,22 +5687,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -5184,15 +5713,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + end end 3'd4: begin + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -5203,56 +5739,130 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine1_cmd_payload_is_read <= 1'd1; - end - end else begin - end - end else begin - end - end - end end endcase end +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine2_req_valid; +assign litedramcore_bankmachine2_req_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine2_req_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine2_req_addr; +assign litedramcore_bankmachine2_cmd_buffer_sink_valid = litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine2_cmd_buffer_sink_ready; +assign litedramcore_bankmachine2_cmd_buffer_sink_first = litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine2_cmd_buffer_sink_last = litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine2_cmd_buffer_sink_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_sink_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_source_ready = (litedramcore_bankmachine2_req_wdata_ready | litedramcore_bankmachine2_req_rdata_valid); +assign litedramcore_bankmachine2_req_lock = (litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine2_cmd_buffer_source_valid); +assign litedramcore_bankmachine2_row_hit = (litedramcore_bankmachine2_row == litedramcore_bankmachine2_cmd_buffer_source_payload_addr[22:7]); +assign litedramcore_bankmachine2_cmd_payload_ba = 2'd2; always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine2_cmd_payload_a <= 16'd0; + if (litedramcore_bankmachine2_row_col_n_addr_sel) begin + litedramcore_bankmachine2_cmd_payload_a <= litedramcore_bankmachine2_cmd_buffer_source_payload_addr[22:7]; + end else begin + litedramcore_bankmachine2_cmd_payload_a <= ((litedramcore_bankmachine2_auto_precharge <<< 4'd10) | {litedramcore_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine2_twtpcon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_cmd_payload_is_write); +assign litedramcore_bankmachine2_trccon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_row_open); +assign litedramcore_bankmachine2_trascon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_row_open); +always @(*) begin + litedramcore_bankmachine2_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine2_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr[22:7] != litedramcore_bankmachine2_cmd_buffer_source_payload_addr[22:7])) begin + litedramcore_bankmachine2_auto_precharge <= (litedramcore_bankmachine2_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_first = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_last = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine2_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine2_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine2_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | litedramcore_bankmachine2_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine2_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine2_cmd_buffer_sink_ready = ((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine2_next_state <= 4'd0; + litedramcore_bankmachine2_next_state <= litedramcore_bankmachine2_state; + case (litedramcore_bankmachine2_state) 1'd1: begin + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + if (litedramcore_bankmachine2_cmd_ready) begin + litedramcore_bankmachine2_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine2_trccon_ready) begin + if (litedramcore_bankmachine2_cmd_ready) begin + litedramcore_bankmachine2_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine2_refresh_req)) begin + litedramcore_bankmachine2_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine2_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine2_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine2_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine2_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin + litedramcore_bankmachine2_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_cmd_payload_is_write <= 1'd1; - end else begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if ((litedramcore_bankmachine2_cmd_ready & litedramcore_bankmachine2_auto_precharge)) begin + litedramcore_bankmachine2_next_state <= 2'd2; end end else begin + litedramcore_bankmachine2_next_state <= 1'd1; end end else begin + litedramcore_bankmachine2_next_state <= 2'd3; end end end @@ -5260,16 +5870,13 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd1; - end end 3'd4: begin end @@ -5282,12 +5889,27 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine2_refresh_req) begin + end else begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine2_cmd_payload_is_read <= 1'd1; + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine1_req_wdata_ready <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5305,13 +5927,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_req_wdata_ready <= main_litedramcore_bankmachine1_cmd_ready; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -5323,127 +5945,38 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine2_req_valid; -assign main_litedramcore_bankmachine2_req_ready = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine2_req_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine2_req_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_valid = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine2_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_source_ready = (main_litedramcore_bankmachine2_req_wdata_ready | main_litedramcore_bankmachine2_req_rdata_valid); -assign main_litedramcore_bankmachine2_req_lock = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine2_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine2_row_hit = (main_litedramcore_bankmachine2_row == main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[22:7]); -assign main_litedramcore_bankmachine2_cmd_payload_ba = 2'd2; -always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_a <= 16'd0; - if (main_litedramcore_bankmachine2_row_col_n_addr_sel) begin - main_litedramcore_bankmachine2_cmd_payload_a <= main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[22:7]; - end else begin - main_litedramcore_bankmachine2_cmd_payload_a <= ((main_litedramcore_bankmachine2_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine2_twtpcon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_cmd_payload_is_write); -assign main_litedramcore_bankmachine2_trccon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_row_open); -assign main_litedramcore_bankmachine2_trascon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_row_open); -always @(*) begin - main_litedramcore_bankmachine2_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine2_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr[22:7] != main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[22:7])) begin - main_litedramcore_bankmachine2_auto_precharge <= (main_litedramcore_bankmachine2_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine2_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine2_cmd_buffer_source_valid) | main_litedramcore_bankmachine2_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine2_next_state <= 4'd0; - builder_bankmachine2_next_state <= builder_bankmachine2_state; - case (builder_bankmachine2_state) - 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - if (main_litedramcore_bankmachine2_cmd_ready) begin - builder_bankmachine2_next_state <= 3'd5; - end - end +always @(*) begin + litedramcore_bankmachine2_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine2_state) + 1'd1: begin end 2'd2: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - builder_bankmachine2_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - if (main_litedramcore_bankmachine2_cmd_ready) begin - builder_bankmachine2_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine2_refresh_req)) begin - builder_bankmachine2_next_state <= 1'd0; - end end 3'd5: begin - builder_bankmachine2_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine2_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine2_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine2_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin - builder_bankmachine2_next_state <= 3'd4; + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if ((main_litedramcore_bankmachine2_cmd_ready & main_litedramcore_bankmachine2_auto_precharge)) begin - builder_bankmachine2_next_state <= 2'd2; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_req_wdata_ready <= litedramcore_bankmachine2_cmd_ready; + end else begin end end else begin - builder_bankmachine2_next_state <= 1'd1; end end else begin - builder_bankmachine2_next_state <= 2'd3; end end end @@ -5451,8 +5984,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_req_rdata_valid <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5470,14 +6003,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine2_req_rdata_valid <= main_litedramcore_bankmachine2_cmd_ready; + litedramcore_bankmachine2_req_rdata_valid <= litedramcore_bankmachine2_cmd_ready; end end else begin end @@ -5489,18 +6022,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_refresh_gnt <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd1; + end end 3'd4: begin - if (main_litedramcore_bankmachine2_twtpcon_ready) begin - main_litedramcore_bankmachine2_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -5515,21 +6048,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; - end end 3'd4: begin + if (litedramcore_bankmachine2_twtpcon_ready) begin + litedramcore_bankmachine2_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -5540,31 +6070,22 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_valid <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; + end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; end end 3'd4: begin @@ -5578,19 +6099,31 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine2_refresh_req) begin + end else begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine2_row_open <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_row_open <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_row_open <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_row_open <= 1'd1; end end 3'd4: begin @@ -5608,18 +6141,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_row_close <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_row_close <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; + litedramcore_bankmachine2_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; + litedramcore_bankmachine2_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; + litedramcore_bankmachine2_row_close <= 1'd1; end 3'd5: begin end @@ -5634,8 +6167,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_cas <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5653,12 +6186,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - main_litedramcore_bankmachine2_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + litedramcore_bankmachine2_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -5669,18 +6202,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -5698,11 +6231,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -5720,13 +6253,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -5739,22 +6272,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -5768,38 +6301,127 @@ always @(*) begin end endcase end +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine3_req_valid; +assign litedramcore_bankmachine3_req_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine3_req_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine3_req_addr; +assign litedramcore_bankmachine3_cmd_buffer_sink_valid = litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine3_cmd_buffer_sink_ready; +assign litedramcore_bankmachine3_cmd_buffer_sink_first = litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine3_cmd_buffer_sink_last = litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine3_cmd_buffer_sink_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_sink_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_source_ready = (litedramcore_bankmachine3_req_wdata_ready | litedramcore_bankmachine3_req_rdata_valid); +assign litedramcore_bankmachine3_req_lock = (litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine3_cmd_buffer_source_valid); +assign litedramcore_bankmachine3_row_hit = (litedramcore_bankmachine3_row == litedramcore_bankmachine3_cmd_buffer_source_payload_addr[22:7]); +assign litedramcore_bankmachine3_cmd_payload_ba = 2'd3; +always @(*) begin + litedramcore_bankmachine3_cmd_payload_a <= 16'd0; + if (litedramcore_bankmachine3_row_col_n_addr_sel) begin + litedramcore_bankmachine3_cmd_payload_a <= litedramcore_bankmachine3_cmd_buffer_source_payload_addr[22:7]; + end else begin + litedramcore_bankmachine3_cmd_payload_a <= ((litedramcore_bankmachine3_auto_precharge <<< 4'd10) | {litedramcore_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine3_twtpcon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_cmd_payload_is_write); +assign litedramcore_bankmachine3_trccon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_row_open); +assign litedramcore_bankmachine3_trascon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_row_open); +always @(*) begin + litedramcore_bankmachine3_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine3_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr[22:7] != litedramcore_bankmachine3_cmd_buffer_source_payload_addr[22:7])) begin + litedramcore_bankmachine3_auto_precharge <= (litedramcore_bankmachine3_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_first = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_last = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine3_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine3_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine3_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | litedramcore_bankmachine3_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine3_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine3_cmd_buffer_sink_ready = ((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine3_next_state <= 4'd0; + litedramcore_bankmachine3_next_state <= litedramcore_bankmachine3_state; + case (litedramcore_bankmachine3_state) 1'd1: begin + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + if (litedramcore_bankmachine3_cmd_ready) begin + litedramcore_bankmachine3_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine3_trccon_ready) begin + if (litedramcore_bankmachine3_cmd_ready) begin + litedramcore_bankmachine3_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine3_refresh_req)) begin + litedramcore_bankmachine3_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine3_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine3_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine3_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine3_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin + litedramcore_bankmachine3_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine2_cmd_payload_is_read <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if ((litedramcore_bankmachine3_cmd_ready & litedramcore_bankmachine3_auto_precharge)) begin + litedramcore_bankmachine3_next_state <= 2'd2; end end else begin + litedramcore_bankmachine3_next_state <= 1'd1; end end else begin + litedramcore_bankmachine3_next_state <= 2'd3; end end end @@ -5807,8 +6429,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -5826,14 +6448,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin end else begin + litedramcore_bankmachine3_cmd_payload_is_read <= 1'd1; end end else begin end @@ -5845,8 +6467,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_req_wdata_ready <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -5864,13 +6486,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_req_wdata_ready <= main_litedramcore_bankmachine2_cmd_ready; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -5882,127 +6504,38 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine3_req_valid; -assign main_litedramcore_bankmachine3_req_ready = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine3_req_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine3_req_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_valid = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine3_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_source_ready = (main_litedramcore_bankmachine3_req_wdata_ready | main_litedramcore_bankmachine3_req_rdata_valid); -assign main_litedramcore_bankmachine3_req_lock = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine3_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine3_row_hit = (main_litedramcore_bankmachine3_row == main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[22:7]); -assign main_litedramcore_bankmachine3_cmd_payload_ba = 2'd3; -always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_a <= 16'd0; - if (main_litedramcore_bankmachine3_row_col_n_addr_sel) begin - main_litedramcore_bankmachine3_cmd_payload_a <= main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[22:7]; - end else begin - main_litedramcore_bankmachine3_cmd_payload_a <= ((main_litedramcore_bankmachine3_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine3_twtpcon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_cmd_payload_is_write); -assign main_litedramcore_bankmachine3_trccon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_row_open); -assign main_litedramcore_bankmachine3_trascon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_row_open); -always @(*) begin - main_litedramcore_bankmachine3_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine3_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr[22:7] != main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[22:7])) begin - main_litedramcore_bankmachine3_auto_precharge <= (main_litedramcore_bankmachine3_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine3_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine3_cmd_buffer_source_valid) | main_litedramcore_bankmachine3_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine3_next_state <= 4'd0; - builder_bankmachine3_next_state <= builder_bankmachine3_state; - case (builder_bankmachine3_state) - 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - if (main_litedramcore_bankmachine3_cmd_ready) begin - builder_bankmachine3_next_state <= 3'd5; - end - end +always @(*) begin + litedramcore_bankmachine3_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine3_state) + 1'd1: begin end 2'd2: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - builder_bankmachine3_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - if (main_litedramcore_bankmachine3_cmd_ready) begin - builder_bankmachine3_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine3_refresh_req)) begin - builder_bankmachine3_next_state <= 1'd0; - end end 3'd5: begin - builder_bankmachine3_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine3_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine3_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine3_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin - builder_bankmachine3_next_state <= 3'd4; + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if ((main_litedramcore_bankmachine3_cmd_ready & main_litedramcore_bankmachine3_auto_precharge)) begin - builder_bankmachine3_next_state <= 2'd2; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_req_wdata_ready <= litedramcore_bankmachine3_cmd_ready; + end else begin end end else begin - builder_bankmachine3_next_state <= 1'd1; end end else begin - builder_bankmachine3_next_state <= 2'd3; end end end @@ -6010,8 +6543,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_req_rdata_valid <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6029,14 +6562,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine3_req_rdata_valid <= main_litedramcore_bankmachine3_cmd_ready; + litedramcore_bankmachine3_req_rdata_valid <= litedramcore_bankmachine3_cmd_ready; end end else begin end @@ -6048,8 +6581,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_refresh_gnt <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6057,8 +6590,8 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine3_twtpcon_ready) begin - main_litedramcore_bankmachine3_refresh_gnt <= 1'd1; + if (litedramcore_bankmachine3_twtpcon_ready) begin + litedramcore_bankmachine3_refresh_gnt <= 1'd1; end end 3'd5: begin @@ -6074,18 +6607,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_valid <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end end 3'd4: begin @@ -6099,12 +6632,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end else begin end end else begin @@ -6115,15 +6648,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_row_open <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_row_open <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -6141,18 +6674,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_row_close <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_row_open <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; end 2'd3: begin + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_row_open <= 1'd1; + end end 3'd4: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; end 3'd5: begin end @@ -6167,18 +6700,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_row_close <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin + litedramcore_bankmachine3_row_close <= 1'd1; end 2'd2: begin + litedramcore_bankmachine3_row_close <= 1'd1; end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd1; - end end 3'd4: begin + litedramcore_bankmachine3_row_close <= 1'd1; end 3'd5: begin end @@ -6193,8 +6726,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_cas <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6212,12 +6745,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - main_litedramcore_bankmachine3_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + litedramcore_bankmachine3_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -6228,18 +6761,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -6257,11 +6790,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -6279,13 +6812,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -6298,22 +6831,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -6327,38 +6860,127 @@ always @(*) begin end endcase end +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine4_req_valid; +assign litedramcore_bankmachine4_req_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine4_req_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine4_req_addr; +assign litedramcore_bankmachine4_cmd_buffer_sink_valid = litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine4_cmd_buffer_sink_ready; +assign litedramcore_bankmachine4_cmd_buffer_sink_first = litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine4_cmd_buffer_sink_last = litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine4_cmd_buffer_sink_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_sink_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_source_ready = (litedramcore_bankmachine4_req_wdata_ready | litedramcore_bankmachine4_req_rdata_valid); +assign litedramcore_bankmachine4_req_lock = (litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine4_cmd_buffer_source_valid); +assign litedramcore_bankmachine4_row_hit = (litedramcore_bankmachine4_row == litedramcore_bankmachine4_cmd_buffer_source_payload_addr[22:7]); +assign litedramcore_bankmachine4_cmd_payload_ba = 3'd4; +always @(*) begin + litedramcore_bankmachine4_cmd_payload_a <= 16'd0; + if (litedramcore_bankmachine4_row_col_n_addr_sel) begin + litedramcore_bankmachine4_cmd_payload_a <= litedramcore_bankmachine4_cmd_buffer_source_payload_addr[22:7]; + end else begin + litedramcore_bankmachine4_cmd_payload_a <= ((litedramcore_bankmachine4_auto_precharge <<< 4'd10) | {litedramcore_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine4_twtpcon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_cmd_payload_is_write); +assign litedramcore_bankmachine4_trccon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_row_open); +assign litedramcore_bankmachine4_trascon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_row_open); +always @(*) begin + litedramcore_bankmachine4_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine4_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr[22:7] != litedramcore_bankmachine4_cmd_buffer_source_payload_addr[22:7])) begin + litedramcore_bankmachine4_auto_precharge <= (litedramcore_bankmachine4_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_first = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_last = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine4_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine4_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine4_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | litedramcore_bankmachine4_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine4_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine4_cmd_buffer_sink_ready = ((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine4_next_state <= 4'd0; + litedramcore_bankmachine4_next_state <= litedramcore_bankmachine4_state; + case (litedramcore_bankmachine4_state) 1'd1: begin + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + if (litedramcore_bankmachine4_cmd_ready) begin + litedramcore_bankmachine4_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine4_trccon_ready) begin + if (litedramcore_bankmachine4_cmd_ready) begin + litedramcore_bankmachine4_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine4_refresh_req)) begin + litedramcore_bankmachine4_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine4_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine4_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine4_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine4_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin + litedramcore_bankmachine4_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine3_cmd_payload_is_read <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if ((litedramcore_bankmachine4_cmd_ready & litedramcore_bankmachine4_auto_precharge)) begin + litedramcore_bankmachine4_next_state <= 2'd2; end end else begin + litedramcore_bankmachine4_next_state <= 1'd1; end end else begin + litedramcore_bankmachine4_next_state <= 2'd3; end end end @@ -6366,8 +6988,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6385,14 +7007,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin end else begin + litedramcore_bankmachine4_cmd_payload_is_read <= 1'd1; end end else begin end @@ -6404,8 +7026,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_req_wdata_ready <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6423,13 +7045,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_req_wdata_ready <= main_litedramcore_bankmachine3_cmd_ready; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -6441,127 +7063,38 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine4_req_valid; -assign main_litedramcore_bankmachine4_req_ready = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine4_req_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine4_req_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_valid = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine4_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_source_ready = (main_litedramcore_bankmachine4_req_wdata_ready | main_litedramcore_bankmachine4_req_rdata_valid); -assign main_litedramcore_bankmachine4_req_lock = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine4_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine4_row_hit = (main_litedramcore_bankmachine4_row == main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[22:7]); -assign main_litedramcore_bankmachine4_cmd_payload_ba = 3'd4; -always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_a <= 16'd0; - if (main_litedramcore_bankmachine4_row_col_n_addr_sel) begin - main_litedramcore_bankmachine4_cmd_payload_a <= main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[22:7]; - end else begin - main_litedramcore_bankmachine4_cmd_payload_a <= ((main_litedramcore_bankmachine4_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine4_twtpcon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_cmd_payload_is_write); -assign main_litedramcore_bankmachine4_trccon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_row_open); -assign main_litedramcore_bankmachine4_trascon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_row_open); -always @(*) begin - main_litedramcore_bankmachine4_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine4_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr[22:7] != main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[22:7])) begin - main_litedramcore_bankmachine4_auto_precharge <= (main_litedramcore_bankmachine4_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine4_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine4_cmd_buffer_source_valid) | main_litedramcore_bankmachine4_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine4_next_state <= 4'd0; - builder_bankmachine4_next_state <= builder_bankmachine4_state; - case (builder_bankmachine4_state) - 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - if (main_litedramcore_bankmachine4_cmd_ready) begin - builder_bankmachine4_next_state <= 3'd5; - end - end +always @(*) begin + litedramcore_bankmachine4_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine4_state) + 1'd1: begin end 2'd2: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - builder_bankmachine4_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - if (main_litedramcore_bankmachine4_cmd_ready) begin - builder_bankmachine4_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine4_refresh_req)) begin - builder_bankmachine4_next_state <= 1'd0; - end end 3'd5: begin - builder_bankmachine4_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine4_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine4_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine4_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin - builder_bankmachine4_next_state <= 3'd4; + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if ((main_litedramcore_bankmachine4_cmd_ready & main_litedramcore_bankmachine4_auto_precharge)) begin - builder_bankmachine4_next_state <= 2'd2; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_req_wdata_ready <= litedramcore_bankmachine4_cmd_ready; + end else begin end end else begin - builder_bankmachine4_next_state <= 1'd1; end end else begin - builder_bankmachine4_next_state <= 2'd3; end end end @@ -6569,8 +7102,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_req_rdata_valid <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6588,14 +7121,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine4_req_rdata_valid <= main_litedramcore_bankmachine4_cmd_ready; + litedramcore_bankmachine4_req_rdata_valid <= litedramcore_bankmachine4_cmd_ready; end end else begin end @@ -6607,18 +7140,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd1; - end end 3'd4: begin + if (litedramcore_bankmachine4_twtpcon_ready) begin + litedramcore_bankmachine4_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -6633,18 +7166,21 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_refresh_gnt <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_valid <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; + end end 3'd4: begin - if (main_litedramcore_bankmachine4_twtpcon_ready) begin - main_litedramcore_bankmachine4_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -6655,22 +7191,31 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine4_refresh_req) begin + end else begin + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_row_open <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_row_open <= 1'd1; end end 3'd4: begin @@ -6684,34 +7229,22 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine4_row_open <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_row_close <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin + litedramcore_bankmachine4_row_close <= 1'd1; end 2'd2: begin + litedramcore_bankmachine4_row_close <= 1'd1; end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_row_open <= 1'd1; - end end 3'd4: begin + litedramcore_bankmachine4_row_close <= 1'd1; end 3'd5: begin end @@ -6726,18 +7259,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_row_close <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; end 3'd5: begin end @@ -6748,17 +7278,35 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine4_refresh_req) begin + end else begin + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + litedramcore_bankmachine4_cmd_payload_cas <= 1'd1; + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_cas <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; + end end 3'd4: begin end @@ -6771,35 +7319,20 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - main_litedramcore_bankmachine4_cmd_payload_cas <= 1'd1; - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_we <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; - end end 3'd4: begin end @@ -6812,22 +7345,41 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine4_refresh_req) begin + end else begin + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_cmd_payload_we <= 1'd1; + end else begin + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + end end 3'd4: begin + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -6838,41 +7390,22 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd1; - end else begin - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -6886,38 +7419,127 @@ always @(*) begin end endcase end +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine5_req_valid; +assign litedramcore_bankmachine5_req_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine5_req_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine5_req_addr; +assign litedramcore_bankmachine5_cmd_buffer_sink_valid = litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine5_cmd_buffer_sink_ready; +assign litedramcore_bankmachine5_cmd_buffer_sink_first = litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine5_cmd_buffer_sink_last = litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine5_cmd_buffer_sink_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_sink_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_source_ready = (litedramcore_bankmachine5_req_wdata_ready | litedramcore_bankmachine5_req_rdata_valid); +assign litedramcore_bankmachine5_req_lock = (litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine5_cmd_buffer_source_valid); +assign litedramcore_bankmachine5_row_hit = (litedramcore_bankmachine5_row == litedramcore_bankmachine5_cmd_buffer_source_payload_addr[22:7]); +assign litedramcore_bankmachine5_cmd_payload_ba = 3'd5; +always @(*) begin + litedramcore_bankmachine5_cmd_payload_a <= 16'd0; + if (litedramcore_bankmachine5_row_col_n_addr_sel) begin + litedramcore_bankmachine5_cmd_payload_a <= litedramcore_bankmachine5_cmd_buffer_source_payload_addr[22:7]; + end else begin + litedramcore_bankmachine5_cmd_payload_a <= ((litedramcore_bankmachine5_auto_precharge <<< 4'd10) | {litedramcore_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine5_twtpcon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_cmd_payload_is_write); +assign litedramcore_bankmachine5_trccon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_row_open); +assign litedramcore_bankmachine5_trascon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_row_open); +always @(*) begin + litedramcore_bankmachine5_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine5_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr[22:7] != litedramcore_bankmachine5_cmd_buffer_source_payload_addr[22:7])) begin + litedramcore_bankmachine5_auto_precharge <= (litedramcore_bankmachine5_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_first = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_last = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine5_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine5_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine5_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | litedramcore_bankmachine5_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine5_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine5_cmd_buffer_sink_ready = ((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready); always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine5_next_state <= 4'd0; + litedramcore_bankmachine5_next_state <= litedramcore_bankmachine5_state; + case (litedramcore_bankmachine5_state) 1'd1: begin + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + if (litedramcore_bankmachine5_cmd_ready) begin + litedramcore_bankmachine5_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine5_trccon_ready) begin + if (litedramcore_bankmachine5_cmd_ready) begin + litedramcore_bankmachine5_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine5_refresh_req)) begin + litedramcore_bankmachine5_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine5_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine5_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine5_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine5_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin + litedramcore_bankmachine5_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine4_cmd_payload_is_read <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if ((litedramcore_bankmachine5_cmd_ready & litedramcore_bankmachine5_auto_precharge)) begin + litedramcore_bankmachine5_next_state <= 2'd2; end end else begin + litedramcore_bankmachine5_next_state <= 1'd1; end end else begin + litedramcore_bankmachine5_next_state <= 2'd3; end end end @@ -6925,8 +7547,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -6944,14 +7566,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin end else begin + litedramcore_bankmachine5_cmd_payload_is_read <= 1'd1; end end else begin end @@ -6963,13 +7585,16 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_req_wdata_ready <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd1; + end end 3'd4: begin end @@ -6982,145 +7607,41 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_req_wdata_ready <= main_litedramcore_bankmachine4_cmd_ready; - end else begin - end - end else begin - end - end else begin - end - end - end end endcase end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine5_req_valid; -assign main_litedramcore_bankmachine5_req_ready = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine5_req_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine5_req_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_valid = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine5_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_source_ready = (main_litedramcore_bankmachine5_req_wdata_ready | main_litedramcore_bankmachine5_req_rdata_valid); -assign main_litedramcore_bankmachine5_req_lock = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine5_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine5_row_hit = (main_litedramcore_bankmachine5_row == main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[22:7]); -assign main_litedramcore_bankmachine5_cmd_payload_ba = 3'd5; -always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_a <= 16'd0; - if (main_litedramcore_bankmachine5_row_col_n_addr_sel) begin - main_litedramcore_bankmachine5_cmd_payload_a <= main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[22:7]; - end else begin - main_litedramcore_bankmachine5_cmd_payload_a <= ((main_litedramcore_bankmachine5_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine5_twtpcon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_cmd_payload_is_write); -assign main_litedramcore_bankmachine5_trccon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_row_open); -assign main_litedramcore_bankmachine5_trascon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_row_open); -always @(*) begin - main_litedramcore_bankmachine5_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine5_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr[22:7] != main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[22:7])) begin - main_litedramcore_bankmachine5_auto_precharge <= (main_litedramcore_bankmachine5_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine5_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine5_cmd_buffer_source_valid) | main_litedramcore_bankmachine5_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine5_next_state <= 4'd0; - builder_bankmachine5_next_state <= builder_bankmachine5_state; - case (builder_bankmachine5_state) - 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - if (main_litedramcore_bankmachine5_cmd_ready) begin - builder_bankmachine5_next_state <= 3'd5; - end - end +always @(*) begin + litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine5_state) + 1'd1: begin end 2'd2: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - builder_bankmachine5_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - if (main_litedramcore_bankmachine5_cmd_ready) begin - builder_bankmachine5_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine5_refresh_req)) begin - builder_bankmachine5_next_state <= 1'd0; - end end 3'd5: begin - builder_bankmachine5_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine5_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine5_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine5_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin - builder_bankmachine5_next_state <= 3'd4; + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if ((main_litedramcore_bankmachine5_cmd_ready & main_litedramcore_bankmachine5_auto_precharge)) begin - builder_bankmachine5_next_state <= 2'd2; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_cmd_payload_is_write <= 1'd1; + end else begin end end else begin - builder_bankmachine5_next_state <= 1'd1; end end else begin - builder_bankmachine5_next_state <= 2'd3; end end end @@ -7128,8 +7649,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_req_rdata_valid <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7147,14 +7668,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_req_wdata_ready <= litedramcore_bankmachine5_cmd_ready; end else begin - main_litedramcore_bankmachine5_req_rdata_valid <= main_litedramcore_bankmachine5_cmd_ready; end end else begin end @@ -7166,16 +7687,13 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd1; - end end 3'd4: begin end @@ -7188,12 +7706,27 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine5_refresh_req) begin + end else begin + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine5_req_rdata_valid <= litedramcore_bankmachine5_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine5_refresh_gnt <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7201,8 +7734,8 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine5_twtpcon_ready) begin - main_litedramcore_bankmachine5_refresh_gnt <= 1'd1; + if (litedramcore_bankmachine5_twtpcon_ready) begin + litedramcore_bankmachine5_refresh_gnt <= 1'd1; end end 3'd5: begin @@ -7218,18 +7751,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_valid <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; end end 3'd4: begin @@ -7243,12 +7776,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; end else begin end end else begin @@ -7259,15 +7792,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_row_open <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_row_open <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_row_open <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_row_open <= 1'd1; end end 3'd4: begin @@ -7285,18 +7818,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_row_close <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_row_close <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; + litedramcore_bankmachine5_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; + litedramcore_bankmachine5_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; + litedramcore_bankmachine5_row_close <= 1'd1; end 3'd5: begin end @@ -7311,8 +7844,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_cas <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7330,12 +7863,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - main_litedramcore_bankmachine5_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + litedramcore_bankmachine5_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -7346,18 +7879,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -7375,11 +7908,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -7397,13 +7930,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -7416,22 +7949,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -7445,38 +7978,127 @@ always @(*) begin end endcase end +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine6_req_valid; +assign litedramcore_bankmachine6_req_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine6_req_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine6_req_addr; +assign litedramcore_bankmachine6_cmd_buffer_sink_valid = litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine6_cmd_buffer_sink_ready; +assign litedramcore_bankmachine6_cmd_buffer_sink_first = litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine6_cmd_buffer_sink_last = litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine6_cmd_buffer_sink_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_sink_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_source_ready = (litedramcore_bankmachine6_req_wdata_ready | litedramcore_bankmachine6_req_rdata_valid); +assign litedramcore_bankmachine6_req_lock = (litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine6_cmd_buffer_source_valid); +assign litedramcore_bankmachine6_row_hit = (litedramcore_bankmachine6_row == litedramcore_bankmachine6_cmd_buffer_source_payload_addr[22:7]); +assign litedramcore_bankmachine6_cmd_payload_ba = 3'd6; +always @(*) begin + litedramcore_bankmachine6_cmd_payload_a <= 16'd0; + if (litedramcore_bankmachine6_row_col_n_addr_sel) begin + litedramcore_bankmachine6_cmd_payload_a <= litedramcore_bankmachine6_cmd_buffer_source_payload_addr[22:7]; + end else begin + litedramcore_bankmachine6_cmd_payload_a <= ((litedramcore_bankmachine6_auto_precharge <<< 4'd10) | {litedramcore_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine6_twtpcon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_cmd_payload_is_write); +assign litedramcore_bankmachine6_trccon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_row_open); +assign litedramcore_bankmachine6_trascon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_row_open); +always @(*) begin + litedramcore_bankmachine6_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine6_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr[22:7] != litedramcore_bankmachine6_cmd_buffer_source_payload_addr[22:7])) begin + litedramcore_bankmachine6_auto_precharge <= (litedramcore_bankmachine6_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_first = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_last = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine6_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine6_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine6_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | litedramcore_bankmachine6_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine6_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine6_cmd_buffer_sink_ready = ((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready); always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine6_next_state <= 4'd0; + litedramcore_bankmachine6_next_state <= litedramcore_bankmachine6_state; + case (litedramcore_bankmachine6_state) 1'd1: begin + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + if (litedramcore_bankmachine6_cmd_ready) begin + litedramcore_bankmachine6_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine6_trccon_ready) begin + if (litedramcore_bankmachine6_cmd_ready) begin + litedramcore_bankmachine6_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine6_refresh_req)) begin + litedramcore_bankmachine6_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine6_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine6_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine6_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine6_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin + litedramcore_bankmachine6_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine5_cmd_payload_is_read <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if ((litedramcore_bankmachine6_cmd_ready & litedramcore_bankmachine6_auto_precharge)) begin + litedramcore_bankmachine6_next_state <= 2'd2; end end else begin + litedramcore_bankmachine6_next_state <= 1'd1; end end else begin + litedramcore_bankmachine6_next_state <= 2'd3; end end end @@ -7484,8 +8106,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -7503,14 +8125,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin end else begin + litedramcore_bankmachine6_cmd_payload_is_read <= 1'd1; end end else begin end @@ -7522,8 +8144,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_req_wdata_ready <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -7541,13 +8163,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_req_wdata_ready <= main_litedramcore_bankmachine5_cmd_ready; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -7559,127 +8181,38 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine6_req_valid; -assign main_litedramcore_bankmachine6_req_ready = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine6_req_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine6_req_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_valid = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine6_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_source_ready = (main_litedramcore_bankmachine6_req_wdata_ready | main_litedramcore_bankmachine6_req_rdata_valid); -assign main_litedramcore_bankmachine6_req_lock = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine6_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine6_row_hit = (main_litedramcore_bankmachine6_row == main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[22:7]); -assign main_litedramcore_bankmachine6_cmd_payload_ba = 3'd6; -always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_a <= 16'd0; - if (main_litedramcore_bankmachine6_row_col_n_addr_sel) begin - main_litedramcore_bankmachine6_cmd_payload_a <= main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[22:7]; - end else begin - main_litedramcore_bankmachine6_cmd_payload_a <= ((main_litedramcore_bankmachine6_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine6_twtpcon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_cmd_payload_is_write); -assign main_litedramcore_bankmachine6_trccon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_row_open); -assign main_litedramcore_bankmachine6_trascon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_row_open); -always @(*) begin - main_litedramcore_bankmachine6_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine6_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr[22:7] != main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[22:7])) begin - main_litedramcore_bankmachine6_auto_precharge <= (main_litedramcore_bankmachine6_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine6_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine6_cmd_buffer_source_valid) | main_litedramcore_bankmachine6_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine6_next_state <= 4'd0; - builder_bankmachine6_next_state <= builder_bankmachine6_state; - case (builder_bankmachine6_state) - 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - if (main_litedramcore_bankmachine6_cmd_ready) begin - builder_bankmachine6_next_state <= 3'd5; - end - end +always @(*) begin + litedramcore_bankmachine6_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine6_state) + 1'd1: begin end 2'd2: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - builder_bankmachine6_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - if (main_litedramcore_bankmachine6_cmd_ready) begin - builder_bankmachine6_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine6_refresh_req)) begin - builder_bankmachine6_next_state <= 1'd0; - end end 3'd5: begin - builder_bankmachine6_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine6_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine6_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine6_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin - builder_bankmachine6_next_state <= 3'd4; + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if ((main_litedramcore_bankmachine6_cmd_ready & main_litedramcore_bankmachine6_auto_precharge)) begin - builder_bankmachine6_next_state <= 2'd2; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_req_wdata_ready <= litedramcore_bankmachine6_cmd_ready; + end else begin end end else begin - builder_bankmachine6_next_state <= 1'd1; end end else begin - builder_bankmachine6_next_state <= 2'd3; end end end @@ -7687,8 +8220,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_req_rdata_valid <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -7706,14 +8239,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine6_req_rdata_valid <= main_litedramcore_bankmachine6_cmd_ready; + litedramcore_bankmachine6_req_rdata_valid <= litedramcore_bankmachine6_cmd_ready; end end else begin end @@ -7725,18 +8258,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_refresh_gnt <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd1; + end end 3'd4: begin - if (main_litedramcore_bankmachine6_twtpcon_ready) begin - main_litedramcore_bankmachine6_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -7751,21 +8284,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; - end end 3'd4: begin + if (litedramcore_bankmachine6_twtpcon_ready) begin + litedramcore_bankmachine6_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -7776,31 +8306,22 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_valid <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; + end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; end end 3'd4: begin @@ -7814,19 +8335,31 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine6_refresh_req) begin + end else begin + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine6_row_open <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_open <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_row_open <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_row_open <= 1'd1; end end 3'd4: begin @@ -7844,18 +8377,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_row_close <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_close <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; + litedramcore_bankmachine6_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; + litedramcore_bankmachine6_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; + litedramcore_bankmachine6_row_close <= 1'd1; end 3'd5: begin end @@ -7870,8 +8403,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_cas <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -7889,12 +8422,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - main_litedramcore_bankmachine6_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + litedramcore_bankmachine6_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -7905,18 +8438,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -7934,11 +8467,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -7956,13 +8489,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -7975,22 +8508,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -8004,38 +8537,127 @@ always @(*) begin end endcase end +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine7_req_valid; +assign litedramcore_bankmachine7_req_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine7_req_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine7_req_addr; +assign litedramcore_bankmachine7_cmd_buffer_sink_valid = litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine7_cmd_buffer_sink_ready; +assign litedramcore_bankmachine7_cmd_buffer_sink_first = litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine7_cmd_buffer_sink_last = litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine7_cmd_buffer_sink_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_sink_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_source_ready = (litedramcore_bankmachine7_req_wdata_ready | litedramcore_bankmachine7_req_rdata_valid); +assign litedramcore_bankmachine7_req_lock = (litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine7_cmd_buffer_source_valid); +assign litedramcore_bankmachine7_row_hit = (litedramcore_bankmachine7_row == litedramcore_bankmachine7_cmd_buffer_source_payload_addr[22:7]); +assign litedramcore_bankmachine7_cmd_payload_ba = 3'd7; +always @(*) begin + litedramcore_bankmachine7_cmd_payload_a <= 16'd0; + if (litedramcore_bankmachine7_row_col_n_addr_sel) begin + litedramcore_bankmachine7_cmd_payload_a <= litedramcore_bankmachine7_cmd_buffer_source_payload_addr[22:7]; + end else begin + litedramcore_bankmachine7_cmd_payload_a <= ((litedramcore_bankmachine7_auto_precharge <<< 4'd10) | {litedramcore_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine7_twtpcon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_cmd_payload_is_write); +assign litedramcore_bankmachine7_trccon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_row_open); +assign litedramcore_bankmachine7_trascon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_row_open); +always @(*) begin + litedramcore_bankmachine7_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine7_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr[22:7] != litedramcore_bankmachine7_cmd_buffer_source_payload_addr[22:7])) begin + litedramcore_bankmachine7_auto_precharge <= (litedramcore_bankmachine7_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_first = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_last = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine7_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine7_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine7_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | litedramcore_bankmachine7_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine7_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine7_cmd_buffer_sink_ready = ((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready); always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine7_next_state <= 4'd0; + litedramcore_bankmachine7_next_state <= litedramcore_bankmachine7_state; + case (litedramcore_bankmachine7_state) 1'd1: begin + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + if (litedramcore_bankmachine7_cmd_ready) begin + litedramcore_bankmachine7_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine7_trccon_ready) begin + if (litedramcore_bankmachine7_cmd_ready) begin + litedramcore_bankmachine7_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine7_refresh_req)) begin + litedramcore_bankmachine7_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine7_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine7_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine7_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine7_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin + litedramcore_bankmachine7_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine6_cmd_payload_is_read <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if ((litedramcore_bankmachine7_cmd_ready & litedramcore_bankmachine7_auto_precharge)) begin + litedramcore_bankmachine7_next_state <= 2'd2; end end else begin + litedramcore_bankmachine7_next_state <= 1'd1; end end else begin + litedramcore_bankmachine7_next_state <= 2'd3; end end end @@ -8043,8 +8665,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8062,14 +8684,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin end else begin + litedramcore_bankmachine7_cmd_payload_is_read <= 1'd1; end end else begin end @@ -8081,8 +8703,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_req_wdata_ready <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8100,13 +8722,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_req_wdata_ready <= main_litedramcore_bankmachine6_cmd_ready; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -8118,127 +8740,38 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine7_req_valid; -assign main_litedramcore_bankmachine7_req_ready = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine7_req_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine7_req_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_valid = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine7_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_source_ready = (main_litedramcore_bankmachine7_req_wdata_ready | main_litedramcore_bankmachine7_req_rdata_valid); -assign main_litedramcore_bankmachine7_req_lock = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine7_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine7_row_hit = (main_litedramcore_bankmachine7_row == main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[22:7]); -assign main_litedramcore_bankmachine7_cmd_payload_ba = 3'd7; -always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_a <= 16'd0; - if (main_litedramcore_bankmachine7_row_col_n_addr_sel) begin - main_litedramcore_bankmachine7_cmd_payload_a <= main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[22:7]; - end else begin - main_litedramcore_bankmachine7_cmd_payload_a <= ((main_litedramcore_bankmachine7_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine7_twtpcon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_cmd_payload_is_write); -assign main_litedramcore_bankmachine7_trccon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_row_open); -assign main_litedramcore_bankmachine7_trascon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_row_open); -always @(*) begin - main_litedramcore_bankmachine7_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine7_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr[22:7] != main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[22:7])) begin - main_litedramcore_bankmachine7_auto_precharge <= (main_litedramcore_bankmachine7_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine7_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine7_cmd_buffer_source_valid) | main_litedramcore_bankmachine7_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine7_next_state <= 4'd0; - builder_bankmachine7_next_state <= builder_bankmachine7_state; - case (builder_bankmachine7_state) - 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - if (main_litedramcore_bankmachine7_cmd_ready) begin - builder_bankmachine7_next_state <= 3'd5; - end - end +always @(*) begin + litedramcore_bankmachine7_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine7_state) + 1'd1: begin end 2'd2: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - builder_bankmachine7_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - if (main_litedramcore_bankmachine7_cmd_ready) begin - builder_bankmachine7_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine7_refresh_req)) begin - builder_bankmachine7_next_state <= 1'd0; - end end 3'd5: begin - builder_bankmachine7_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine7_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine7_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine7_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - builder_bankmachine7_next_state <= 3'd4; + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if ((main_litedramcore_bankmachine7_cmd_ready & main_litedramcore_bankmachine7_auto_precharge)) begin - builder_bankmachine7_next_state <= 2'd2; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_req_wdata_ready <= litedramcore_bankmachine7_cmd_ready; + end else begin end end else begin - builder_bankmachine7_next_state <= 1'd1; end end else begin - builder_bankmachine7_next_state <= 2'd3; end end end @@ -8246,8 +8779,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_req_rdata_valid <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8265,14 +8798,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine7_req_rdata_valid <= main_litedramcore_bankmachine7_cmd_ready; + litedramcore_bankmachine7_req_rdata_valid <= litedramcore_bankmachine7_cmd_ready; end end else begin end @@ -8284,8 +8817,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_refresh_gnt <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8293,8 +8826,8 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine7_twtpcon_ready) begin - main_litedramcore_bankmachine7_refresh_gnt <= 1'd1; + if (litedramcore_bankmachine7_twtpcon_ready) begin + litedramcore_bankmachine7_refresh_gnt <= 1'd1; end end 3'd5: begin @@ -8310,18 +8843,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_valid <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; end end 3'd4: begin @@ -8335,12 +8868,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; end else begin end end else begin @@ -8351,15 +8884,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_row_open <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_row_open <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -8377,76 +8910,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_row_close <= 1'd0; - case (builder_bankmachine7_state) - 1'd1: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; - end - 2'd2: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; - end - 2'd3: begin - end - 3'd4: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_cas <= 1'd0; - case (builder_bankmachine7_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - main_litedramcore_bankmachine7_cmd_payload_cas <= 1'd1; - end else begin - end - end else begin - end - end - end - end - endcase -end -always @(*) begin - main_litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_open <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_row_open <= 1'd1; end end 3'd4: begin @@ -8464,21 +8936,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_close <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; - end + litedramcore_bankmachine7_row_close <= 1'd1; end 2'd2: begin + litedramcore_bankmachine7_row_close <= 1'd1; end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; - end end 3'd4: begin + litedramcore_bankmachine7_row_close <= 1'd1; end 3'd5: begin end @@ -8493,12 +8962,9 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd1; - end end 2'd2: begin end @@ -8515,15 +8981,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd1; - end else begin - end + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + litedramcore_bankmachine7_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -8534,22 +8997,21 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -8564,48 +9026,13 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine7_cmd_payload_is_read <= 1'd1; - end - end else begin - end - end else begin - end - end + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_we <= 1'd1; end end - endcase -end -always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine7_state) - 1'd1: begin - end 2'd2: begin end 2'd3: begin @@ -8621,13 +9048,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -8640,15 +9067,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_req_wdata_ready <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; + end end 3'd4: begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -8659,284 +9093,269 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_req_wdata_ready <= main_litedramcore_bankmachine7_cmd_ready; - end else begin - end - end else begin - end - end else begin - end - end - end end endcase end -assign main_litedramcore_rdcmdphase = (main_a7ddrphy_rdphase_storage - 1'd1); -assign main_litedramcore_wrcmdphase = (main_a7ddrphy_wrphase_storage - 1'd1); -assign main_litedramcore_trrdcon_valid = ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & ((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))); -assign main_litedramcore_tfawcon_valid = ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & ((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))); -assign main_litedramcore_ras_allowed = (main_litedramcore_trrdcon_ready & main_litedramcore_tfawcon_ready); -assign main_litedramcore_tccdcon_valid = ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_cmd_payload_is_write | main_litedramcore_choose_req_cmd_payload_is_read)); -assign main_litedramcore_cas_allowed = main_litedramcore_tccdcon_ready; -assign main_litedramcore_twtrcon_valid = ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); -assign main_litedramcore_read_available = ((((((((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_payload_is_read) | (main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_payload_is_read)) | (main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_payload_is_read)) | (main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_payload_is_read)) | (main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_payload_is_read)) | (main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_payload_is_read)) | (main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_payload_is_read)) | (main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_payload_is_read)); -assign main_litedramcore_write_available = ((((((((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_payload_is_write) | (main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_payload_is_write)) | (main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_payload_is_write)) | (main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_payload_is_write)) | (main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_payload_is_write)) | (main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_payload_is_write)) | (main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_payload_is_write)) | (main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_payload_is_write)); -assign main_litedramcore_max_time0 = (main_litedramcore_time0 == 1'd0); -assign main_litedramcore_max_time1 = (main_litedramcore_time1 == 1'd0); -assign main_litedramcore_bankmachine0_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine1_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine2_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine3_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine4_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine5_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine6_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine7_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_go_to_refresh = (((((((main_litedramcore_bankmachine0_refresh_gnt & main_litedramcore_bankmachine1_refresh_gnt) & main_litedramcore_bankmachine2_refresh_gnt) & main_litedramcore_bankmachine3_refresh_gnt) & main_litedramcore_bankmachine4_refresh_gnt) & main_litedramcore_bankmachine5_refresh_gnt) & main_litedramcore_bankmachine6_refresh_gnt) & main_litedramcore_bankmachine7_refresh_gnt); -assign main_litedramcore_interface_rdata = {main_litedramcore_dfi_p3_rddata, main_litedramcore_dfi_p2_rddata, main_litedramcore_dfi_p1_rddata, main_litedramcore_dfi_p0_rddata}; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); +assign litedramcore_rdcmdphase = (a7ddrphy_rdphase_storage - 1'd1); +assign litedramcore_wrcmdphase = (a7ddrphy_wrphase_storage - 1'd1); +assign litedramcore_trrdcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))); +assign litedramcore_tfawcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))); +assign litedramcore_ras_allowed = (litedramcore_trrdcon_ready & litedramcore_tfawcon_ready); +assign litedramcore_tccdcon_valid = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_cmd_payload_is_write | litedramcore_choose_req_cmd_payload_is_read)); +assign litedramcore_cas_allowed = litedramcore_tccdcon_ready; +assign litedramcore_twtrcon_valid = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); +assign litedramcore_read_available = ((((((((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_payload_is_read) | (litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_payload_is_read)) | (litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_payload_is_read)) | (litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_payload_is_read)) | (litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_payload_is_read)) | (litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_payload_is_read)) | (litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_payload_is_read)) | (litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_payload_is_read)); +assign litedramcore_write_available = ((((((((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_payload_is_write) | (litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_payload_is_write)) | (litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_payload_is_write)) | (litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_payload_is_write)) | (litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_payload_is_write)) | (litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_payload_is_write)) | (litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_payload_is_write)) | (litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_payload_is_write)); +assign litedramcore_max_time0 = (litedramcore_time0 == 1'd0); +assign litedramcore_max_time1 = (litedramcore_time1 == 1'd0); +assign litedramcore_bankmachine0_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine1_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine2_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine3_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine4_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine5_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine6_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine7_refresh_req = litedramcore_cmd_valid; +assign litedramcore_go_to_refresh = (((((((litedramcore_bankmachine0_refresh_gnt & litedramcore_bankmachine1_refresh_gnt) & litedramcore_bankmachine2_refresh_gnt) & litedramcore_bankmachine3_refresh_gnt) & litedramcore_bankmachine4_refresh_gnt) & litedramcore_bankmachine5_refresh_gnt) & litedramcore_bankmachine6_refresh_gnt) & litedramcore_bankmachine7_refresh_gnt); +assign litedramcore_interface_rdata = {litedramcore_dfi_p3_rddata, litedramcore_dfi_p2_rddata, litedramcore_dfi_p1_rddata, litedramcore_dfi_p0_rddata}; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); always @(*) begin - main_litedramcore_choose_cmd_valids <= 8'd0; - main_litedramcore_choose_cmd_valids[0] <= (main_litedramcore_bankmachine0_cmd_valid & (((main_litedramcore_bankmachine0_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine0_cmd_payload_ras & (~main_litedramcore_bankmachine0_cmd_payload_cas)) & (~main_litedramcore_bankmachine0_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine0_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine0_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[1] <= (main_litedramcore_bankmachine1_cmd_valid & (((main_litedramcore_bankmachine1_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine1_cmd_payload_ras & (~main_litedramcore_bankmachine1_cmd_payload_cas)) & (~main_litedramcore_bankmachine1_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine1_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine1_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[2] <= (main_litedramcore_bankmachine2_cmd_valid & (((main_litedramcore_bankmachine2_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine2_cmd_payload_ras & (~main_litedramcore_bankmachine2_cmd_payload_cas)) & (~main_litedramcore_bankmachine2_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine2_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine2_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[3] <= (main_litedramcore_bankmachine3_cmd_valid & (((main_litedramcore_bankmachine3_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine3_cmd_payload_ras & (~main_litedramcore_bankmachine3_cmd_payload_cas)) & (~main_litedramcore_bankmachine3_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine3_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine3_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[4] <= (main_litedramcore_bankmachine4_cmd_valid & (((main_litedramcore_bankmachine4_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine4_cmd_payload_ras & (~main_litedramcore_bankmachine4_cmd_payload_cas)) & (~main_litedramcore_bankmachine4_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine4_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine4_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[5] <= (main_litedramcore_bankmachine5_cmd_valid & (((main_litedramcore_bankmachine5_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine5_cmd_payload_ras & (~main_litedramcore_bankmachine5_cmd_payload_cas)) & (~main_litedramcore_bankmachine5_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine5_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine5_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[6] <= (main_litedramcore_bankmachine6_cmd_valid & (((main_litedramcore_bankmachine6_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine6_cmd_payload_ras & (~main_litedramcore_bankmachine6_cmd_payload_cas)) & (~main_litedramcore_bankmachine6_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine6_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine6_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[7] <= (main_litedramcore_bankmachine7_cmd_valid & (((main_litedramcore_bankmachine7_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine7_cmd_payload_ras & (~main_litedramcore_bankmachine7_cmd_payload_cas)) & (~main_litedramcore_bankmachine7_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine7_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine7_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids <= 8'd0; + litedramcore_choose_cmd_valids[0] <= (litedramcore_bankmachine0_cmd_valid & (((litedramcore_bankmachine0_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine0_cmd_payload_ras & (~litedramcore_bankmachine0_cmd_payload_cas)) & (~litedramcore_bankmachine0_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine0_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine0_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[1] <= (litedramcore_bankmachine1_cmd_valid & (((litedramcore_bankmachine1_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine1_cmd_payload_ras & (~litedramcore_bankmachine1_cmd_payload_cas)) & (~litedramcore_bankmachine1_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine1_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine1_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[2] <= (litedramcore_bankmachine2_cmd_valid & (((litedramcore_bankmachine2_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine2_cmd_payload_ras & (~litedramcore_bankmachine2_cmd_payload_cas)) & (~litedramcore_bankmachine2_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine2_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine2_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[3] <= (litedramcore_bankmachine3_cmd_valid & (((litedramcore_bankmachine3_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine3_cmd_payload_ras & (~litedramcore_bankmachine3_cmd_payload_cas)) & (~litedramcore_bankmachine3_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine3_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine3_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[4] <= (litedramcore_bankmachine4_cmd_valid & (((litedramcore_bankmachine4_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine4_cmd_payload_ras & (~litedramcore_bankmachine4_cmd_payload_cas)) & (~litedramcore_bankmachine4_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine4_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine4_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[5] <= (litedramcore_bankmachine5_cmd_valid & (((litedramcore_bankmachine5_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine5_cmd_payload_ras & (~litedramcore_bankmachine5_cmd_payload_cas)) & (~litedramcore_bankmachine5_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine5_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine5_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[6] <= (litedramcore_bankmachine6_cmd_valid & (((litedramcore_bankmachine6_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine6_cmd_payload_ras & (~litedramcore_bankmachine6_cmd_payload_cas)) & (~litedramcore_bankmachine6_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine6_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine6_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[7] <= (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); end -assign main_litedramcore_choose_cmd_request = main_litedramcore_choose_cmd_valids; -assign main_litedramcore_choose_cmd_cmd_valid = builder_rhs_array_muxed0; -assign main_litedramcore_choose_cmd_cmd_payload_a = builder_rhs_array_muxed1; -assign main_litedramcore_choose_cmd_cmd_payload_ba = builder_rhs_array_muxed2; -assign main_litedramcore_choose_cmd_cmd_payload_is_read = builder_rhs_array_muxed3; -assign main_litedramcore_choose_cmd_cmd_payload_is_write = builder_rhs_array_muxed4; -assign main_litedramcore_choose_cmd_cmd_payload_is_cmd = builder_rhs_array_muxed5; +assign litedramcore_choose_cmd_request = litedramcore_choose_cmd_valids; +assign litedramcore_choose_cmd_cmd_valid = rhs_array_muxed0; +assign litedramcore_choose_cmd_cmd_payload_a = rhs_array_muxed1; +assign litedramcore_choose_cmd_cmd_payload_ba = rhs_array_muxed2; +assign litedramcore_choose_cmd_cmd_payload_is_read = rhs_array_muxed3; +assign litedramcore_choose_cmd_cmd_payload_is_write = rhs_array_muxed4; +assign litedramcore_choose_cmd_cmd_payload_is_cmd = rhs_array_muxed5; always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_cas <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_cas <= builder_t_array_muxed0; + litedramcore_choose_cmd_cmd_payload_cas <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_cas <= t_array_muxed0; end end always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_ras <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_ras <= builder_t_array_muxed1; + litedramcore_choose_cmd_cmd_payload_ras <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_ras <= t_array_muxed1; end end always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_we <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_we <= builder_t_array_muxed2; + litedramcore_choose_cmd_cmd_payload_we <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_we <= t_array_muxed2; end end always @(*) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 1'd0))) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd1; + litedramcore_bankmachine0_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 1'd0))) begin + litedramcore_bankmachine0_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 1'd0))) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 1'd0))) begin + litedramcore_bankmachine0_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 1'd1))) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd1; + litedramcore_bankmachine1_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 1'd1))) begin + litedramcore_bankmachine1_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 1'd1))) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 1'd1))) begin + litedramcore_bankmachine1_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 2'd2))) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd1; + litedramcore_bankmachine2_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 2'd2))) begin + litedramcore_bankmachine2_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 2'd2))) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 2'd2))) begin + litedramcore_bankmachine2_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 2'd3))) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd1; + litedramcore_bankmachine3_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 2'd3))) begin + litedramcore_bankmachine3_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 2'd3))) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 2'd3))) begin + litedramcore_bankmachine3_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd4))) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd1; + litedramcore_bankmachine4_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd4))) begin + litedramcore_bankmachine4_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd4))) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd4))) begin + litedramcore_bankmachine4_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd5))) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd1; + litedramcore_bankmachine5_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd5))) begin + litedramcore_bankmachine5_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd5))) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd5))) begin + litedramcore_bankmachine5_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd6))) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd1; + litedramcore_bankmachine6_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd6))) begin + litedramcore_bankmachine6_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd6))) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd6))) begin + litedramcore_bankmachine6_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd7))) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd1; + litedramcore_bankmachine7_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd7))) begin + litedramcore_bankmachine7_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd7))) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd7))) begin + litedramcore_bankmachine7_cmd_ready <= 1'd1; end end -assign main_litedramcore_choose_cmd_ce = (main_litedramcore_choose_cmd_cmd_ready | (~main_litedramcore_choose_cmd_cmd_valid)); +assign litedramcore_choose_cmd_ce = (litedramcore_choose_cmd_cmd_ready | (~litedramcore_choose_cmd_cmd_valid)); always @(*) begin - main_litedramcore_choose_req_valids <= 8'd0; - main_litedramcore_choose_req_valids[0] <= (main_litedramcore_bankmachine0_cmd_valid & (((main_litedramcore_bankmachine0_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine0_cmd_payload_ras & (~main_litedramcore_bankmachine0_cmd_payload_cas)) & (~main_litedramcore_bankmachine0_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine0_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine0_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[1] <= (main_litedramcore_bankmachine1_cmd_valid & (((main_litedramcore_bankmachine1_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine1_cmd_payload_ras & (~main_litedramcore_bankmachine1_cmd_payload_cas)) & (~main_litedramcore_bankmachine1_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine1_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine1_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[2] <= (main_litedramcore_bankmachine2_cmd_valid & (((main_litedramcore_bankmachine2_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine2_cmd_payload_ras & (~main_litedramcore_bankmachine2_cmd_payload_cas)) & (~main_litedramcore_bankmachine2_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine2_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine2_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[3] <= (main_litedramcore_bankmachine3_cmd_valid & (((main_litedramcore_bankmachine3_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine3_cmd_payload_ras & (~main_litedramcore_bankmachine3_cmd_payload_cas)) & (~main_litedramcore_bankmachine3_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine3_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine3_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[4] <= (main_litedramcore_bankmachine4_cmd_valid & (((main_litedramcore_bankmachine4_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine4_cmd_payload_ras & (~main_litedramcore_bankmachine4_cmd_payload_cas)) & (~main_litedramcore_bankmachine4_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine4_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine4_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[5] <= (main_litedramcore_bankmachine5_cmd_valid & (((main_litedramcore_bankmachine5_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine5_cmd_payload_ras & (~main_litedramcore_bankmachine5_cmd_payload_cas)) & (~main_litedramcore_bankmachine5_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine5_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine5_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[6] <= (main_litedramcore_bankmachine6_cmd_valid & (((main_litedramcore_bankmachine6_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine6_cmd_payload_ras & (~main_litedramcore_bankmachine6_cmd_payload_cas)) & (~main_litedramcore_bankmachine6_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine6_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine6_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[7] <= (main_litedramcore_bankmachine7_cmd_valid & (((main_litedramcore_bankmachine7_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine7_cmd_payload_ras & (~main_litedramcore_bankmachine7_cmd_payload_cas)) & (~main_litedramcore_bankmachine7_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine7_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine7_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids <= 8'd0; + litedramcore_choose_req_valids[0] <= (litedramcore_bankmachine0_cmd_valid & (((litedramcore_bankmachine0_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine0_cmd_payload_ras & (~litedramcore_bankmachine0_cmd_payload_cas)) & (~litedramcore_bankmachine0_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine0_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine0_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[1] <= (litedramcore_bankmachine1_cmd_valid & (((litedramcore_bankmachine1_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine1_cmd_payload_ras & (~litedramcore_bankmachine1_cmd_payload_cas)) & (~litedramcore_bankmachine1_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine1_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine1_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[2] <= (litedramcore_bankmachine2_cmd_valid & (((litedramcore_bankmachine2_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine2_cmd_payload_ras & (~litedramcore_bankmachine2_cmd_payload_cas)) & (~litedramcore_bankmachine2_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine2_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine2_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[3] <= (litedramcore_bankmachine3_cmd_valid & (((litedramcore_bankmachine3_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine3_cmd_payload_ras & (~litedramcore_bankmachine3_cmd_payload_cas)) & (~litedramcore_bankmachine3_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine3_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine3_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[4] <= (litedramcore_bankmachine4_cmd_valid & (((litedramcore_bankmachine4_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine4_cmd_payload_ras & (~litedramcore_bankmachine4_cmd_payload_cas)) & (~litedramcore_bankmachine4_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine4_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine4_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[5] <= (litedramcore_bankmachine5_cmd_valid & (((litedramcore_bankmachine5_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine5_cmd_payload_ras & (~litedramcore_bankmachine5_cmd_payload_cas)) & (~litedramcore_bankmachine5_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine5_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine5_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[6] <= (litedramcore_bankmachine6_cmd_valid & (((litedramcore_bankmachine6_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine6_cmd_payload_ras & (~litedramcore_bankmachine6_cmd_payload_cas)) & (~litedramcore_bankmachine6_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine6_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine6_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[7] <= (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); end -assign main_litedramcore_choose_req_request = main_litedramcore_choose_req_valids; -assign main_litedramcore_choose_req_cmd_valid = builder_rhs_array_muxed6; -assign main_litedramcore_choose_req_cmd_payload_a = builder_rhs_array_muxed7; -assign main_litedramcore_choose_req_cmd_payload_ba = builder_rhs_array_muxed8; -assign main_litedramcore_choose_req_cmd_payload_is_read = builder_rhs_array_muxed9; -assign main_litedramcore_choose_req_cmd_payload_is_write = builder_rhs_array_muxed10; -assign main_litedramcore_choose_req_cmd_payload_is_cmd = builder_rhs_array_muxed11; +assign litedramcore_choose_req_request = litedramcore_choose_req_valids; +assign litedramcore_choose_req_cmd_valid = rhs_array_muxed6; +assign litedramcore_choose_req_cmd_payload_a = rhs_array_muxed7; +assign litedramcore_choose_req_cmd_payload_ba = rhs_array_muxed8; +assign litedramcore_choose_req_cmd_payload_is_read = rhs_array_muxed9; +assign litedramcore_choose_req_cmd_payload_is_write = rhs_array_muxed10; +assign litedramcore_choose_req_cmd_payload_is_cmd = rhs_array_muxed11; always @(*) begin - main_litedramcore_choose_req_cmd_payload_cas <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_cas <= builder_t_array_muxed3; + litedramcore_choose_req_cmd_payload_cas <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_cas <= t_array_muxed3; end end always @(*) begin - main_litedramcore_choose_req_cmd_payload_ras <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_ras <= builder_t_array_muxed4; + litedramcore_choose_req_cmd_payload_ras <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_ras <= t_array_muxed4; end end always @(*) begin - main_litedramcore_choose_req_cmd_payload_we <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_we <= builder_t_array_muxed5; + litedramcore_choose_req_cmd_payload_we <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_we <= t_array_muxed5; end end -assign main_litedramcore_choose_req_ce = (main_litedramcore_choose_req_cmd_ready | (~main_litedramcore_choose_req_cmd_valid)); -assign main_litedramcore_dfi_p0_reset_n = 1'd1; -assign main_litedramcore_dfi_p0_cke = {1{main_litedramcore_steerer0}}; -assign main_litedramcore_dfi_p0_odt = {1{main_litedramcore_steerer1}}; -assign main_litedramcore_dfi_p1_reset_n = 1'd1; -assign main_litedramcore_dfi_p1_cke = {1{main_litedramcore_steerer2}}; -assign main_litedramcore_dfi_p1_odt = {1{main_litedramcore_steerer3}}; -assign main_litedramcore_dfi_p2_reset_n = 1'd1; -assign main_litedramcore_dfi_p2_cke = {1{main_litedramcore_steerer4}}; -assign main_litedramcore_dfi_p2_odt = {1{main_litedramcore_steerer5}}; -assign main_litedramcore_dfi_p3_reset_n = 1'd1; -assign main_litedramcore_dfi_p3_cke = {1{main_litedramcore_steerer6}}; -assign main_litedramcore_dfi_p3_odt = {1{main_litedramcore_steerer7}}; -assign main_litedramcore_tfawcon_count = ((((main_litedramcore_tfawcon_window[0] + main_litedramcore_tfawcon_window[1]) + main_litedramcore_tfawcon_window[2]) + main_litedramcore_tfawcon_window[3]) + main_litedramcore_tfawcon_window[4]); +assign litedramcore_choose_req_ce = (litedramcore_choose_req_cmd_ready | (~litedramcore_choose_req_cmd_valid)); +assign litedramcore_dfi_p0_reset_n = 1'd1; +assign litedramcore_dfi_p0_cke = {1{litedramcore_steerer0}}; +assign litedramcore_dfi_p0_odt = {1{litedramcore_steerer1}}; +assign litedramcore_dfi_p1_reset_n = 1'd1; +assign litedramcore_dfi_p1_cke = {1{litedramcore_steerer2}}; +assign litedramcore_dfi_p1_odt = {1{litedramcore_steerer3}}; +assign litedramcore_dfi_p2_reset_n = 1'd1; +assign litedramcore_dfi_p2_cke = {1{litedramcore_steerer4}}; +assign litedramcore_dfi_p2_odt = {1{litedramcore_steerer5}}; +assign litedramcore_dfi_p3_reset_n = 1'd1; +assign litedramcore_dfi_p3_cke = {1{litedramcore_steerer6}}; +assign litedramcore_dfi_p3_odt = {1{litedramcore_steerer7}}; +assign litedramcore_tfawcon_count = ((((litedramcore_tfawcon_window[0] + litedramcore_tfawcon_window[1]) + litedramcore_tfawcon_window[2]) + litedramcore_tfawcon_window[3]) + litedramcore_tfawcon_window[4]); always @(*) begin - builder_multiplexer_next_state <= 4'd0; - builder_multiplexer_next_state <= builder_multiplexer_state; - case (builder_multiplexer_state) + litedramcore_multiplexer_next_state <= 4'd0; + litedramcore_multiplexer_next_state <= litedramcore_multiplexer_state; + case (litedramcore_multiplexer_state) 1'd1: begin - if (main_litedramcore_read_available) begin - if (((~main_litedramcore_write_available) | main_litedramcore_max_time1)) begin - builder_multiplexer_next_state <= 2'd3; + if (litedramcore_read_available) begin + if (((~litedramcore_write_available) | litedramcore_max_time1)) begin + litedramcore_multiplexer_next_state <= 2'd3; end end - if (main_litedramcore_go_to_refresh) begin - builder_multiplexer_next_state <= 2'd2; + if (litedramcore_go_to_refresh) begin + litedramcore_multiplexer_next_state <= 2'd2; end end 2'd2: begin - if (main_litedramcore_cmd_last) begin - builder_multiplexer_next_state <= 1'd0; + if (litedramcore_cmd_last) begin + litedramcore_multiplexer_next_state <= 1'd0; end end 2'd3: begin - if (main_litedramcore_twtrcon_ready) begin - builder_multiplexer_next_state <= 1'd0; + if (litedramcore_twtrcon_ready) begin + litedramcore_multiplexer_next_state <= 1'd0; end end 3'd4: begin - builder_multiplexer_next_state <= 3'd5; + litedramcore_multiplexer_next_state <= 3'd5; end 3'd5: begin - builder_multiplexer_next_state <= 3'd6; + litedramcore_multiplexer_next_state <= 3'd6; end 3'd6: begin - builder_multiplexer_next_state <= 3'd7; + litedramcore_multiplexer_next_state <= 3'd7; end 3'd7: begin - builder_multiplexer_next_state <= 4'd8; + litedramcore_multiplexer_next_state <= 4'd8; end 4'd8: begin - builder_multiplexer_next_state <= 4'd9; + litedramcore_multiplexer_next_state <= 4'd9; end 4'd9: begin - builder_multiplexer_next_state <= 4'd10; + litedramcore_multiplexer_next_state <= 4'd10; end 4'd10: begin - builder_multiplexer_next_state <= 1'd1; + litedramcore_multiplexer_next_state <= 1'd1; end default: begin - if (main_litedramcore_write_available) begin - if (((~main_litedramcore_read_available) | main_litedramcore_max_time0)) begin - builder_multiplexer_next_state <= 3'd4; + if (litedramcore_write_available) begin + if (((~litedramcore_read_available) | litedramcore_max_time0)) begin + litedramcore_multiplexer_next_state <= 3'd4; end end - if (main_litedramcore_go_to_refresh) begin - builder_multiplexer_next_state <= 2'd2; + if (litedramcore_go_to_refresh) begin + litedramcore_multiplexer_next_state <= 2'd2; end end endcase end always @(*) begin - main_litedramcore_steerer_sel0 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel0 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel0 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 2'd2; + litedramcore_steerer_sel0 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 1'd0)) begin + litedramcore_steerer_sel0 <= 2'd2; end - if ((main_litedramcore_wrcmdphase == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 1'd1; + if ((litedramcore_wrcmdphase == 1'd0)) begin + litedramcore_steerer_sel0 <= 1'd1; end end 2'd2: begin - main_litedramcore_steerer_sel0 <= 2'd3; + litedramcore_steerer_sel0 <= 2'd3; end 2'd3: begin end @@ -8955,23 +9374,23 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel0 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 2'd2; + litedramcore_steerer_sel0 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 1'd0)) begin + litedramcore_steerer_sel0 <= 2'd2; end - if ((main_litedramcore_rdcmdphase == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 1'd1; + if ((litedramcore_rdcmdphase == 1'd0)) begin + litedramcore_steerer_sel0 <= 1'd1; end end endcase end always @(*) begin - main_litedramcore_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin end 2'd2: begin - main_litedramcore_cmd_ready <= 1'd1; + litedramcore_cmd_ready <= 1'd1; end 2'd3: begin end @@ -8994,15 +9413,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_steerer_sel1 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel1 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel1 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 2'd2; + litedramcore_steerer_sel1 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 1'd1)) begin + litedramcore_steerer_sel1 <= 2'd2; end - if ((main_litedramcore_wrcmdphase == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 1'd1; + if ((litedramcore_wrcmdphase == 1'd1)) begin + litedramcore_steerer_sel1 <= 1'd1; end end 2'd2: begin @@ -9024,26 +9443,26 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel1 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 2'd2; + litedramcore_steerer_sel1 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 1'd1)) begin + litedramcore_steerer_sel1 <= 2'd2; end - if ((main_litedramcore_rdcmdphase == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 1'd1; + if ((litedramcore_rdcmdphase == 1'd1)) begin + litedramcore_steerer_sel1 <= 1'd1; end end endcase end always @(*) begin - main_litedramcore_steerer_sel2 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel2 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel2 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 2'd2; + litedramcore_steerer_sel2 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 2'd2)) begin + litedramcore_steerer_sel2 <= 2'd2; end - if ((main_litedramcore_wrcmdphase == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 1'd1; + if ((litedramcore_wrcmdphase == 2'd2)) begin + litedramcore_steerer_sel2 <= 1'd1; end end 2'd2: begin @@ -9065,23 +9484,23 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel2 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 2'd2; + litedramcore_steerer_sel2 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 2'd2)) begin + litedramcore_steerer_sel2 <= 2'd2; end - if ((main_litedramcore_rdcmdphase == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 1'd1; + if ((litedramcore_rdcmdphase == 2'd2)) begin + litedramcore_steerer_sel2 <= 1'd1; end end endcase end always @(*) begin - main_litedramcore_choose_cmd_want_activates <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_cmd_want_activates <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin if (1'd0) begin end else begin - main_litedramcore_choose_cmd_want_activates <= main_litedramcore_ras_allowed; + litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed; end end 2'd2: begin @@ -9105,21 +9524,21 @@ always @(*) begin default: begin if (1'd0) begin end else begin - main_litedramcore_choose_cmd_want_activates <= main_litedramcore_ras_allowed; + litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed; end end endcase end always @(*) begin - main_litedramcore_steerer_sel3 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel3 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel3 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 2'd2; + litedramcore_steerer_sel3 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 2'd3)) begin + litedramcore_steerer_sel3 <= 2'd2; end - if ((main_litedramcore_wrcmdphase == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 1'd1; + if ((litedramcore_wrcmdphase == 2'd3)) begin + litedramcore_steerer_sel3 <= 1'd1; end end 2'd2: begin @@ -9141,19 +9560,19 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel3 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 2'd2; + litedramcore_steerer_sel3 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 2'd3)) begin + litedramcore_steerer_sel3 <= 2'd2; end - if ((main_litedramcore_rdcmdphase == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 1'd1; + if ((litedramcore_rdcmdphase == 2'd3)) begin + litedramcore_steerer_sel3 <= 1'd1; end end endcase end always @(*) begin - main_litedramcore_en0 <= 1'd0; - case (builder_multiplexer_state) + litedramcore_en0 <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin end 2'd2: begin @@ -9175,17 +9594,17 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_en0 <= 1'd1; + litedramcore_en0 <= 1'd1; end endcase end always @(*) begin - main_litedramcore_choose_cmd_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_cmd_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin if (1'd0) begin end else begin - main_litedramcore_choose_cmd_cmd_ready <= ((~((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))) | main_litedramcore_ras_allowed); + litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed); end end 2'd2: begin @@ -9209,14 +9628,14 @@ always @(*) begin default: begin if (1'd0) begin end else begin - main_litedramcore_choose_cmd_cmd_ready <= ((~((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))) | main_litedramcore_ras_allowed); + litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed); end end endcase end always @(*) begin - main_litedramcore_choose_req_want_reads <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_want_reads <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin end 2'd2: begin @@ -9238,15 +9657,15 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_choose_req_want_reads <= 1'd1; + litedramcore_choose_req_want_reads <= 1'd1; end endcase end always @(*) begin - main_litedramcore_choose_req_want_writes <= 1'd0; - case (builder_multiplexer_state) + litedramcore_en1 <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_choose_req_want_writes <= 1'd1; + litedramcore_en1 <= 1'd1; end 2'd2: begin end @@ -9271,14 +9690,10 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_choose_req_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_want_writes <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - if (1'd0) begin - main_litedramcore_choose_req_cmd_ready <= (main_litedramcore_cas_allowed & ((~((main_litedramcore_choose_req_cmd_payload_ras & (~main_litedramcore_choose_req_cmd_payload_cas)) & (~main_litedramcore_choose_req_cmd_payload_we))) | main_litedramcore_ras_allowed)); - end else begin - main_litedramcore_choose_req_cmd_ready <= main_litedramcore_cas_allowed; - end + litedramcore_choose_req_want_writes <= 1'd1; end 2'd2: begin end @@ -9299,19 +9714,18 @@ always @(*) begin 4'd10: begin end default: begin - if (1'd0) begin - main_litedramcore_choose_req_cmd_ready <= (main_litedramcore_cas_allowed & ((~((main_litedramcore_choose_req_cmd_payload_ras & (~main_litedramcore_choose_req_cmd_payload_cas)) & (~main_litedramcore_choose_req_cmd_payload_we))) | main_litedramcore_ras_allowed)); - end else begin - main_litedramcore_choose_req_cmd_ready <= main_litedramcore_cas_allowed; - end end endcase end always @(*) begin - main_litedramcore_en1 <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_en1 <= 1'd1; + if (1'd0) begin + litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed)); + end else begin + litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed; + end end 2'd2: begin end @@ -9332,1987 +9746,2016 @@ always @(*) begin 4'd10: begin end default: begin + if (1'd0) begin + litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed)); + end else begin + litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed; + end end endcase end -assign builder_roundrobin0_request = {(((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin0_ce = ((~main_litedramcore_interface_bank0_valid) & (~main_litedramcore_interface_bank0_lock)); -assign main_litedramcore_interface_bank0_addr = builder_rhs_array_muxed12; -assign main_litedramcore_interface_bank0_we = builder_rhs_array_muxed13; -assign main_litedramcore_interface_bank0_valid = builder_rhs_array_muxed14; -assign builder_roundrobin1_request = {(((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin1_ce = ((~main_litedramcore_interface_bank1_valid) & (~main_litedramcore_interface_bank1_lock)); -assign main_litedramcore_interface_bank1_addr = builder_rhs_array_muxed15; -assign main_litedramcore_interface_bank1_we = builder_rhs_array_muxed16; -assign main_litedramcore_interface_bank1_valid = builder_rhs_array_muxed17; -assign builder_roundrobin2_request = {(((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin2_ce = ((~main_litedramcore_interface_bank2_valid) & (~main_litedramcore_interface_bank2_lock)); -assign main_litedramcore_interface_bank2_addr = builder_rhs_array_muxed18; -assign main_litedramcore_interface_bank2_we = builder_rhs_array_muxed19; -assign main_litedramcore_interface_bank2_valid = builder_rhs_array_muxed20; -assign builder_roundrobin3_request = {(((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin3_ce = ((~main_litedramcore_interface_bank3_valid) & (~main_litedramcore_interface_bank3_lock)); -assign main_litedramcore_interface_bank3_addr = builder_rhs_array_muxed21; -assign main_litedramcore_interface_bank3_we = builder_rhs_array_muxed22; -assign main_litedramcore_interface_bank3_valid = builder_rhs_array_muxed23; -assign builder_roundrobin4_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin4_ce = ((~main_litedramcore_interface_bank4_valid) & (~main_litedramcore_interface_bank4_lock)); -assign main_litedramcore_interface_bank4_addr = builder_rhs_array_muxed24; -assign main_litedramcore_interface_bank4_we = builder_rhs_array_muxed25; -assign main_litedramcore_interface_bank4_valid = builder_rhs_array_muxed26; -assign builder_roundrobin5_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin5_ce = ((~main_litedramcore_interface_bank5_valid) & (~main_litedramcore_interface_bank5_lock)); -assign main_litedramcore_interface_bank5_addr = builder_rhs_array_muxed27; -assign main_litedramcore_interface_bank5_we = builder_rhs_array_muxed28; -assign main_litedramcore_interface_bank5_valid = builder_rhs_array_muxed29; -assign builder_roundrobin6_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin6_ce = ((~main_litedramcore_interface_bank6_valid) & (~main_litedramcore_interface_bank6_lock)); -assign main_litedramcore_interface_bank6_addr = builder_rhs_array_muxed30; -assign main_litedramcore_interface_bank6_we = builder_rhs_array_muxed31; -assign main_litedramcore_interface_bank6_valid = builder_rhs_array_muxed32; -assign builder_roundrobin7_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin7_ce = ((~main_litedramcore_interface_bank7_valid) & (~main_litedramcore_interface_bank7_lock)); -assign main_litedramcore_interface_bank7_addr = builder_rhs_array_muxed33; -assign main_litedramcore_interface_bank7_we = builder_rhs_array_muxed34; -assign main_litedramcore_interface_bank7_valid = builder_rhs_array_muxed35; -assign main_user_port_cmd_ready = ((((((((1'd0 | (((builder_roundrobin0_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank0_ready)) | (((builder_roundrobin1_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank1_ready)) | (((builder_roundrobin2_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank2_ready)) | (((builder_roundrobin3_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank3_ready)) | (((builder_roundrobin4_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank4_ready)) | (((builder_roundrobin5_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank5_ready)) | (((builder_roundrobin6_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank6_ready)) | (((builder_roundrobin7_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0)))))) & main_litedramcore_interface_bank7_ready)); -assign main_user_port_wdata_ready = builder_new_master_wdata_ready1; -assign main_user_port_rdata_valid = builder_new_master_rdata_valid8; +assign litedramcore_roundrobin0_request = {(((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin0_ce = ((~litedramcore_interface_bank0_valid) & (~litedramcore_interface_bank0_lock)); +assign litedramcore_interface_bank0_addr = rhs_array_muxed12; +assign litedramcore_interface_bank0_we = rhs_array_muxed13; +assign litedramcore_interface_bank0_valid = rhs_array_muxed14; +assign litedramcore_roundrobin1_request = {(((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin1_ce = ((~litedramcore_interface_bank1_valid) & (~litedramcore_interface_bank1_lock)); +assign litedramcore_interface_bank1_addr = rhs_array_muxed15; +assign litedramcore_interface_bank1_we = rhs_array_muxed16; +assign litedramcore_interface_bank1_valid = rhs_array_muxed17; +assign litedramcore_roundrobin2_request = {(((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin2_ce = ((~litedramcore_interface_bank2_valid) & (~litedramcore_interface_bank2_lock)); +assign litedramcore_interface_bank2_addr = rhs_array_muxed18; +assign litedramcore_interface_bank2_we = rhs_array_muxed19; +assign litedramcore_interface_bank2_valid = rhs_array_muxed20; +assign litedramcore_roundrobin3_request = {(((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin3_ce = ((~litedramcore_interface_bank3_valid) & (~litedramcore_interface_bank3_lock)); +assign litedramcore_interface_bank3_addr = rhs_array_muxed21; +assign litedramcore_interface_bank3_we = rhs_array_muxed22; +assign litedramcore_interface_bank3_valid = rhs_array_muxed23; +assign litedramcore_roundrobin4_request = {(((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin4_ce = ((~litedramcore_interface_bank4_valid) & (~litedramcore_interface_bank4_lock)); +assign litedramcore_interface_bank4_addr = rhs_array_muxed24; +assign litedramcore_interface_bank4_we = rhs_array_muxed25; +assign litedramcore_interface_bank4_valid = rhs_array_muxed26; +assign litedramcore_roundrobin5_request = {(((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin5_ce = ((~litedramcore_interface_bank5_valid) & (~litedramcore_interface_bank5_lock)); +assign litedramcore_interface_bank5_addr = rhs_array_muxed27; +assign litedramcore_interface_bank5_we = rhs_array_muxed28; +assign litedramcore_interface_bank5_valid = rhs_array_muxed29; +assign litedramcore_roundrobin6_request = {(((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin6_ce = ((~litedramcore_interface_bank6_valid) & (~litedramcore_interface_bank6_lock)); +assign litedramcore_interface_bank6_addr = rhs_array_muxed30; +assign litedramcore_interface_bank6_we = rhs_array_muxed31; +assign litedramcore_interface_bank6_valid = rhs_array_muxed32; +assign litedramcore_roundrobin7_request = {(((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin7_ce = ((~litedramcore_interface_bank7_valid) & (~litedramcore_interface_bank7_lock)); +assign litedramcore_interface_bank7_addr = rhs_array_muxed33; +assign litedramcore_interface_bank7_we = rhs_array_muxed34; +assign litedramcore_interface_bank7_valid = rhs_array_muxed35; +assign user_port_cmd_ready = ((((((((1'd0 | (((litedramcore_roundrobin0_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank0_ready)) | (((litedramcore_roundrobin1_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank1_ready)) | (((litedramcore_roundrobin2_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank2_ready)) | (((litedramcore_roundrobin3_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank3_ready)) | (((litedramcore_roundrobin4_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank4_ready)) | (((litedramcore_roundrobin5_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank5_ready)) | (((litedramcore_roundrobin6_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank6_ready)) | (((litedramcore_roundrobin7_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0)))))) & litedramcore_interface_bank7_ready)); +assign user_port_wdata_ready = litedramcore_new_master_wdata_ready1; +assign user_port_rdata_valid = litedramcore_new_master_rdata_valid8; always @(*) begin - main_litedramcore_interface_wdata_we <= 16'd0; - case ({builder_new_master_wdata_ready1}) + litedramcore_interface_wdata <= 128'd0; + case ({litedramcore_new_master_wdata_ready1}) 1'd1: begin - main_litedramcore_interface_wdata_we <= main_user_port_wdata_payload_we; + litedramcore_interface_wdata <= user_port_wdata_payload_data; end default: begin - main_litedramcore_interface_wdata_we <= 1'd0; + litedramcore_interface_wdata <= 1'd0; end endcase end always @(*) begin - main_litedramcore_interface_wdata <= 128'd0; - case ({builder_new_master_wdata_ready1}) + litedramcore_interface_wdata_we <= 16'd0; + case ({litedramcore_new_master_wdata_ready1}) 1'd1: begin - main_litedramcore_interface_wdata <= main_user_port_wdata_payload_data; + litedramcore_interface_wdata_we <= user_port_wdata_payload_we; end default: begin - main_litedramcore_interface_wdata <= 1'd0; + litedramcore_interface_wdata_we <= 1'd0; end endcase end -assign main_user_port_rdata_payload_data = main_litedramcore_interface_rdata; -assign builder_roundrobin0_grant = 1'd0; -assign builder_roundrobin1_grant = 1'd0; -assign builder_roundrobin2_grant = 1'd0; -assign builder_roundrobin3_grant = 1'd0; -assign builder_roundrobin4_grant = 1'd0; -assign builder_roundrobin5_grant = 1'd0; -assign builder_roundrobin6_grant = 1'd0; -assign builder_roundrobin7_grant = 1'd0; +assign user_port_rdata_payload_data = litedramcore_interface_rdata; +assign litedramcore_roundrobin0_grant = 1'd0; +assign litedramcore_roundrobin1_grant = 1'd0; +assign litedramcore_roundrobin2_grant = 1'd0; +assign litedramcore_roundrobin3_grant = 1'd0; +assign litedramcore_roundrobin4_grant = 1'd0; +assign litedramcore_roundrobin5_grant = 1'd0; +assign litedramcore_roundrobin6_grant = 1'd0; +assign litedramcore_roundrobin7_grant = 1'd0; always @(*) begin - builder_next_state <= 2'd0; - builder_next_state <= builder_state; - case (builder_state) + litedramcore_next_state <= 2'd0; + litedramcore_next_state <= litedramcore_state; + case (litedramcore_state) 1'd1: begin - builder_next_state <= 2'd2; + litedramcore_next_state <= 2'd2; end 2'd2: begin - builder_next_state <= 1'd0; + litedramcore_next_state <= 1'd0; end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_next_state <= 1'd1; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_next_state <= 1'd1; end end endcase end always @(*) begin - builder_litedramcore_wishbone_dat_r <= 32'd0; - case (builder_state) + litedramcore_adr_next_value1 <= 14'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_adr_next_value1 <= 1'd0; end 2'd2: begin - builder_litedramcore_wishbone_dat_r <= builder_litedramcore_dat_r; end default: begin + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_adr_next_value1 <= litedramcore_wishbone_adr; + end end endcase end always @(*) begin - builder_litedramcore_dat_w_next_value0 <= 32'd0; - case (builder_state) + litedramcore_adr_next_value_ce1 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_adr_next_value_ce1 <= 1'd1; end 2'd2: begin end default: begin - builder_litedramcore_dat_w_next_value0 <= builder_litedramcore_wishbone_dat_w; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_adr_next_value_ce1 <= 1'd1; + end end endcase end always @(*) begin - builder_litedramcore_dat_w_next_value_ce0 <= 1'd0; - case (builder_state) + litedramcore_wishbone_dat_r <= 32'd0; + case (litedramcore_state) 1'd1: begin end 2'd2: begin + litedramcore_wishbone_dat_r <= litedramcore_dat_r; end default: begin - builder_litedramcore_dat_w_next_value_ce0 <= 1'd1; end endcase end always @(*) begin - builder_litedramcore_wishbone_ack <= 1'd0; - case (builder_state) + litedramcore_we_next_value2 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_we_next_value2 <= 1'd0; end 2'd2: begin - builder_litedramcore_wishbone_ack <= 1'd1; end default: begin + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_we_next_value2 <= (litedramcore_wishbone_we & (litedramcore_wishbone_sel != 1'd0)); + end end endcase end always @(*) begin - builder_litedramcore_adr_next_value1 <= 14'd0; - case (builder_state) + litedramcore_we_next_value_ce2 <= 1'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_adr_next_value1 <= 1'd0; + litedramcore_we_next_value_ce2 <= 1'd1; end 2'd2: begin end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_adr_next_value1 <= builder_litedramcore_wishbone_adr; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_we_next_value_ce2 <= 1'd1; end end endcase end always @(*) begin - builder_litedramcore_adr_next_value_ce1 <= 1'd0; - case (builder_state) + litedramcore_wishbone_ack <= 1'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_adr_next_value_ce1 <= 1'd1; end 2'd2: begin + litedramcore_wishbone_ack <= 1'd1; end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_adr_next_value_ce1 <= 1'd1; - end end endcase end always @(*) begin - builder_litedramcore_we_next_value2 <= 1'd0; - case (builder_state) + litedramcore_dat_w_next_value0 <= 32'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_we_next_value2 <= 1'd0; end 2'd2: begin end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_we_next_value2 <= (builder_litedramcore_wishbone_we & (builder_litedramcore_wishbone_sel != 1'd0)); - end + litedramcore_dat_w_next_value0 <= litedramcore_wishbone_dat_w; end endcase end always @(*) begin - builder_litedramcore_we_next_value_ce2 <= 1'd0; - case (builder_state) + litedramcore_dat_w_next_value_ce0 <= 1'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_we_next_value_ce2 <= 1'd1; end 2'd2: begin end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_we_next_value_ce2 <= 1'd1; - end + litedramcore_dat_w_next_value_ce0 <= 1'd1; end endcase end -assign builder_litedramcore_wishbone_adr = main_wb_bus_adr; -assign builder_litedramcore_wishbone_dat_w = main_wb_bus_dat_w; -assign main_wb_bus_dat_r = builder_litedramcore_wishbone_dat_r; -assign builder_litedramcore_wishbone_sel = main_wb_bus_sel; -assign builder_litedramcore_wishbone_cyc = main_wb_bus_cyc; -assign builder_litedramcore_wishbone_stb = main_wb_bus_stb; -assign main_wb_bus_ack = builder_litedramcore_wishbone_ack; -assign builder_litedramcore_wishbone_we = main_wb_bus_we; -assign builder_litedramcore_wishbone_cti = main_wb_bus_cti; -assign builder_litedramcore_wishbone_bte = main_wb_bus_bte; -assign main_wb_bus_err = builder_litedramcore_wishbone_err; -assign builder_csrbank0_sel = (builder_interface0_bank_bus_adr[13:9] == 1'd0); -assign builder_csrbank0_init_done0_r = builder_interface0_bank_bus_dat_w[0]; +assign litedramcore_wishbone_adr = wb_bus_adr; +assign litedramcore_wishbone_dat_w = wb_bus_dat_w; +assign wb_bus_dat_r = litedramcore_wishbone_dat_r; +assign litedramcore_wishbone_sel = wb_bus_sel; +assign litedramcore_wishbone_cyc = wb_bus_cyc; +assign litedramcore_wishbone_stb = wb_bus_stb; +assign wb_bus_ack = litedramcore_wishbone_ack; +assign litedramcore_wishbone_we = wb_bus_we; +assign litedramcore_wishbone_cti = wb_bus_cti; +assign litedramcore_wishbone_bte = wb_bus_bte; +assign wb_bus_err = litedramcore_wishbone_err; +assign csrbank0_sel = (interface0_bank_bus_adr[13:9] == 1'd0); +assign csrbank0_init_done0_r = interface0_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank0_init_done0_re <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank0_init_done0_re <= builder_interface0_bank_bus_we; + csrbank0_init_done0_we <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd0))) begin + csrbank0_init_done0_we <= (~interface0_bank_bus_we); end end always @(*) begin - builder_csrbank0_init_done0_we <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank0_init_done0_we <= (~builder_interface0_bank_bus_we); + csrbank0_init_done0_re <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd0))) begin + csrbank0_init_done0_re <= interface0_bank_bus_we; end end -assign builder_csrbank0_init_error0_r = builder_interface0_bank_bus_dat_w[0]; +assign csrbank0_init_error0_r = interface0_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank0_init_error0_we <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank0_init_error0_we <= (~builder_interface0_bank_bus_we); + csrbank0_init_error0_we <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd1))) begin + csrbank0_init_error0_we <= (~interface0_bank_bus_we); end end always @(*) begin - builder_csrbank0_init_error0_re <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank0_init_error0_re <= builder_interface0_bank_bus_we; + csrbank0_init_error0_re <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd1))) begin + csrbank0_init_error0_re <= interface0_bank_bus_we; end end -assign builder_csrbank0_init_done0_w = main_init_done_storage; -assign builder_csrbank0_init_error0_w = main_init_error_storage; -assign builder_csrbank1_sel = (builder_interface1_bank_bus_adr[13:9] == 1'd1); -assign builder_csrbank1_rst0_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank0_init_done0_w = init_done_storage; +assign csrbank0_init_error0_w = init_error_storage; +assign csrbank1_sel = (interface1_bank_bus_adr[13:9] == 1'd1); +assign csrbank1_rst0_r = interface1_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank1_rst0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank1_rst0_we <= (~builder_interface1_bank_bus_we); + csrbank1_rst0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd0))) begin + csrbank1_rst0_we <= (~interface1_bank_bus_we); end end always @(*) begin - builder_csrbank1_rst0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank1_rst0_re <= builder_interface1_bank_bus_we; + csrbank1_rst0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd0))) begin + csrbank1_rst0_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_half_sys8x_taps0_r = builder_interface1_bank_bus_dat_w[4:0]; +assign csrbank1_dly_sel0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - builder_csrbank1_half_sys8x_taps0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank1_half_sys8x_taps0_re <= builder_interface1_bank_bus_we; + csrbank1_dly_sel0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd1))) begin + csrbank1_dly_sel0_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_half_sys8x_taps0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank1_half_sys8x_taps0_we <= (~builder_interface1_bank_bus_we); + csrbank1_dly_sel0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd1))) begin + csrbank1_dly_sel0_we <= (~interface1_bank_bus_we); end end -assign builder_csrbank1_wlevel_en0_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank1_half_sys8x_taps0_r = interface1_bank_bus_dat_w[4:0]; always @(*) begin - builder_csrbank1_wlevel_en0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd2))) begin - builder_csrbank1_wlevel_en0_re <= builder_interface1_bank_bus_we; + csrbank1_half_sys8x_taps0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd2))) begin + csrbank1_half_sys8x_taps0_we <= (~interface1_bank_bus_we); end end always @(*) begin - builder_csrbank1_wlevel_en0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd2))) begin - builder_csrbank1_wlevel_en0_we <= (~builder_interface1_bank_bus_we); + csrbank1_half_sys8x_taps0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd2))) begin + csrbank1_half_sys8x_taps0_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_wlevel_strobe_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank1_wlevel_en0_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_wlevel_strobe_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd3))) begin - main_a7ddrphy_wlevel_strobe_we <= (~builder_interface1_bank_bus_we); + csrbank1_wlevel_en0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd3))) begin + csrbank1_wlevel_en0_re <= interface1_bank_bus_we; end end always @(*) begin - main_a7ddrphy_wlevel_strobe_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd3))) begin - main_a7ddrphy_wlevel_strobe_re <= builder_interface1_bank_bus_we; + csrbank1_wlevel_en0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd3))) begin + csrbank1_wlevel_en0_we <= (~interface1_bank_bus_we); end end -assign builder_csrbank1_dly_sel0_r = builder_interface1_bank_bus_dat_w[1:0]; +assign a7ddrphy_wlevel_strobe_r = interface1_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank1_dly_sel0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank1_dly_sel0_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_wlevel_strobe_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd4))) begin + a7ddrphy_wlevel_strobe_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_dly_sel0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank1_dly_sel0_re <= builder_interface1_bank_bus_we; + a7ddrphy_wlevel_strobe_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd4))) begin + a7ddrphy_wlevel_strobe_we <= (~interface1_bank_bus_we); end end -assign main_a7ddrphy_rdly_dq_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd5))) begin - main_a7ddrphy_rdly_dq_rst_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd5))) begin + a7ddrphy_rdly_dq_rst_re <= interface1_bank_bus_we; end end always @(*) begin - main_a7ddrphy_rdly_dq_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd5))) begin - main_a7ddrphy_rdly_dq_rst_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd5))) begin + a7ddrphy_rdly_dq_rst_we <= (~interface1_bank_bus_we); end end -assign main_a7ddrphy_rdly_dq_inc_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_inc_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_inc_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd6))) begin - main_a7ddrphy_rdly_dq_inc_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_inc_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd6))) begin + a7ddrphy_rdly_dq_inc_re <= interface1_bank_bus_we; end end always @(*) begin - main_a7ddrphy_rdly_dq_inc_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd6))) begin - main_a7ddrphy_rdly_dq_inc_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_inc_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd6))) begin + a7ddrphy_rdly_dq_inc_we <= (~interface1_bank_bus_we); end end -assign main_a7ddrphy_rdly_dq_bitslip_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_bitslip_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd7))) begin - main_a7ddrphy_rdly_dq_bitslip_rst_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_bitslip_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd7))) begin + a7ddrphy_rdly_dq_bitslip_rst_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd7))) begin - main_a7ddrphy_rdly_dq_bitslip_rst_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_bitslip_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd7))) begin + a7ddrphy_rdly_dq_bitslip_rst_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_rdly_dq_bitslip_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_bitslip_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd8))) begin - main_a7ddrphy_rdly_dq_bitslip_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_bitslip_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd8))) begin + a7ddrphy_rdly_dq_bitslip_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd8))) begin - main_a7ddrphy_rdly_dq_bitslip_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_bitslip_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd8))) begin + a7ddrphy_rdly_dq_bitslip_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_wdly_dq_bitslip_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_wdly_dq_bitslip_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd9))) begin - main_a7ddrphy_wdly_dq_bitslip_rst_re <= builder_interface1_bank_bus_we; + a7ddrphy_wdly_dq_bitslip_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd9))) begin + a7ddrphy_wdly_dq_bitslip_rst_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd9))) begin - main_a7ddrphy_wdly_dq_bitslip_rst_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_wdly_dq_bitslip_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd9))) begin + a7ddrphy_wdly_dq_bitslip_rst_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_wdly_dq_bitslip_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_wdly_dq_bitslip_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd10))) begin - main_a7ddrphy_wdly_dq_bitslip_re <= builder_interface1_bank_bus_we; + a7ddrphy_wdly_dq_bitslip_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd10))) begin + a7ddrphy_wdly_dq_bitslip_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd10))) begin - main_a7ddrphy_wdly_dq_bitslip_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_wdly_dq_bitslip_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd10))) begin + a7ddrphy_wdly_dq_bitslip_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_rdphase0_r = builder_interface1_bank_bus_dat_w[1:0]; +assign csrbank1_rdphase0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - builder_csrbank1_rdphase0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank1_rdphase0_re <= builder_interface1_bank_bus_we; + csrbank1_rdphase0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd11))) begin + csrbank1_rdphase0_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_rdphase0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank1_rdphase0_we <= (~builder_interface1_bank_bus_we); + csrbank1_rdphase0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd11))) begin + csrbank1_rdphase0_we <= (~interface1_bank_bus_we); end end -assign builder_csrbank1_wrphase0_r = builder_interface1_bank_bus_dat_w[1:0]; +assign csrbank1_wrphase0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - builder_csrbank1_wrphase0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank1_wrphase0_we <= (~builder_interface1_bank_bus_we); + csrbank1_wrphase0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd12))) begin + csrbank1_wrphase0_we <= (~interface1_bank_bus_we); end end always @(*) begin - builder_csrbank1_wrphase0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank1_wrphase0_re <= builder_interface1_bank_bus_we; + csrbank1_wrphase0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd12))) begin + csrbank1_wrphase0_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_rst0_w = main_a7ddrphy_rst_storage; -assign builder_csrbank1_half_sys8x_taps0_w = main_a7ddrphy_half_sys8x_taps_storage[4:0]; -assign builder_csrbank1_wlevel_en0_w = main_a7ddrphy_wlevel_en_storage; -assign builder_csrbank1_dly_sel0_w = main_a7ddrphy_dly_sel_storage[1:0]; -assign builder_csrbank1_rdphase0_w = main_a7ddrphy_rdphase_storage[1:0]; -assign builder_csrbank1_wrphase0_w = main_a7ddrphy_wrphase_storage[1:0]; -assign builder_csrbank2_sel = (builder_interface2_bank_bus_adr[13:9] == 2'd2); -assign builder_csrbank2_dfii_control0_r = builder_interface2_bank_bus_dat_w[3:0]; +assign csrbank1_rst0_w = a7ddrphy_rst_storage; +assign csrbank1_dly_sel0_w = a7ddrphy_dly_sel_storage[1:0]; +assign csrbank1_half_sys8x_taps0_w = a7ddrphy_half_sys8x_taps_storage[4:0]; +assign csrbank1_wlevel_en0_w = a7ddrphy_wlevel_en_storage; +assign csrbank1_rdphase0_w = a7ddrphy_rdphase_storage[1:0]; +assign csrbank1_wrphase0_w = a7ddrphy_wrphase_storage[1:0]; +assign csrbank2_sel = (interface2_bank_bus_adr[13:9] == 2'd2); +assign csrbank2_dfii_control0_r = interface2_bank_bus_dat_w[3:0]; always @(*) begin - builder_csrbank2_dfii_control0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank2_dfii_control0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_control0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd0))) begin + csrbank2_dfii_control0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_control0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank2_dfii_control0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_control0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd0))) begin + csrbank2_dfii_control0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi0_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi0_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi0_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank2_dfii_pi0_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd1))) begin + csrbank2_dfii_pi0_command0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank2_dfii_pi0_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd1))) begin + csrbank2_dfii_pi0_command0_re <= interface2_bank_bus_we; end end -assign main_litedramcore_phaseinjector0_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector0_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector0_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd2))) begin - main_litedramcore_phaseinjector0_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector0_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd2))) begin + litedramcore_phaseinjector0_command_issue_we <= (~interface2_bank_bus_we); end end always @(*) begin - main_litedramcore_phaseinjector0_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd2))) begin - main_litedramcore_phaseinjector0_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector0_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd2))) begin + litedramcore_phaseinjector0_command_issue_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi0_address0_r = builder_interface2_bank_bus_dat_w[15:0]; +assign csrbank2_dfii_pi0_address0_r = interface2_bank_bus_dat_w[15:0]; always @(*) begin - builder_csrbank2_dfii_pi0_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd3))) begin - builder_csrbank2_dfii_pi0_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd3))) begin + csrbank2_dfii_pi0_address0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi0_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd3))) begin - builder_csrbank2_dfii_pi0_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd3))) begin + csrbank2_dfii_pi0_address0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi0_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi0_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi0_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank2_dfii_pi0_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd4))) begin + csrbank2_dfii_pi0_baddress0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank2_dfii_pi0_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd4))) begin + csrbank2_dfii_pi0_baddress0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi0_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi0_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi0_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd5))) begin - builder_csrbank2_dfii_pi0_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd5))) begin + csrbank2_dfii_pi0_wrdata0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd5))) begin - builder_csrbank2_dfii_pi0_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd5))) begin + csrbank2_dfii_pi0_wrdata0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi0_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi0_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi0_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd6))) begin - builder_csrbank2_dfii_pi0_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd6))) begin + csrbank2_dfii_pi0_rddata_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi0_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd6))) begin - builder_csrbank2_dfii_pi0_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd6))) begin + csrbank2_dfii_pi0_rddata_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi1_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi1_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi1_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd7))) begin - builder_csrbank2_dfii_pi1_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd7))) begin + csrbank2_dfii_pi1_command0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd7))) begin - builder_csrbank2_dfii_pi1_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd7))) begin + csrbank2_dfii_pi1_command0_re <= interface2_bank_bus_we; end end -assign main_litedramcore_phaseinjector1_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector1_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector1_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd8))) begin - main_litedramcore_phaseinjector1_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector1_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd8))) begin + litedramcore_phaseinjector1_command_issue_re <= interface2_bank_bus_we; end end always @(*) begin - main_litedramcore_phaseinjector1_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd8))) begin - main_litedramcore_phaseinjector1_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector1_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd8))) begin + litedramcore_phaseinjector1_command_issue_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi1_address0_r = builder_interface2_bank_bus_dat_w[15:0]; +assign csrbank2_dfii_pi1_address0_r = interface2_bank_bus_dat_w[15:0]; always @(*) begin - builder_csrbank2_dfii_pi1_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd9))) begin - builder_csrbank2_dfii_pi1_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd9))) begin + csrbank2_dfii_pi1_address0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd9))) begin - builder_csrbank2_dfii_pi1_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd9))) begin + csrbank2_dfii_pi1_address0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi1_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi1_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd10))) begin - builder_csrbank2_dfii_pi1_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd10))) begin + csrbank2_dfii_pi1_baddress0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi1_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd10))) begin - builder_csrbank2_dfii_pi1_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd10))) begin + csrbank2_dfii_pi1_baddress0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi1_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi1_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi1_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank2_dfii_pi1_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd11))) begin + csrbank2_dfii_pi1_wrdata0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank2_dfii_pi1_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd11))) begin + csrbank2_dfii_pi1_wrdata0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi1_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi1_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank2_dfii_pi1_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd12))) begin + csrbank2_dfii_pi1_rddata_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi1_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank2_dfii_pi1_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd12))) begin + csrbank2_dfii_pi1_rddata_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi2_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi2_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd13))) begin - builder_csrbank2_dfii_pi2_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd13))) begin + csrbank2_dfii_pi2_command0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi2_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd13))) begin - builder_csrbank2_dfii_pi2_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd13))) begin + csrbank2_dfii_pi2_command0_we <= (~interface2_bank_bus_we); end end -assign main_litedramcore_phaseinjector2_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector2_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector2_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd14))) begin - main_litedramcore_phaseinjector2_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector2_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd14))) begin + litedramcore_phaseinjector2_command_issue_we <= (~interface2_bank_bus_we); end end always @(*) begin - main_litedramcore_phaseinjector2_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd14))) begin - main_litedramcore_phaseinjector2_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector2_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd14))) begin + litedramcore_phaseinjector2_command_issue_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi2_address0_r = builder_interface2_bank_bus_dat_w[15:0]; +assign csrbank2_dfii_pi2_address0_r = interface2_bank_bus_dat_w[15:0]; always @(*) begin - builder_csrbank2_dfii_pi2_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd15))) begin - builder_csrbank2_dfii_pi2_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd15))) begin + csrbank2_dfii_pi2_address0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi2_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd15))) begin - builder_csrbank2_dfii_pi2_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd15))) begin + csrbank2_dfii_pi2_address0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi2_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi2_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi2_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd16))) begin - builder_csrbank2_dfii_pi2_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd16))) begin + csrbank2_dfii_pi2_baddress0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi2_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd16))) begin - builder_csrbank2_dfii_pi2_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd16))) begin + csrbank2_dfii_pi2_baddress0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi2_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi2_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd17))) begin - builder_csrbank2_dfii_pi2_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd17))) begin + csrbank2_dfii_pi2_wrdata0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi2_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd17))) begin - builder_csrbank2_dfii_pi2_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd17))) begin + csrbank2_dfii_pi2_wrdata0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi2_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi2_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd18))) begin - builder_csrbank2_dfii_pi2_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd18))) begin + csrbank2_dfii_pi2_rddata_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi2_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd18))) begin - builder_csrbank2_dfii_pi2_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd18))) begin + csrbank2_dfii_pi2_rddata_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi3_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi3_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi3_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd19))) begin - builder_csrbank2_dfii_pi3_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd19))) begin + csrbank2_dfii_pi3_command0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd19))) begin - builder_csrbank2_dfii_pi3_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd19))) begin + csrbank2_dfii_pi3_command0_we <= (~interface2_bank_bus_we); end end -assign main_litedramcore_phaseinjector3_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector3_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector3_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd20))) begin - main_litedramcore_phaseinjector3_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector3_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd20))) begin + litedramcore_phaseinjector3_command_issue_re <= interface2_bank_bus_we; end end always @(*) begin - main_litedramcore_phaseinjector3_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd20))) begin - main_litedramcore_phaseinjector3_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector3_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd20))) begin + litedramcore_phaseinjector3_command_issue_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_address0_r = builder_interface2_bank_bus_dat_w[15:0]; +assign csrbank2_dfii_pi3_address0_r = interface2_bank_bus_dat_w[15:0]; always @(*) begin - builder_csrbank2_dfii_pi3_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd21))) begin - builder_csrbank2_dfii_pi3_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd21))) begin + csrbank2_dfii_pi3_address0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd21))) begin - builder_csrbank2_dfii_pi3_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd21))) begin + csrbank2_dfii_pi3_address0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi3_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi3_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd22))) begin - builder_csrbank2_dfii_pi3_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd22))) begin + csrbank2_dfii_pi3_baddress0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi3_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd22))) begin - builder_csrbank2_dfii_pi3_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd22))) begin + csrbank2_dfii_pi3_baddress0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi3_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi3_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi3_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd23))) begin - builder_csrbank2_dfii_pi3_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd23))) begin + csrbank2_dfii_pi3_wrdata0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd23))) begin - builder_csrbank2_dfii_pi3_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd23))) begin + csrbank2_dfii_pi3_wrdata0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi3_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi3_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd24))) begin - builder_csrbank2_dfii_pi3_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd24))) begin + csrbank2_dfii_pi3_rddata_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi3_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd24))) begin - builder_csrbank2_dfii_pi3_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd24))) begin + csrbank2_dfii_pi3_rddata_re <= interface2_bank_bus_we; end end -assign main_litedramcore_sel = main_litedramcore_storage[0]; -assign main_litedramcore_cke = main_litedramcore_storage[1]; -assign main_litedramcore_odt = main_litedramcore_storage[2]; -assign main_litedramcore_reset_n = main_litedramcore_storage[3]; -assign builder_csrbank2_dfii_control0_w = main_litedramcore_storage[3:0]; -assign builder_csrbank2_dfii_pi0_command0_w = main_litedramcore_phaseinjector0_command_storage[5:0]; -assign builder_csrbank2_dfii_pi0_address0_w = main_litedramcore_phaseinjector0_address_storage[15:0]; -assign builder_csrbank2_dfii_pi0_baddress0_w = main_litedramcore_phaseinjector0_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi0_wrdata0_w = main_litedramcore_phaseinjector0_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi0_rddata_w = main_litedramcore_phaseinjector0_rddata_status[31:0]; -assign main_litedramcore_phaseinjector0_rddata_we = builder_csrbank2_dfii_pi0_rddata_we; -assign builder_csrbank2_dfii_pi1_command0_w = main_litedramcore_phaseinjector1_command_storage[5:0]; -assign builder_csrbank2_dfii_pi1_address0_w = main_litedramcore_phaseinjector1_address_storage[15:0]; -assign builder_csrbank2_dfii_pi1_baddress0_w = main_litedramcore_phaseinjector1_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi1_wrdata0_w = main_litedramcore_phaseinjector1_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi1_rddata_w = main_litedramcore_phaseinjector1_rddata_status[31:0]; -assign main_litedramcore_phaseinjector1_rddata_we = builder_csrbank2_dfii_pi1_rddata_we; -assign builder_csrbank2_dfii_pi2_command0_w = main_litedramcore_phaseinjector2_command_storage[5:0]; -assign builder_csrbank2_dfii_pi2_address0_w = main_litedramcore_phaseinjector2_address_storage[15:0]; -assign builder_csrbank2_dfii_pi2_baddress0_w = main_litedramcore_phaseinjector2_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi2_wrdata0_w = main_litedramcore_phaseinjector2_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi2_rddata_w = main_litedramcore_phaseinjector2_rddata_status[31:0]; -assign main_litedramcore_phaseinjector2_rddata_we = builder_csrbank2_dfii_pi2_rddata_we; -assign builder_csrbank2_dfii_pi3_command0_w = main_litedramcore_phaseinjector3_command_storage[5:0]; -assign builder_csrbank2_dfii_pi3_address0_w = main_litedramcore_phaseinjector3_address_storage[15:0]; -assign builder_csrbank2_dfii_pi3_baddress0_w = main_litedramcore_phaseinjector3_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi3_wrdata0_w = main_litedramcore_phaseinjector3_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi3_rddata_w = main_litedramcore_phaseinjector3_rddata_status[31:0]; -assign main_litedramcore_phaseinjector3_rddata_we = builder_csrbank2_dfii_pi3_rddata_we; -assign builder_csr_interconnect_adr = builder_litedramcore_adr; -assign builder_csr_interconnect_we = builder_litedramcore_we; -assign builder_csr_interconnect_dat_w = builder_litedramcore_dat_w; -assign builder_litedramcore_dat_r = builder_csr_interconnect_dat_r; -assign builder_interface0_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface1_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface2_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface0_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface1_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface2_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface0_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_interface1_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_interface2_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_csr_interconnect_dat_r = ((builder_interface0_bank_bus_dat_r | builder_interface1_bank_bus_dat_r) | builder_interface2_bank_bus_dat_r); -always @(*) begin - builder_rhs_array_muxed0 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) +assign litedramcore_sel = litedramcore_storage[0]; +assign litedramcore_cke = litedramcore_storage[1]; +assign litedramcore_odt = litedramcore_storage[2]; +assign litedramcore_reset_n = litedramcore_storage[3]; +assign csrbank2_dfii_control0_w = litedramcore_storage[3:0]; +assign litedramcore_phaseinjector0_csrfield_cs = litedramcore_phaseinjector0_command_storage[0]; +assign litedramcore_phaseinjector0_csrfield_we = litedramcore_phaseinjector0_command_storage[1]; +assign litedramcore_phaseinjector0_csrfield_cas = litedramcore_phaseinjector0_command_storage[2]; +assign litedramcore_phaseinjector0_csrfield_ras = litedramcore_phaseinjector0_command_storage[3]; +assign litedramcore_phaseinjector0_csrfield_wren = litedramcore_phaseinjector0_command_storage[4]; +assign litedramcore_phaseinjector0_csrfield_rden = litedramcore_phaseinjector0_command_storage[5]; +assign csrbank2_dfii_pi0_command0_w = litedramcore_phaseinjector0_command_storage[5:0]; +assign csrbank2_dfii_pi0_address0_w = litedramcore_phaseinjector0_address_storage[15:0]; +assign csrbank2_dfii_pi0_baddress0_w = litedramcore_phaseinjector0_baddress_storage[2:0]; +assign csrbank2_dfii_pi0_wrdata0_w = litedramcore_phaseinjector0_wrdata_storage[31:0]; +assign csrbank2_dfii_pi0_rddata_w = litedramcore_phaseinjector0_rddata_status[31:0]; +assign litedramcore_phaseinjector0_rddata_we = csrbank2_dfii_pi0_rddata_we; +assign litedramcore_phaseinjector1_csrfield_cs = litedramcore_phaseinjector1_command_storage[0]; +assign litedramcore_phaseinjector1_csrfield_we = litedramcore_phaseinjector1_command_storage[1]; +assign litedramcore_phaseinjector1_csrfield_cas = litedramcore_phaseinjector1_command_storage[2]; +assign litedramcore_phaseinjector1_csrfield_ras = litedramcore_phaseinjector1_command_storage[3]; +assign litedramcore_phaseinjector1_csrfield_wren = litedramcore_phaseinjector1_command_storage[4]; +assign litedramcore_phaseinjector1_csrfield_rden = litedramcore_phaseinjector1_command_storage[5]; +assign csrbank2_dfii_pi1_command0_w = litedramcore_phaseinjector1_command_storage[5:0]; +assign csrbank2_dfii_pi1_address0_w = litedramcore_phaseinjector1_address_storage[15:0]; +assign csrbank2_dfii_pi1_baddress0_w = litedramcore_phaseinjector1_baddress_storage[2:0]; +assign csrbank2_dfii_pi1_wrdata0_w = litedramcore_phaseinjector1_wrdata_storage[31:0]; +assign csrbank2_dfii_pi1_rddata_w = litedramcore_phaseinjector1_rddata_status[31:0]; +assign litedramcore_phaseinjector1_rddata_we = csrbank2_dfii_pi1_rddata_we; +assign litedramcore_phaseinjector2_csrfield_cs = litedramcore_phaseinjector2_command_storage[0]; +assign litedramcore_phaseinjector2_csrfield_we = litedramcore_phaseinjector2_command_storage[1]; +assign litedramcore_phaseinjector2_csrfield_cas = litedramcore_phaseinjector2_command_storage[2]; +assign litedramcore_phaseinjector2_csrfield_ras = litedramcore_phaseinjector2_command_storage[3]; +assign litedramcore_phaseinjector2_csrfield_wren = litedramcore_phaseinjector2_command_storage[4]; +assign litedramcore_phaseinjector2_csrfield_rden = litedramcore_phaseinjector2_command_storage[5]; +assign csrbank2_dfii_pi2_command0_w = litedramcore_phaseinjector2_command_storage[5:0]; +assign csrbank2_dfii_pi2_address0_w = litedramcore_phaseinjector2_address_storage[15:0]; +assign csrbank2_dfii_pi2_baddress0_w = litedramcore_phaseinjector2_baddress_storage[2:0]; +assign csrbank2_dfii_pi2_wrdata0_w = litedramcore_phaseinjector2_wrdata_storage[31:0]; +assign csrbank2_dfii_pi2_rddata_w = litedramcore_phaseinjector2_rddata_status[31:0]; +assign litedramcore_phaseinjector2_rddata_we = csrbank2_dfii_pi2_rddata_we; +assign litedramcore_phaseinjector3_csrfield_cs = litedramcore_phaseinjector3_command_storage[0]; +assign litedramcore_phaseinjector3_csrfield_we = litedramcore_phaseinjector3_command_storage[1]; +assign litedramcore_phaseinjector3_csrfield_cas = litedramcore_phaseinjector3_command_storage[2]; +assign litedramcore_phaseinjector3_csrfield_ras = litedramcore_phaseinjector3_command_storage[3]; +assign litedramcore_phaseinjector3_csrfield_wren = litedramcore_phaseinjector3_command_storage[4]; +assign litedramcore_phaseinjector3_csrfield_rden = litedramcore_phaseinjector3_command_storage[5]; +assign csrbank2_dfii_pi3_command0_w = litedramcore_phaseinjector3_command_storage[5:0]; +assign csrbank2_dfii_pi3_address0_w = litedramcore_phaseinjector3_address_storage[15:0]; +assign csrbank2_dfii_pi3_baddress0_w = litedramcore_phaseinjector3_baddress_storage[2:0]; +assign csrbank2_dfii_pi3_wrdata0_w = litedramcore_phaseinjector3_wrdata_storage[31:0]; +assign csrbank2_dfii_pi3_rddata_w = litedramcore_phaseinjector3_rddata_status[31:0]; +assign litedramcore_phaseinjector3_rddata_we = csrbank2_dfii_pi3_rddata_we; +assign csr_interconnect_adr = litedramcore_adr; +assign csr_interconnect_we = litedramcore_we; +assign csr_interconnect_dat_w = litedramcore_dat_w; +assign litedramcore_dat_r = csr_interconnect_dat_r; +assign interface0_bank_bus_adr = csr_interconnect_adr; +assign interface1_bank_bus_adr = csr_interconnect_adr; +assign interface2_bank_bus_adr = csr_interconnect_adr; +assign interface0_bank_bus_we = csr_interconnect_we; +assign interface1_bank_bus_we = csr_interconnect_we; +assign interface2_bank_bus_we = csr_interconnect_we; +assign interface0_bank_bus_dat_w = csr_interconnect_dat_w; +assign interface1_bank_bus_dat_w = csr_interconnect_dat_w; +assign interface2_bank_bus_dat_w = csr_interconnect_dat_w; +assign csr_interconnect_dat_r = ((interface0_bank_bus_dat_r | interface1_bank_bus_dat_r) | interface2_bank_bus_dat_r); +always @(*) begin + rhs_array_muxed0 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[0]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[0]; end 1'd1: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[1]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[1]; end 2'd2: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[2]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[2]; end 2'd3: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[3]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[3]; end 3'd4: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[4]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[4]; end 3'd5: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[5]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[5]; end 3'd6: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[6]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[6]; end default: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[7]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[7]; end endcase end always @(*) begin - builder_rhs_array_muxed1 <= 16'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed1 <= 16'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine0_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine0_cmd_payload_a; end 1'd1: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine1_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine1_cmd_payload_a; end 2'd2: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine2_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine2_cmd_payload_a; end 2'd3: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine3_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine3_cmd_payload_a; end 3'd4: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine4_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine4_cmd_payload_a; end 3'd5: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine5_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine5_cmd_payload_a; end 3'd6: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine6_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine6_cmd_payload_a; end default: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine7_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine7_cmd_payload_a; end endcase end always @(*) begin - builder_rhs_array_muxed2 <= 3'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed2 <= 3'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine0_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine0_cmd_payload_ba; end 1'd1: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine1_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine1_cmd_payload_ba; end 2'd2: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine2_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine2_cmd_payload_ba; end 2'd3: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine3_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine3_cmd_payload_ba; end 3'd4: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine4_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine4_cmd_payload_ba; end 3'd5: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine5_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine5_cmd_payload_ba; end 3'd6: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine6_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine6_cmd_payload_ba; end default: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine7_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine7_cmd_payload_ba; end endcase end always @(*) begin - builder_rhs_array_muxed3 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed3 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine0_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine0_cmd_payload_is_read; end 1'd1: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine1_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine1_cmd_payload_is_read; end 2'd2: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine2_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine2_cmd_payload_is_read; end 2'd3: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine3_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine3_cmd_payload_is_read; end 3'd4: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine4_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine4_cmd_payload_is_read; end 3'd5: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine5_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine5_cmd_payload_is_read; end 3'd6: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine6_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine6_cmd_payload_is_read; end default: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine7_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine7_cmd_payload_is_read; end endcase end always @(*) begin - builder_rhs_array_muxed4 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed4 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine0_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine0_cmd_payload_is_write; end 1'd1: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine1_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine1_cmd_payload_is_write; end 2'd2: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine2_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine2_cmd_payload_is_write; end 2'd3: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine3_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine3_cmd_payload_is_write; end 3'd4: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine4_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine4_cmd_payload_is_write; end 3'd5: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine5_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine5_cmd_payload_is_write; end 3'd6: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine6_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine6_cmd_payload_is_write; end default: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine7_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine7_cmd_payload_is_write; end endcase end always @(*) begin - builder_rhs_array_muxed5 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed5 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine0_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine0_cmd_payload_is_cmd; end 1'd1: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine1_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine1_cmd_payload_is_cmd; end 2'd2: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine2_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine2_cmd_payload_is_cmd; end 2'd3: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine3_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine3_cmd_payload_is_cmd; end 3'd4: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine4_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine4_cmd_payload_is_cmd; end 3'd5: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine5_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine5_cmd_payload_is_cmd; end 3'd6: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine6_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine6_cmd_payload_is_cmd; end default: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine7_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine7_cmd_payload_is_cmd; end endcase end always @(*) begin - builder_t_array_muxed0 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed0 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine0_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine0_cmd_payload_cas; end 1'd1: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine1_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine1_cmd_payload_cas; end 2'd2: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine2_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine2_cmd_payload_cas; end 2'd3: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine3_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine3_cmd_payload_cas; end 3'd4: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine4_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine4_cmd_payload_cas; end 3'd5: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine5_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine5_cmd_payload_cas; end 3'd6: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine6_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine6_cmd_payload_cas; end default: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine7_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine7_cmd_payload_cas; end endcase end always @(*) begin - builder_t_array_muxed1 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed1 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine0_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine0_cmd_payload_ras; end 1'd1: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine1_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine1_cmd_payload_ras; end 2'd2: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine2_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine2_cmd_payload_ras; end 2'd3: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine3_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine3_cmd_payload_ras; end 3'd4: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine4_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine4_cmd_payload_ras; end 3'd5: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine5_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine5_cmd_payload_ras; end 3'd6: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine6_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine6_cmd_payload_ras; end default: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine7_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine7_cmd_payload_ras; end endcase end always @(*) begin - builder_t_array_muxed2 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed2 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine0_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine0_cmd_payload_we; end 1'd1: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine1_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine1_cmd_payload_we; end 2'd2: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine2_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine2_cmd_payload_we; end 2'd3: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine3_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine3_cmd_payload_we; end 3'd4: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine4_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine4_cmd_payload_we; end 3'd5: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine5_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine5_cmd_payload_we; end 3'd6: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine6_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine6_cmd_payload_we; end default: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine7_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine7_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed6 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed6 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[0]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[0]; end 1'd1: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[1]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[1]; end 2'd2: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[2]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[2]; end 2'd3: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[3]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[3]; end 3'd4: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[4]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[4]; end 3'd5: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[5]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[5]; end 3'd6: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[6]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[6]; end default: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[7]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[7]; end endcase end always @(*) begin - builder_rhs_array_muxed7 <= 16'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed7 <= 16'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine0_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine0_cmd_payload_a; end 1'd1: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine1_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine1_cmd_payload_a; end 2'd2: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine2_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine2_cmd_payload_a; end 2'd3: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine3_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine3_cmd_payload_a; end 3'd4: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine4_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine4_cmd_payload_a; end 3'd5: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine5_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine5_cmd_payload_a; end 3'd6: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine6_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine6_cmd_payload_a; end default: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine7_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine7_cmd_payload_a; end endcase end always @(*) begin - builder_rhs_array_muxed8 <= 3'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed8 <= 3'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine0_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine0_cmd_payload_ba; end 1'd1: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine1_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine1_cmd_payload_ba; end 2'd2: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine2_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine2_cmd_payload_ba; end 2'd3: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine3_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine3_cmd_payload_ba; end 3'd4: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine4_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine4_cmd_payload_ba; end 3'd5: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine5_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine5_cmd_payload_ba; end 3'd6: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine6_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine6_cmd_payload_ba; end default: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine7_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine7_cmd_payload_ba; end endcase end always @(*) begin - builder_rhs_array_muxed9 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed9 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine0_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine0_cmd_payload_is_read; end 1'd1: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine1_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine1_cmd_payload_is_read; end 2'd2: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine2_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine2_cmd_payload_is_read; end 2'd3: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine3_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine3_cmd_payload_is_read; end 3'd4: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine4_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine4_cmd_payload_is_read; end 3'd5: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine5_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine5_cmd_payload_is_read; end 3'd6: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine6_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine6_cmd_payload_is_read; end default: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine7_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine7_cmd_payload_is_read; end endcase end always @(*) begin - builder_rhs_array_muxed10 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed10 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine0_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine0_cmd_payload_is_write; end 1'd1: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine1_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine1_cmd_payload_is_write; end 2'd2: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine2_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine2_cmd_payload_is_write; end 2'd3: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine3_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine3_cmd_payload_is_write; end 3'd4: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine4_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine4_cmd_payload_is_write; end 3'd5: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine5_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine5_cmd_payload_is_write; end 3'd6: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine6_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine6_cmd_payload_is_write; end default: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine7_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine7_cmd_payload_is_write; end endcase end always @(*) begin - builder_rhs_array_muxed11 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed11 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine0_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine0_cmd_payload_is_cmd; end 1'd1: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine1_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine1_cmd_payload_is_cmd; end 2'd2: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine2_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine2_cmd_payload_is_cmd; end 2'd3: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine3_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine3_cmd_payload_is_cmd; end 3'd4: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine4_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine4_cmd_payload_is_cmd; end 3'd5: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine5_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine5_cmd_payload_is_cmd; end 3'd6: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine6_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine6_cmd_payload_is_cmd; end default: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine7_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine7_cmd_payload_is_cmd; end endcase end always @(*) begin - builder_t_array_muxed3 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed3 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine0_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine0_cmd_payload_cas; end 1'd1: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine1_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine1_cmd_payload_cas; end 2'd2: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine2_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine2_cmd_payload_cas; end 2'd3: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine3_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine3_cmd_payload_cas; end 3'd4: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine4_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine4_cmd_payload_cas; end 3'd5: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine5_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine5_cmd_payload_cas; end 3'd6: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine6_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine6_cmd_payload_cas; end default: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine7_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine7_cmd_payload_cas; end endcase end always @(*) begin - builder_t_array_muxed4 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed4 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine0_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine0_cmd_payload_ras; end 1'd1: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine1_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine1_cmd_payload_ras; end 2'd2: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine2_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine2_cmd_payload_ras; end 2'd3: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine3_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine3_cmd_payload_ras; end 3'd4: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine4_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine4_cmd_payload_ras; end 3'd5: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine5_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine5_cmd_payload_ras; end 3'd6: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine6_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine6_cmd_payload_ras; end default: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine7_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine7_cmd_payload_ras; end endcase end always @(*) begin - builder_t_array_muxed5 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed5 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine0_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine0_cmd_payload_we; end 1'd1: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine1_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine1_cmd_payload_we; end 2'd2: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine2_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine2_cmd_payload_we; end 2'd3: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine3_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine3_cmd_payload_we; end 3'd4: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine4_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine4_cmd_payload_we; end 3'd5: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine5_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine5_cmd_payload_we; end 3'd6: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine6_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine6_cmd_payload_we; end default: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine7_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine7_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed12 <= 23'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed12 <= 23'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed12 <= {main_user_port_cmd_payload_addr[25:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed12 <= {user_port_cmd_payload_addr[25:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed13 <= 1'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed13 <= 1'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed13 <= main_user_port_cmd_payload_we; + rhs_array_muxed13 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed14 <= 1'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed14 <= 1'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed14 <= (((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed14 <= (((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed15 <= 23'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed15 <= 23'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed15 <= {main_user_port_cmd_payload_addr[25:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed15 <= {user_port_cmd_payload_addr[25:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed16 <= 1'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed16 <= 1'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed16 <= main_user_port_cmd_payload_we; + rhs_array_muxed16 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed17 <= 1'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed17 <= 1'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed17 <= (((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed17 <= (((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed18 <= 23'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed18 <= 23'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed18 <= {main_user_port_cmd_payload_addr[25:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed18 <= {user_port_cmd_payload_addr[25:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed19 <= 1'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed19 <= 1'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed19 <= main_user_port_cmd_payload_we; + rhs_array_muxed19 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed20 <= 1'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed20 <= 1'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed20 <= (((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed20 <= (((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed21 <= 23'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed21 <= 23'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed21 <= {main_user_port_cmd_payload_addr[25:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed21 <= {user_port_cmd_payload_addr[25:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed22 <= 1'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed22 <= 1'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed22 <= main_user_port_cmd_payload_we; + rhs_array_muxed22 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed23 <= 1'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed23 <= 1'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed23 <= (((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed23 <= (((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed24 <= 23'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed24 <= 23'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed24 <= {main_user_port_cmd_payload_addr[25:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed24 <= {user_port_cmd_payload_addr[25:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed25 <= 1'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed25 <= 1'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed25 <= main_user_port_cmd_payload_we; + rhs_array_muxed25 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed26 <= 1'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed26 <= 1'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed26 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed26 <= (((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed27 <= 23'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed27 <= 23'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed27 <= {main_user_port_cmd_payload_addr[25:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed27 <= {user_port_cmd_payload_addr[25:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed28 <= 1'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed28 <= 1'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed28 <= main_user_port_cmd_payload_we; + rhs_array_muxed28 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed29 <= 1'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed29 <= 1'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed29 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed29 <= (((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed30 <= 23'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed30 <= 23'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed30 <= {main_user_port_cmd_payload_addr[25:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed30 <= {user_port_cmd_payload_addr[25:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed31 <= 1'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed31 <= 1'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed31 <= main_user_port_cmd_payload_we; + rhs_array_muxed31 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed32 <= 1'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed32 <= 1'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed32 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed32 <= (((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed33 <= 23'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed33 <= 23'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed33 <= {main_user_port_cmd_payload_addr[25:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed33 <= {user_port_cmd_payload_addr[25:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed34 <= 1'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed34 <= 1'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed34 <= main_user_port_cmd_payload_we; + rhs_array_muxed34 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed35 <= 1'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed35 <= 1'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed35 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed35 <= (((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_array_muxed0 <= 3'd0; - case (main_litedramcore_steerer_sel0) + array_muxed0 <= 3'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed0 <= main_litedramcore_nop_ba[2:0]; + array_muxed0 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed0 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed0 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed0 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed1 <= 16'd0; - case (main_litedramcore_steerer_sel0) + array_muxed1 <= 16'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed1 <= main_litedramcore_nop_a; + array_muxed1 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed1 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed1 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed1 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed1 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed1 <= main_litedramcore_cmd_payload_a; + array_muxed1 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed2 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed2 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed2 <= 1'd0; + array_muxed2 <= 1'd0; end 1'd1: begin - builder_array_muxed2 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed2 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed2 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed2 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed2 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed2 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed3 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed3 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed3 <= 1'd0; + array_muxed3 <= 1'd0; end 1'd1: begin - builder_array_muxed3 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed3 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed3 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed3 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed3 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed3 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed4 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed4 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed4 <= 1'd0; + array_muxed4 <= 1'd0; end 1'd1: begin - builder_array_muxed4 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed4 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed4 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed4 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed4 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed4 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed5 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed5 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed5 <= 1'd0; + array_muxed5 <= 1'd0; end 1'd1: begin - builder_array_muxed5 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed5 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed5 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed6 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed6 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed6 <= 1'd0; + array_muxed6 <= 1'd0; end 1'd1: begin - builder_array_muxed6 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed6 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed6 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed7 <= 3'd0; - case (main_litedramcore_steerer_sel1) + array_muxed7 <= 3'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed7 <= main_litedramcore_nop_ba[2:0]; + array_muxed7 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed7 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed7 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed7 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed8 <= 16'd0; - case (main_litedramcore_steerer_sel1) + array_muxed8 <= 16'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed8 <= main_litedramcore_nop_a; + array_muxed8 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed8 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed8 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed8 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed8 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed8 <= main_litedramcore_cmd_payload_a; + array_muxed8 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed9 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed9 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed9 <= 1'd0; + array_muxed9 <= 1'd0; end 1'd1: begin - builder_array_muxed9 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed9 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed9 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed9 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed9 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed9 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed10 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed10 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed10 <= 1'd0; + array_muxed10 <= 1'd0; end 1'd1: begin - builder_array_muxed10 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed10 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed10 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed10 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed10 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed10 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed11 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed11 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed11 <= 1'd0; + array_muxed11 <= 1'd0; end 1'd1: begin - builder_array_muxed11 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed11 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed11 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed11 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed11 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed11 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed12 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed12 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed12 <= 1'd0; + array_muxed12 <= 1'd0; end 1'd1: begin - builder_array_muxed12 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed12 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed12 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed13 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed13 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed13 <= 1'd0; + array_muxed13 <= 1'd0; end 1'd1: begin - builder_array_muxed13 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed13 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed13 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed14 <= 3'd0; - case (main_litedramcore_steerer_sel2) + array_muxed14 <= 3'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed14 <= main_litedramcore_nop_ba[2:0]; + array_muxed14 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed14 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed14 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed14 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed15 <= 16'd0; - case (main_litedramcore_steerer_sel2) + array_muxed15 <= 16'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed15 <= main_litedramcore_nop_a; + array_muxed15 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed15 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed15 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed15 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed15 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed15 <= main_litedramcore_cmd_payload_a; + array_muxed15 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed16 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed16 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed16 <= 1'd0; + array_muxed16 <= 1'd0; end 1'd1: begin - builder_array_muxed16 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed16 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed16 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed16 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed16 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed16 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed17 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed17 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed17 <= 1'd0; + array_muxed17 <= 1'd0; end 1'd1: begin - builder_array_muxed17 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed17 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed17 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed17 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed17 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed17 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed18 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed18 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed18 <= 1'd0; + array_muxed18 <= 1'd0; end 1'd1: begin - builder_array_muxed18 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed18 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed18 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed18 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed18 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed18 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed19 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed19 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed19 <= 1'd0; + array_muxed19 <= 1'd0; end 1'd1: begin - builder_array_muxed19 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed19 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed19 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed20 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed20 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed20 <= 1'd0; + array_muxed20 <= 1'd0; end 1'd1: begin - builder_array_muxed20 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed20 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed20 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed21 <= 3'd0; - case (main_litedramcore_steerer_sel3) + array_muxed21 <= 3'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed21 <= main_litedramcore_nop_ba[2:0]; + array_muxed21 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed21 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed21 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed21 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed22 <= 16'd0; - case (main_litedramcore_steerer_sel3) + array_muxed22 <= 16'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed22 <= main_litedramcore_nop_a; + array_muxed22 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed22 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed22 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed22 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed22 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed22 <= main_litedramcore_cmd_payload_a; + array_muxed22 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed23 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed23 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed23 <= 1'd0; + array_muxed23 <= 1'd0; end 1'd1: begin - builder_array_muxed23 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed23 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed23 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed23 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed23 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed23 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed24 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed24 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed24 <= 1'd0; + array_muxed24 <= 1'd0; end 1'd1: begin - builder_array_muxed24 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed24 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed24 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed24 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed24 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed24 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed25 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed25 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed25 <= 1'd0; + array_muxed25 <= 1'd0; end 1'd1: begin - builder_array_muxed25 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed25 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed25 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed25 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed25 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed25 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed26 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed26 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed26 <= 1'd0; + array_muxed26 <= 1'd0; end 1'd1: begin - builder_array_muxed26 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed26 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed26 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed27 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed27 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed27 <= 1'd0; + array_muxed27 <= 1'd0; end 1'd1: begin - builder_array_muxed27 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed27 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed27 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end -assign builder_xilinxasyncresetsynchronizerimpl0 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl1 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl2 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl3 = (~main_locked); +assign xilinxasyncresetsynchronizerimpl0 = (~locked); +assign xilinxasyncresetsynchronizerimpl1 = (~locked); +assign xilinxasyncresetsynchronizerimpl2 = (~locked); +assign xilinxasyncresetsynchronizerimpl3 = (~locked); //------------------------------------------------------------------------------ @@ -11320,1044 +11763,1044 @@ assign builder_xilinxasyncresetsynchronizerimpl3 = (~main_locked); //------------------------------------------------------------------------------ always @(posedge iodelay_clk) begin - if ((main_reset_counter != 1'd0)) begin - main_reset_counter <= (main_reset_counter - 1'd1); + if ((reset_counter != 1'd0)) begin + reset_counter <= (reset_counter - 1'd1); end else begin - main_ic_reset <= 1'd0; + ic_reset <= 1'd0; end if (iodelay_rst) begin - main_reset_counter <= 4'd15; - main_ic_reset <= 1'd1; + reset_counter <= 4'd15; + ic_reset <= 1'd1; end end always @(posedge sys_clk) begin - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= main_a7ddrphy_dqs_oe_delay_tappeddelayline; - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0; - main_a7ddrphy_dqspattern_o1 <= main_a7ddrphy_dqspattern_o0; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value0 <= (main_a7ddrphy_bitslip0_value0 + 1'd1); + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= a7ddrphy_dqs_oe_delay_tappeddelayline; + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0; + a7ddrphy_dqspattern_o1 <= a7ddrphy_dqspattern_o0; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value0 <= (a7ddrphy_bitslip0_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value0 <= 3'd7; end - main_a7ddrphy_bitslip0_r0 <= {main_a7ddrphy_dqspattern_o1, main_a7ddrphy_bitslip0_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value0 <= (main_a7ddrphy_bitslip1_value0 + 1'd1); + a7ddrphy_bitslip0_r0 <= {a7ddrphy_dqspattern_o1, a7ddrphy_bitslip0_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value0 <= (a7ddrphy_bitslip1_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value0 <= 3'd7; end - main_a7ddrphy_bitslip1_r0 <= {main_a7ddrphy_dqspattern_o1, main_a7ddrphy_bitslip1_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value1 <= (main_a7ddrphy_bitslip0_value1 + 1'd1); + a7ddrphy_bitslip1_r0 <= {a7ddrphy_dqspattern_o1, a7ddrphy_bitslip1_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value1 <= (a7ddrphy_bitslip0_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value1 <= 3'd7; end - main_a7ddrphy_bitslip0_r1 <= {{main_a7ddrphy_dfi_p3_wrdata_mask[2], main_a7ddrphy_dfi_p3_wrdata_mask[0], main_a7ddrphy_dfi_p2_wrdata_mask[2], main_a7ddrphy_dfi_p2_wrdata_mask[0], main_a7ddrphy_dfi_p1_wrdata_mask[2], main_a7ddrphy_dfi_p1_wrdata_mask[0], main_a7ddrphy_dfi_p0_wrdata_mask[2], main_a7ddrphy_dfi_p0_wrdata_mask[0]}, main_a7ddrphy_bitslip0_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value1 <= (main_a7ddrphy_bitslip1_value1 + 1'd1); + a7ddrphy_bitslip0_r1 <= {{a7ddrphy_dfi_p3_wrdata_mask[2], a7ddrphy_dfi_p3_wrdata_mask[0], a7ddrphy_dfi_p2_wrdata_mask[2], a7ddrphy_dfi_p2_wrdata_mask[0], a7ddrphy_dfi_p1_wrdata_mask[2], a7ddrphy_dfi_p1_wrdata_mask[0], a7ddrphy_dfi_p0_wrdata_mask[2], a7ddrphy_dfi_p0_wrdata_mask[0]}, a7ddrphy_bitslip0_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value1 <= (a7ddrphy_bitslip1_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value1 <= 3'd7; end - main_a7ddrphy_bitslip1_r1 <= {{main_a7ddrphy_dfi_p3_wrdata_mask[3], main_a7ddrphy_dfi_p3_wrdata_mask[1], main_a7ddrphy_dfi_p2_wrdata_mask[3], main_a7ddrphy_dfi_p2_wrdata_mask[1], main_a7ddrphy_dfi_p1_wrdata_mask[3], main_a7ddrphy_dfi_p1_wrdata_mask[1], main_a7ddrphy_dfi_p0_wrdata_mask[3], main_a7ddrphy_dfi_p0_wrdata_mask[1]}, main_a7ddrphy_bitslip1_r1[15:8]}; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= main_a7ddrphy_dq_oe_delay_tappeddelayline; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value2 <= (main_a7ddrphy_bitslip0_value2 + 1'd1); + a7ddrphy_bitslip1_r1 <= {{a7ddrphy_dfi_p3_wrdata_mask[3], a7ddrphy_dfi_p3_wrdata_mask[1], a7ddrphy_dfi_p2_wrdata_mask[3], a7ddrphy_dfi_p2_wrdata_mask[1], a7ddrphy_dfi_p1_wrdata_mask[3], a7ddrphy_dfi_p1_wrdata_mask[1], a7ddrphy_dfi_p0_wrdata_mask[3], a7ddrphy_dfi_p0_wrdata_mask[1]}, a7ddrphy_bitslip1_r1[15:8]}; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= a7ddrphy_dq_oe_delay_tappeddelayline; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value2 <= (a7ddrphy_bitslip0_value2 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value2 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value2 <= 3'd7; end - main_a7ddrphy_bitslip0_r2 <= {{main_a7ddrphy_dfi_p3_wrdata[16], main_a7ddrphy_dfi_p3_wrdata[0], main_a7ddrphy_dfi_p2_wrdata[16], main_a7ddrphy_dfi_p2_wrdata[0], main_a7ddrphy_dfi_p1_wrdata[16], main_a7ddrphy_dfi_p1_wrdata[0], main_a7ddrphy_dfi_p0_wrdata[16], main_a7ddrphy_dfi_p0_wrdata[0]}, main_a7ddrphy_bitslip0_r2[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value3 <= (main_a7ddrphy_bitslip0_value3 + 1'd1); + a7ddrphy_bitslip0_r2 <= {{a7ddrphy_dfi_p3_wrdata[16], a7ddrphy_dfi_p3_wrdata[0], a7ddrphy_dfi_p2_wrdata[16], a7ddrphy_dfi_p2_wrdata[0], a7ddrphy_dfi_p1_wrdata[16], a7ddrphy_dfi_p1_wrdata[0], a7ddrphy_dfi_p0_wrdata[16], a7ddrphy_dfi_p0_wrdata[0]}, a7ddrphy_bitslip0_r2[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value3 <= (a7ddrphy_bitslip0_value3 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value3 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value3 <= 3'd7; end - main_a7ddrphy_bitslip0_r3 <= {main_a7ddrphy_bitslip03, main_a7ddrphy_bitslip0_r3[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value2 <= (main_a7ddrphy_bitslip1_value2 + 1'd1); + a7ddrphy_bitslip0_r3 <= {a7ddrphy_bitslip03, a7ddrphy_bitslip0_r3[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value2 <= (a7ddrphy_bitslip1_value2 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value2 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value2 <= 3'd7; end - main_a7ddrphy_bitslip1_r2 <= {{main_a7ddrphy_dfi_p3_wrdata[17], main_a7ddrphy_dfi_p3_wrdata[1], main_a7ddrphy_dfi_p2_wrdata[17], main_a7ddrphy_dfi_p2_wrdata[1], main_a7ddrphy_dfi_p1_wrdata[17], main_a7ddrphy_dfi_p1_wrdata[1], main_a7ddrphy_dfi_p0_wrdata[17], main_a7ddrphy_dfi_p0_wrdata[1]}, main_a7ddrphy_bitslip1_r2[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value3 <= (main_a7ddrphy_bitslip1_value3 + 1'd1); + a7ddrphy_bitslip1_r2 <= {{a7ddrphy_dfi_p3_wrdata[17], a7ddrphy_dfi_p3_wrdata[1], a7ddrphy_dfi_p2_wrdata[17], a7ddrphy_dfi_p2_wrdata[1], a7ddrphy_dfi_p1_wrdata[17], a7ddrphy_dfi_p1_wrdata[1], a7ddrphy_dfi_p0_wrdata[17], a7ddrphy_dfi_p0_wrdata[1]}, a7ddrphy_bitslip1_r2[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value3 <= (a7ddrphy_bitslip1_value3 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value3 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value3 <= 3'd7; end - main_a7ddrphy_bitslip1_r3 <= {main_a7ddrphy_bitslip13, main_a7ddrphy_bitslip1_r3[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip2_value0 <= (main_a7ddrphy_bitslip2_value0 + 1'd1); + a7ddrphy_bitslip1_r3 <= {a7ddrphy_bitslip13, a7ddrphy_bitslip1_r3[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip2_value0 <= (a7ddrphy_bitslip2_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip2_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip2_value0 <= 3'd7; end - main_a7ddrphy_bitslip2_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[18], main_a7ddrphy_dfi_p3_wrdata[2], main_a7ddrphy_dfi_p2_wrdata[18], main_a7ddrphy_dfi_p2_wrdata[2], main_a7ddrphy_dfi_p1_wrdata[18], main_a7ddrphy_dfi_p1_wrdata[2], main_a7ddrphy_dfi_p0_wrdata[18], main_a7ddrphy_dfi_p0_wrdata[2]}, main_a7ddrphy_bitslip2_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip2_value1 <= (main_a7ddrphy_bitslip2_value1 + 1'd1); + a7ddrphy_bitslip2_r0 <= {{a7ddrphy_dfi_p3_wrdata[18], a7ddrphy_dfi_p3_wrdata[2], a7ddrphy_dfi_p2_wrdata[18], a7ddrphy_dfi_p2_wrdata[2], a7ddrphy_dfi_p1_wrdata[18], a7ddrphy_dfi_p1_wrdata[2], a7ddrphy_dfi_p0_wrdata[18], a7ddrphy_dfi_p0_wrdata[2]}, a7ddrphy_bitslip2_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip2_value1 <= (a7ddrphy_bitslip2_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip2_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip2_value1 <= 3'd7; end - main_a7ddrphy_bitslip2_r1 <= {main_a7ddrphy_bitslip21, main_a7ddrphy_bitslip2_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip3_value0 <= (main_a7ddrphy_bitslip3_value0 + 1'd1); + a7ddrphy_bitslip2_r1 <= {a7ddrphy_bitslip21, a7ddrphy_bitslip2_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip3_value0 <= (a7ddrphy_bitslip3_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip3_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip3_value0 <= 3'd7; end - main_a7ddrphy_bitslip3_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[19], main_a7ddrphy_dfi_p3_wrdata[3], main_a7ddrphy_dfi_p2_wrdata[19], main_a7ddrphy_dfi_p2_wrdata[3], main_a7ddrphy_dfi_p1_wrdata[19], main_a7ddrphy_dfi_p1_wrdata[3], main_a7ddrphy_dfi_p0_wrdata[19], main_a7ddrphy_dfi_p0_wrdata[3]}, main_a7ddrphy_bitslip3_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip3_value1 <= (main_a7ddrphy_bitslip3_value1 + 1'd1); + a7ddrphy_bitslip3_r0 <= {{a7ddrphy_dfi_p3_wrdata[19], a7ddrphy_dfi_p3_wrdata[3], a7ddrphy_dfi_p2_wrdata[19], a7ddrphy_dfi_p2_wrdata[3], a7ddrphy_dfi_p1_wrdata[19], a7ddrphy_dfi_p1_wrdata[3], a7ddrphy_dfi_p0_wrdata[19], a7ddrphy_dfi_p0_wrdata[3]}, a7ddrphy_bitslip3_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip3_value1 <= (a7ddrphy_bitslip3_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip3_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip3_value1 <= 3'd7; end - main_a7ddrphy_bitslip3_r1 <= {main_a7ddrphy_bitslip31, main_a7ddrphy_bitslip3_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip4_value0 <= (main_a7ddrphy_bitslip4_value0 + 1'd1); + a7ddrphy_bitslip3_r1 <= {a7ddrphy_bitslip31, a7ddrphy_bitslip3_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip4_value0 <= (a7ddrphy_bitslip4_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip4_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip4_value0 <= 3'd7; end - main_a7ddrphy_bitslip4_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[20], main_a7ddrphy_dfi_p3_wrdata[4], main_a7ddrphy_dfi_p2_wrdata[20], main_a7ddrphy_dfi_p2_wrdata[4], main_a7ddrphy_dfi_p1_wrdata[20], main_a7ddrphy_dfi_p1_wrdata[4], main_a7ddrphy_dfi_p0_wrdata[20], main_a7ddrphy_dfi_p0_wrdata[4]}, main_a7ddrphy_bitslip4_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip4_value1 <= (main_a7ddrphy_bitslip4_value1 + 1'd1); + a7ddrphy_bitslip4_r0 <= {{a7ddrphy_dfi_p3_wrdata[20], a7ddrphy_dfi_p3_wrdata[4], a7ddrphy_dfi_p2_wrdata[20], a7ddrphy_dfi_p2_wrdata[4], a7ddrphy_dfi_p1_wrdata[20], a7ddrphy_dfi_p1_wrdata[4], a7ddrphy_dfi_p0_wrdata[20], a7ddrphy_dfi_p0_wrdata[4]}, a7ddrphy_bitslip4_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip4_value1 <= (a7ddrphy_bitslip4_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip4_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip4_value1 <= 3'd7; end - main_a7ddrphy_bitslip4_r1 <= {main_a7ddrphy_bitslip41, main_a7ddrphy_bitslip4_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip5_value0 <= (main_a7ddrphy_bitslip5_value0 + 1'd1); + a7ddrphy_bitslip4_r1 <= {a7ddrphy_bitslip41, a7ddrphy_bitslip4_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip5_value0 <= (a7ddrphy_bitslip5_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip5_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip5_value0 <= 3'd7; end - main_a7ddrphy_bitslip5_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[21], main_a7ddrphy_dfi_p3_wrdata[5], main_a7ddrphy_dfi_p2_wrdata[21], main_a7ddrphy_dfi_p2_wrdata[5], main_a7ddrphy_dfi_p1_wrdata[21], main_a7ddrphy_dfi_p1_wrdata[5], main_a7ddrphy_dfi_p0_wrdata[21], main_a7ddrphy_dfi_p0_wrdata[5]}, main_a7ddrphy_bitslip5_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip5_value1 <= (main_a7ddrphy_bitslip5_value1 + 1'd1); + a7ddrphy_bitslip5_r0 <= {{a7ddrphy_dfi_p3_wrdata[21], a7ddrphy_dfi_p3_wrdata[5], a7ddrphy_dfi_p2_wrdata[21], a7ddrphy_dfi_p2_wrdata[5], a7ddrphy_dfi_p1_wrdata[21], a7ddrphy_dfi_p1_wrdata[5], a7ddrphy_dfi_p0_wrdata[21], a7ddrphy_dfi_p0_wrdata[5]}, a7ddrphy_bitslip5_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip5_value1 <= (a7ddrphy_bitslip5_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip5_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip5_value1 <= 3'd7; end - main_a7ddrphy_bitslip5_r1 <= {main_a7ddrphy_bitslip51, main_a7ddrphy_bitslip5_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip6_value0 <= (main_a7ddrphy_bitslip6_value0 + 1'd1); + a7ddrphy_bitslip5_r1 <= {a7ddrphy_bitslip51, a7ddrphy_bitslip5_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip6_value0 <= (a7ddrphy_bitslip6_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip6_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip6_value0 <= 3'd7; end - main_a7ddrphy_bitslip6_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[22], main_a7ddrphy_dfi_p3_wrdata[6], main_a7ddrphy_dfi_p2_wrdata[22], main_a7ddrphy_dfi_p2_wrdata[6], main_a7ddrphy_dfi_p1_wrdata[22], main_a7ddrphy_dfi_p1_wrdata[6], main_a7ddrphy_dfi_p0_wrdata[22], main_a7ddrphy_dfi_p0_wrdata[6]}, main_a7ddrphy_bitslip6_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip6_value1 <= (main_a7ddrphy_bitslip6_value1 + 1'd1); + a7ddrphy_bitslip6_r0 <= {{a7ddrphy_dfi_p3_wrdata[22], a7ddrphy_dfi_p3_wrdata[6], a7ddrphy_dfi_p2_wrdata[22], a7ddrphy_dfi_p2_wrdata[6], a7ddrphy_dfi_p1_wrdata[22], a7ddrphy_dfi_p1_wrdata[6], a7ddrphy_dfi_p0_wrdata[22], a7ddrphy_dfi_p0_wrdata[6]}, a7ddrphy_bitslip6_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip6_value1 <= (a7ddrphy_bitslip6_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip6_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip6_value1 <= 3'd7; end - main_a7ddrphy_bitslip6_r1 <= {main_a7ddrphy_bitslip61, main_a7ddrphy_bitslip6_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip7_value0 <= (main_a7ddrphy_bitslip7_value0 + 1'd1); + a7ddrphy_bitslip6_r1 <= {a7ddrphy_bitslip61, a7ddrphy_bitslip6_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip7_value0 <= (a7ddrphy_bitslip7_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip7_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip7_value0 <= 3'd7; end - main_a7ddrphy_bitslip7_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[23], main_a7ddrphy_dfi_p3_wrdata[7], main_a7ddrphy_dfi_p2_wrdata[23], main_a7ddrphy_dfi_p2_wrdata[7], main_a7ddrphy_dfi_p1_wrdata[23], main_a7ddrphy_dfi_p1_wrdata[7], main_a7ddrphy_dfi_p0_wrdata[23], main_a7ddrphy_dfi_p0_wrdata[7]}, main_a7ddrphy_bitslip7_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip7_value1 <= (main_a7ddrphy_bitslip7_value1 + 1'd1); + a7ddrphy_bitslip7_r0 <= {{a7ddrphy_dfi_p3_wrdata[23], a7ddrphy_dfi_p3_wrdata[7], a7ddrphy_dfi_p2_wrdata[23], a7ddrphy_dfi_p2_wrdata[7], a7ddrphy_dfi_p1_wrdata[23], a7ddrphy_dfi_p1_wrdata[7], a7ddrphy_dfi_p0_wrdata[23], a7ddrphy_dfi_p0_wrdata[7]}, a7ddrphy_bitslip7_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip7_value1 <= (a7ddrphy_bitslip7_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip7_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip7_value1 <= 3'd7; end - main_a7ddrphy_bitslip7_r1 <= {main_a7ddrphy_bitslip71, main_a7ddrphy_bitslip7_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip8_value0 <= (main_a7ddrphy_bitslip8_value0 + 1'd1); + a7ddrphy_bitslip7_r1 <= {a7ddrphy_bitslip71, a7ddrphy_bitslip7_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip8_value0 <= (a7ddrphy_bitslip8_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip8_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip8_value0 <= 3'd7; end - main_a7ddrphy_bitslip8_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[24], main_a7ddrphy_dfi_p3_wrdata[8], main_a7ddrphy_dfi_p2_wrdata[24], main_a7ddrphy_dfi_p2_wrdata[8], main_a7ddrphy_dfi_p1_wrdata[24], main_a7ddrphy_dfi_p1_wrdata[8], main_a7ddrphy_dfi_p0_wrdata[24], main_a7ddrphy_dfi_p0_wrdata[8]}, main_a7ddrphy_bitslip8_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip8_value1 <= (main_a7ddrphy_bitslip8_value1 + 1'd1); + a7ddrphy_bitslip8_r0 <= {{a7ddrphy_dfi_p3_wrdata[24], a7ddrphy_dfi_p3_wrdata[8], a7ddrphy_dfi_p2_wrdata[24], a7ddrphy_dfi_p2_wrdata[8], a7ddrphy_dfi_p1_wrdata[24], a7ddrphy_dfi_p1_wrdata[8], a7ddrphy_dfi_p0_wrdata[24], a7ddrphy_dfi_p0_wrdata[8]}, a7ddrphy_bitslip8_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip8_value1 <= (a7ddrphy_bitslip8_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip8_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip8_value1 <= 3'd7; end - main_a7ddrphy_bitslip8_r1 <= {main_a7ddrphy_bitslip81, main_a7ddrphy_bitslip8_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip9_value0 <= (main_a7ddrphy_bitslip9_value0 + 1'd1); + a7ddrphy_bitslip8_r1 <= {a7ddrphy_bitslip81, a7ddrphy_bitslip8_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip9_value0 <= (a7ddrphy_bitslip9_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip9_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip9_value0 <= 3'd7; end - main_a7ddrphy_bitslip9_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[25], main_a7ddrphy_dfi_p3_wrdata[9], main_a7ddrphy_dfi_p2_wrdata[25], main_a7ddrphy_dfi_p2_wrdata[9], main_a7ddrphy_dfi_p1_wrdata[25], main_a7ddrphy_dfi_p1_wrdata[9], main_a7ddrphy_dfi_p0_wrdata[25], main_a7ddrphy_dfi_p0_wrdata[9]}, main_a7ddrphy_bitslip9_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip9_value1 <= (main_a7ddrphy_bitslip9_value1 + 1'd1); + a7ddrphy_bitslip9_r0 <= {{a7ddrphy_dfi_p3_wrdata[25], a7ddrphy_dfi_p3_wrdata[9], a7ddrphy_dfi_p2_wrdata[25], a7ddrphy_dfi_p2_wrdata[9], a7ddrphy_dfi_p1_wrdata[25], a7ddrphy_dfi_p1_wrdata[9], a7ddrphy_dfi_p0_wrdata[25], a7ddrphy_dfi_p0_wrdata[9]}, a7ddrphy_bitslip9_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip9_value1 <= (a7ddrphy_bitslip9_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip9_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip9_value1 <= 3'd7; end - main_a7ddrphy_bitslip9_r1 <= {main_a7ddrphy_bitslip91, main_a7ddrphy_bitslip9_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip10_value0 <= (main_a7ddrphy_bitslip10_value0 + 1'd1); + a7ddrphy_bitslip9_r1 <= {a7ddrphy_bitslip91, a7ddrphy_bitslip9_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip10_value0 <= (a7ddrphy_bitslip10_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip10_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip10_value0 <= 3'd7; end - main_a7ddrphy_bitslip10_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[26], main_a7ddrphy_dfi_p3_wrdata[10], main_a7ddrphy_dfi_p2_wrdata[26], main_a7ddrphy_dfi_p2_wrdata[10], main_a7ddrphy_dfi_p1_wrdata[26], main_a7ddrphy_dfi_p1_wrdata[10], main_a7ddrphy_dfi_p0_wrdata[26], main_a7ddrphy_dfi_p0_wrdata[10]}, main_a7ddrphy_bitslip10_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip10_value1 <= (main_a7ddrphy_bitslip10_value1 + 1'd1); + a7ddrphy_bitslip10_r0 <= {{a7ddrphy_dfi_p3_wrdata[26], a7ddrphy_dfi_p3_wrdata[10], a7ddrphy_dfi_p2_wrdata[26], a7ddrphy_dfi_p2_wrdata[10], a7ddrphy_dfi_p1_wrdata[26], a7ddrphy_dfi_p1_wrdata[10], a7ddrphy_dfi_p0_wrdata[26], a7ddrphy_dfi_p0_wrdata[10]}, a7ddrphy_bitslip10_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip10_value1 <= (a7ddrphy_bitslip10_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip10_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip10_value1 <= 3'd7; end - main_a7ddrphy_bitslip10_r1 <= {main_a7ddrphy_bitslip101, main_a7ddrphy_bitslip10_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip11_value0 <= (main_a7ddrphy_bitslip11_value0 + 1'd1); + a7ddrphy_bitslip10_r1 <= {a7ddrphy_bitslip101, a7ddrphy_bitslip10_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip11_value0 <= (a7ddrphy_bitslip11_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip11_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip11_value0 <= 3'd7; end - main_a7ddrphy_bitslip11_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[27], main_a7ddrphy_dfi_p3_wrdata[11], main_a7ddrphy_dfi_p2_wrdata[27], main_a7ddrphy_dfi_p2_wrdata[11], main_a7ddrphy_dfi_p1_wrdata[27], main_a7ddrphy_dfi_p1_wrdata[11], main_a7ddrphy_dfi_p0_wrdata[27], main_a7ddrphy_dfi_p0_wrdata[11]}, main_a7ddrphy_bitslip11_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip11_value1 <= (main_a7ddrphy_bitslip11_value1 + 1'd1); + a7ddrphy_bitslip11_r0 <= {{a7ddrphy_dfi_p3_wrdata[27], a7ddrphy_dfi_p3_wrdata[11], a7ddrphy_dfi_p2_wrdata[27], a7ddrphy_dfi_p2_wrdata[11], a7ddrphy_dfi_p1_wrdata[27], a7ddrphy_dfi_p1_wrdata[11], a7ddrphy_dfi_p0_wrdata[27], a7ddrphy_dfi_p0_wrdata[11]}, a7ddrphy_bitslip11_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip11_value1 <= (a7ddrphy_bitslip11_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip11_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip11_value1 <= 3'd7; end - main_a7ddrphy_bitslip11_r1 <= {main_a7ddrphy_bitslip111, main_a7ddrphy_bitslip11_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip12_value0 <= (main_a7ddrphy_bitslip12_value0 + 1'd1); + a7ddrphy_bitslip11_r1 <= {a7ddrphy_bitslip111, a7ddrphy_bitslip11_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip12_value0 <= (a7ddrphy_bitslip12_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip12_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip12_value0 <= 3'd7; end - main_a7ddrphy_bitslip12_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[28], main_a7ddrphy_dfi_p3_wrdata[12], main_a7ddrphy_dfi_p2_wrdata[28], main_a7ddrphy_dfi_p2_wrdata[12], main_a7ddrphy_dfi_p1_wrdata[28], main_a7ddrphy_dfi_p1_wrdata[12], main_a7ddrphy_dfi_p0_wrdata[28], main_a7ddrphy_dfi_p0_wrdata[12]}, main_a7ddrphy_bitslip12_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip12_value1 <= (main_a7ddrphy_bitslip12_value1 + 1'd1); + a7ddrphy_bitslip12_r0 <= {{a7ddrphy_dfi_p3_wrdata[28], a7ddrphy_dfi_p3_wrdata[12], a7ddrphy_dfi_p2_wrdata[28], a7ddrphy_dfi_p2_wrdata[12], a7ddrphy_dfi_p1_wrdata[28], a7ddrphy_dfi_p1_wrdata[12], a7ddrphy_dfi_p0_wrdata[28], a7ddrphy_dfi_p0_wrdata[12]}, a7ddrphy_bitslip12_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip12_value1 <= (a7ddrphy_bitslip12_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip12_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip12_value1 <= 3'd7; end - main_a7ddrphy_bitslip12_r1 <= {main_a7ddrphy_bitslip121, main_a7ddrphy_bitslip12_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip13_value0 <= (main_a7ddrphy_bitslip13_value0 + 1'd1); + a7ddrphy_bitslip12_r1 <= {a7ddrphy_bitslip121, a7ddrphy_bitslip12_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip13_value0 <= (a7ddrphy_bitslip13_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip13_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip13_value0 <= 3'd7; end - main_a7ddrphy_bitslip13_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[29], main_a7ddrphy_dfi_p3_wrdata[13], main_a7ddrphy_dfi_p2_wrdata[29], main_a7ddrphy_dfi_p2_wrdata[13], main_a7ddrphy_dfi_p1_wrdata[29], main_a7ddrphy_dfi_p1_wrdata[13], main_a7ddrphy_dfi_p0_wrdata[29], main_a7ddrphy_dfi_p0_wrdata[13]}, main_a7ddrphy_bitslip13_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip13_value1 <= (main_a7ddrphy_bitslip13_value1 + 1'd1); + a7ddrphy_bitslip13_r0 <= {{a7ddrphy_dfi_p3_wrdata[29], a7ddrphy_dfi_p3_wrdata[13], a7ddrphy_dfi_p2_wrdata[29], a7ddrphy_dfi_p2_wrdata[13], a7ddrphy_dfi_p1_wrdata[29], a7ddrphy_dfi_p1_wrdata[13], a7ddrphy_dfi_p0_wrdata[29], a7ddrphy_dfi_p0_wrdata[13]}, a7ddrphy_bitslip13_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip13_value1 <= (a7ddrphy_bitslip13_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip13_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip13_value1 <= 3'd7; end - main_a7ddrphy_bitslip13_r1 <= {main_a7ddrphy_bitslip131, main_a7ddrphy_bitslip13_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip14_value0 <= (main_a7ddrphy_bitslip14_value0 + 1'd1); + a7ddrphy_bitslip13_r1 <= {a7ddrphy_bitslip131, a7ddrphy_bitslip13_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip14_value0 <= (a7ddrphy_bitslip14_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip14_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip14_value0 <= 3'd7; end - main_a7ddrphy_bitslip14_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[30], main_a7ddrphy_dfi_p3_wrdata[14], main_a7ddrphy_dfi_p2_wrdata[30], main_a7ddrphy_dfi_p2_wrdata[14], main_a7ddrphy_dfi_p1_wrdata[30], main_a7ddrphy_dfi_p1_wrdata[14], main_a7ddrphy_dfi_p0_wrdata[30], main_a7ddrphy_dfi_p0_wrdata[14]}, main_a7ddrphy_bitslip14_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip14_value1 <= (main_a7ddrphy_bitslip14_value1 + 1'd1); + a7ddrphy_bitslip14_r0 <= {{a7ddrphy_dfi_p3_wrdata[30], a7ddrphy_dfi_p3_wrdata[14], a7ddrphy_dfi_p2_wrdata[30], a7ddrphy_dfi_p2_wrdata[14], a7ddrphy_dfi_p1_wrdata[30], a7ddrphy_dfi_p1_wrdata[14], a7ddrphy_dfi_p0_wrdata[30], a7ddrphy_dfi_p0_wrdata[14]}, a7ddrphy_bitslip14_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip14_value1 <= (a7ddrphy_bitslip14_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip14_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip14_value1 <= 3'd7; end - main_a7ddrphy_bitslip14_r1 <= {main_a7ddrphy_bitslip141, main_a7ddrphy_bitslip14_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip15_value0 <= (main_a7ddrphy_bitslip15_value0 + 1'd1); + a7ddrphy_bitslip14_r1 <= {a7ddrphy_bitslip141, a7ddrphy_bitslip14_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip15_value0 <= (a7ddrphy_bitslip15_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip15_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip15_value0 <= 3'd7; end - main_a7ddrphy_bitslip15_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[31], main_a7ddrphy_dfi_p3_wrdata[15], main_a7ddrphy_dfi_p2_wrdata[31], main_a7ddrphy_dfi_p2_wrdata[15], main_a7ddrphy_dfi_p1_wrdata[31], main_a7ddrphy_dfi_p1_wrdata[15], main_a7ddrphy_dfi_p0_wrdata[31], main_a7ddrphy_dfi_p0_wrdata[15]}, main_a7ddrphy_bitslip15_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip15_value1 <= (main_a7ddrphy_bitslip15_value1 + 1'd1); + a7ddrphy_bitslip15_r0 <= {{a7ddrphy_dfi_p3_wrdata[31], a7ddrphy_dfi_p3_wrdata[15], a7ddrphy_dfi_p2_wrdata[31], a7ddrphy_dfi_p2_wrdata[15], a7ddrphy_dfi_p1_wrdata[31], a7ddrphy_dfi_p1_wrdata[15], a7ddrphy_dfi_p0_wrdata[31], a7ddrphy_dfi_p0_wrdata[15]}, a7ddrphy_bitslip15_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip15_value1 <= (a7ddrphy_bitslip15_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip15_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip15_value1 <= 3'd7; end - main_a7ddrphy_bitslip15_r1 <= {main_a7ddrphy_bitslip151, main_a7ddrphy_bitslip15_r1[15:8]}; - main_a7ddrphy_rddata_en_tappeddelayline0 <= (((main_a7ddrphy_dfi_p0_rddata_en | main_a7ddrphy_dfi_p1_rddata_en) | main_a7ddrphy_dfi_p2_rddata_en) | main_a7ddrphy_dfi_p3_rddata_en); - main_a7ddrphy_rddata_en_tappeddelayline1 <= main_a7ddrphy_rddata_en_tappeddelayline0; - main_a7ddrphy_rddata_en_tappeddelayline2 <= main_a7ddrphy_rddata_en_tappeddelayline1; - main_a7ddrphy_rddata_en_tappeddelayline3 <= main_a7ddrphy_rddata_en_tappeddelayline2; - main_a7ddrphy_rddata_en_tappeddelayline4 <= main_a7ddrphy_rddata_en_tappeddelayline3; - main_a7ddrphy_rddata_en_tappeddelayline5 <= main_a7ddrphy_rddata_en_tappeddelayline4; - main_a7ddrphy_rddata_en_tappeddelayline6 <= main_a7ddrphy_rddata_en_tappeddelayline5; - main_a7ddrphy_rddata_en_tappeddelayline7 <= main_a7ddrphy_rddata_en_tappeddelayline6; - main_a7ddrphy_wrdata_en_tappeddelayline0 <= (((main_a7ddrphy_dfi_p0_wrdata_en | main_a7ddrphy_dfi_p1_wrdata_en) | main_a7ddrphy_dfi_p2_wrdata_en) | main_a7ddrphy_dfi_p3_wrdata_en); - main_a7ddrphy_wrdata_en_tappeddelayline1 <= main_a7ddrphy_wrdata_en_tappeddelayline0; - main_a7ddrphy_wrdata_en_tappeddelayline2 <= main_a7ddrphy_wrdata_en_tappeddelayline1; - if (main_litedramcore_inti_p0_rddata_valid) begin - main_litedramcore_phaseinjector0_rddata_status <= main_litedramcore_inti_p0_rddata; + a7ddrphy_bitslip15_r1 <= {a7ddrphy_bitslip151, a7ddrphy_bitslip15_r1[15:8]}; + a7ddrphy_rddata_en_tappeddelayline0 <= (((a7ddrphy_dfi_p0_rddata_en | a7ddrphy_dfi_p1_rddata_en) | a7ddrphy_dfi_p2_rddata_en) | a7ddrphy_dfi_p3_rddata_en); + a7ddrphy_rddata_en_tappeddelayline1 <= a7ddrphy_rddata_en_tappeddelayline0; + a7ddrphy_rddata_en_tappeddelayline2 <= a7ddrphy_rddata_en_tappeddelayline1; + a7ddrphy_rddata_en_tappeddelayline3 <= a7ddrphy_rddata_en_tappeddelayline2; + a7ddrphy_rddata_en_tappeddelayline4 <= a7ddrphy_rddata_en_tappeddelayline3; + a7ddrphy_rddata_en_tappeddelayline5 <= a7ddrphy_rddata_en_tappeddelayline4; + a7ddrphy_rddata_en_tappeddelayline6 <= a7ddrphy_rddata_en_tappeddelayline5; + a7ddrphy_rddata_en_tappeddelayline7 <= a7ddrphy_rddata_en_tappeddelayline6; + a7ddrphy_wrdata_en_tappeddelayline0 <= (((a7ddrphy_dfi_p0_wrdata_en | a7ddrphy_dfi_p1_wrdata_en) | a7ddrphy_dfi_p2_wrdata_en) | a7ddrphy_dfi_p3_wrdata_en); + a7ddrphy_wrdata_en_tappeddelayline1 <= a7ddrphy_wrdata_en_tappeddelayline0; + a7ddrphy_wrdata_en_tappeddelayline2 <= a7ddrphy_wrdata_en_tappeddelayline1; + if (litedramcore_csr_dfi_p0_rddata_valid) begin + litedramcore_phaseinjector0_rddata_status <= litedramcore_csr_dfi_p0_rddata; end - if (main_litedramcore_inti_p1_rddata_valid) begin - main_litedramcore_phaseinjector1_rddata_status <= main_litedramcore_inti_p1_rddata; + if (litedramcore_csr_dfi_p1_rddata_valid) begin + litedramcore_phaseinjector1_rddata_status <= litedramcore_csr_dfi_p1_rddata; end - if (main_litedramcore_inti_p2_rddata_valid) begin - main_litedramcore_phaseinjector2_rddata_status <= main_litedramcore_inti_p2_rddata; + if (litedramcore_csr_dfi_p2_rddata_valid) begin + litedramcore_phaseinjector2_rddata_status <= litedramcore_csr_dfi_p2_rddata; end - if (main_litedramcore_inti_p3_rddata_valid) begin - main_litedramcore_phaseinjector3_rddata_status <= main_litedramcore_inti_p3_rddata; - end - if ((main_litedramcore_timer_wait & (~main_litedramcore_timer_done0))) begin - main_litedramcore_timer_count1 <= (main_litedramcore_timer_count1 - 1'd1); + if (litedramcore_csr_dfi_p3_rddata_valid) begin + litedramcore_phaseinjector3_rddata_status <= litedramcore_csr_dfi_p3_rddata; + end + if ((litedramcore_timer_wait & (~litedramcore_timer_done0))) begin + litedramcore_timer_count1 <= (litedramcore_timer_count1 - 1'd1); end else begin - main_litedramcore_timer_count1 <= 10'd781; + litedramcore_timer_count1 <= 10'd781; end - main_litedramcore_postponer_req_o <= 1'd0; - if (main_litedramcore_postponer_req_i) begin - main_litedramcore_postponer_count <= (main_litedramcore_postponer_count - 1'd1); - if ((main_litedramcore_postponer_count == 1'd0)) begin - main_litedramcore_postponer_count <= 1'd0; - main_litedramcore_postponer_req_o <= 1'd1; - end + litedramcore_postponer_req_o <= 1'd0; + if (litedramcore_postponer_req_i) begin + litedramcore_postponer_count <= (litedramcore_postponer_count - 1'd1); + if ((litedramcore_postponer_count == 1'd0)) begin + litedramcore_postponer_count <= 1'd0; + litedramcore_postponer_req_o <= 1'd1; + end end - if (main_litedramcore_sequencer_start0) begin - main_litedramcore_sequencer_count <= 1'd0; - end else begin - if (main_litedramcore_sequencer_done1) begin - if ((main_litedramcore_sequencer_count != 1'd0)) begin - main_litedramcore_sequencer_count <= (main_litedramcore_sequencer_count - 1'd1); - end - end - end - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd0; - if ((main_litedramcore_sequencer_start1 & (main_litedramcore_sequencer_counter == 1'd0))) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd1; - end - if ((main_litedramcore_sequencer_counter == 2'd3)) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd1; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd0; - end - if ((main_litedramcore_sequencer_counter == 7'd73)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd1; - end - if ((main_litedramcore_sequencer_counter == 7'd73)) begin - main_litedramcore_sequencer_counter <= 1'd0; - end else begin - if ((main_litedramcore_sequencer_counter != 1'd0)) begin - main_litedramcore_sequencer_counter <= (main_litedramcore_sequencer_counter + 1'd1); + if (litedramcore_sequencer_start0) begin + litedramcore_sequencer_count <= 1'd0; + end else begin + if (litedramcore_sequencer_done1) begin + if ((litedramcore_sequencer_count != 1'd0)) begin + litedramcore_sequencer_count <= (litedramcore_sequencer_count - 1'd1); + end + end + end + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_sequencer_done1 <= 1'd0; + if ((litedramcore_sequencer_start1 & (litedramcore_sequencer_counter == 1'd0))) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd1; + end + if ((litedramcore_sequencer_counter == 2'd3)) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd1; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd0; + end + if ((litedramcore_sequencer_counter == 7'd73)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_sequencer_done1 <= 1'd1; + end + if ((litedramcore_sequencer_counter == 7'd73)) begin + litedramcore_sequencer_counter <= 1'd0; + end else begin + if ((litedramcore_sequencer_counter != 1'd0)) begin + litedramcore_sequencer_counter <= (litedramcore_sequencer_counter + 1'd1); end else begin - if (main_litedramcore_sequencer_start1) begin - main_litedramcore_sequencer_counter <= 1'd1; + if (litedramcore_sequencer_start1) begin + litedramcore_sequencer_counter <= 1'd1; end end end - if ((main_litedramcore_zqcs_timer_wait & (~main_litedramcore_zqcs_timer_done0))) begin - main_litedramcore_zqcs_timer_count1 <= (main_litedramcore_zqcs_timer_count1 - 1'd1); + if ((litedramcore_zqcs_timer_wait & (~litedramcore_zqcs_timer_done0))) begin + litedramcore_zqcs_timer_count1 <= (litedramcore_zqcs_timer_count1 - 1'd1); end else begin - main_litedramcore_zqcs_timer_count1 <= 27'd99999999; + litedramcore_zqcs_timer_count1 <= 27'd99999999; end - main_litedramcore_zqcs_executer_done <= 1'd0; - if ((main_litedramcore_zqcs_executer_start & (main_litedramcore_zqcs_executer_counter == 1'd0))) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd1; + litedramcore_zqcs_executer_done <= 1'd0; + if ((litedramcore_zqcs_executer_start & (litedramcore_zqcs_executer_counter == 1'd0))) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd1; end - if ((main_litedramcore_zqcs_executer_counter == 2'd3)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd1; + if ((litedramcore_zqcs_executer_counter == 2'd3)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd1; end - if ((main_litedramcore_zqcs_executer_counter == 5'd19)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_zqcs_executer_done <= 1'd1; + if ((litedramcore_zqcs_executer_counter == 5'd19)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_zqcs_executer_done <= 1'd1; end - if ((main_litedramcore_zqcs_executer_counter == 5'd19)) begin - main_litedramcore_zqcs_executer_counter <= 1'd0; + if ((litedramcore_zqcs_executer_counter == 5'd19)) begin + litedramcore_zqcs_executer_counter <= 1'd0; end else begin - if ((main_litedramcore_zqcs_executer_counter != 1'd0)) begin - main_litedramcore_zqcs_executer_counter <= (main_litedramcore_zqcs_executer_counter + 1'd1); + if ((litedramcore_zqcs_executer_counter != 1'd0)) begin + litedramcore_zqcs_executer_counter <= (litedramcore_zqcs_executer_counter + 1'd1); end else begin - if (main_litedramcore_zqcs_executer_start) begin - main_litedramcore_zqcs_executer_counter <= 1'd1; + if (litedramcore_zqcs_executer_start) begin + litedramcore_zqcs_executer_counter <= 1'd1; end end end - builder_refresher_state <= builder_refresher_next_state; - if (main_litedramcore_bankmachine0_row_close) begin - main_litedramcore_bankmachine0_row_opened <= 1'd0; + litedramcore_refresher_state <= litedramcore_refresher_next_state; + if (litedramcore_bankmachine0_row_close) begin + litedramcore_bankmachine0_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine0_row_open) begin - main_litedramcore_bankmachine0_row_opened <= 1'd1; - main_litedramcore_bankmachine0_row <= main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[22:7]; + if (litedramcore_bankmachine0_row_open) begin + litedramcore_bankmachine0_row_opened <= 1'd1; + litedramcore_bankmachine0_row <= litedramcore_bankmachine0_cmd_buffer_source_payload_addr[22:7]; end end - if (((main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine0_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine0_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine0_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (litedramcore_bankmachine0_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (litedramcore_bankmachine0_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine0_cmd_buffer_source_valid) | main_litedramcore_bankmachine0_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine0_cmd_buffer_source_valid <= main_litedramcore_bankmachine0_cmd_buffer_sink_valid; - main_litedramcore_bankmachine0_cmd_buffer_source_first <= main_litedramcore_bankmachine0_cmd_buffer_sink_first; - main_litedramcore_bankmachine0_cmd_buffer_source_last <= main_litedramcore_bankmachine0_cmd_buffer_sink_last; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready)) begin + litedramcore_bankmachine0_cmd_buffer_source_valid <= litedramcore_bankmachine0_cmd_buffer_sink_valid; + litedramcore_bankmachine0_cmd_buffer_source_first <= litedramcore_bankmachine0_cmd_buffer_sink_first; + litedramcore_bankmachine0_cmd_buffer_source_last <= litedramcore_bankmachine0_cmd_buffer_sink_last; + litedramcore_bankmachine0_cmd_buffer_source_payload_we <= litedramcore_bankmachine0_cmd_buffer_sink_payload_we; + litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine0_twtpcon_valid) begin - main_litedramcore_bankmachine0_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine0_twtpcon_valid) begin + litedramcore_bankmachine0_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd1; + litedramcore_bankmachine0_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd0; + litedramcore_bankmachine0_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_twtpcon_ready)) begin - main_litedramcore_bankmachine0_twtpcon_count <= (main_litedramcore_bankmachine0_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine0_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_twtpcon_ready)) begin + litedramcore_bankmachine0_twtpcon_count <= (litedramcore_bankmachine0_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine0_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine0_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine0_trccon_valid) begin - main_litedramcore_bankmachine0_trccon_count <= 3'd6; + if (litedramcore_bankmachine0_trccon_valid) begin + litedramcore_bankmachine0_trccon_count <= 3'd6; if (1'd0) begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd1; + litedramcore_bankmachine0_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd0; + litedramcore_bankmachine0_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_trccon_ready)) begin - main_litedramcore_bankmachine0_trccon_count <= (main_litedramcore_bankmachine0_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine0_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_trccon_ready)) begin + litedramcore_bankmachine0_trccon_count <= (litedramcore_bankmachine0_trccon_count - 1'd1); + if ((litedramcore_bankmachine0_trccon_count == 1'd1)) begin + litedramcore_bankmachine0_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine0_trascon_valid) begin - main_litedramcore_bankmachine0_trascon_count <= 3'd4; + if (litedramcore_bankmachine0_trascon_valid) begin + litedramcore_bankmachine0_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd1; + litedramcore_bankmachine0_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd0; + litedramcore_bankmachine0_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_trascon_count <= (main_litedramcore_bankmachine0_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine0_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_trascon_count <= (litedramcore_bankmachine0_trascon_count - 1'd1); + if ((litedramcore_bankmachine0_trascon_count == 1'd1)) begin + litedramcore_bankmachine0_trascon_ready <= 1'd1; end end end - builder_bankmachine0_state <= builder_bankmachine0_next_state; - if (main_litedramcore_bankmachine1_row_close) begin - main_litedramcore_bankmachine1_row_opened <= 1'd0; + litedramcore_bankmachine0_state <= litedramcore_bankmachine0_next_state; + if (litedramcore_bankmachine1_row_close) begin + litedramcore_bankmachine1_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine1_row_open) begin - main_litedramcore_bankmachine1_row_opened <= 1'd1; - main_litedramcore_bankmachine1_row <= main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[22:7]; + if (litedramcore_bankmachine1_row_open) begin + litedramcore_bankmachine1_row_opened <= 1'd1; + litedramcore_bankmachine1_row <= litedramcore_bankmachine1_cmd_buffer_source_payload_addr[22:7]; end end - if (((main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine1_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine1_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine1_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (litedramcore_bankmachine1_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (litedramcore_bankmachine1_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine1_cmd_buffer_source_valid) | main_litedramcore_bankmachine1_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine1_cmd_buffer_source_valid <= main_litedramcore_bankmachine1_cmd_buffer_sink_valid; - main_litedramcore_bankmachine1_cmd_buffer_source_first <= main_litedramcore_bankmachine1_cmd_buffer_sink_first; - main_litedramcore_bankmachine1_cmd_buffer_source_last <= main_litedramcore_bankmachine1_cmd_buffer_sink_last; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready)) begin + litedramcore_bankmachine1_cmd_buffer_source_valid <= litedramcore_bankmachine1_cmd_buffer_sink_valid; + litedramcore_bankmachine1_cmd_buffer_source_first <= litedramcore_bankmachine1_cmd_buffer_sink_first; + litedramcore_bankmachine1_cmd_buffer_source_last <= litedramcore_bankmachine1_cmd_buffer_sink_last; + litedramcore_bankmachine1_cmd_buffer_source_payload_we <= litedramcore_bankmachine1_cmd_buffer_sink_payload_we; + litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine1_twtpcon_valid) begin - main_litedramcore_bankmachine1_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine1_twtpcon_valid) begin + litedramcore_bankmachine1_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd1; + litedramcore_bankmachine1_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd0; + litedramcore_bankmachine1_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_twtpcon_ready)) begin - main_litedramcore_bankmachine1_twtpcon_count <= (main_litedramcore_bankmachine1_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine1_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_twtpcon_ready)) begin + litedramcore_bankmachine1_twtpcon_count <= (litedramcore_bankmachine1_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine1_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine1_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine1_trccon_valid) begin - main_litedramcore_bankmachine1_trccon_count <= 3'd6; + if (litedramcore_bankmachine1_trccon_valid) begin + litedramcore_bankmachine1_trccon_count <= 3'd6; if (1'd0) begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd1; + litedramcore_bankmachine1_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd0; + litedramcore_bankmachine1_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_trccon_ready)) begin - main_litedramcore_bankmachine1_trccon_count <= (main_litedramcore_bankmachine1_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine1_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_trccon_ready)) begin + litedramcore_bankmachine1_trccon_count <= (litedramcore_bankmachine1_trccon_count - 1'd1); + if ((litedramcore_bankmachine1_trccon_count == 1'd1)) begin + litedramcore_bankmachine1_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine1_trascon_valid) begin - main_litedramcore_bankmachine1_trascon_count <= 3'd4; + if (litedramcore_bankmachine1_trascon_valid) begin + litedramcore_bankmachine1_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd1; + litedramcore_bankmachine1_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd0; + litedramcore_bankmachine1_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_trascon_count <= (main_litedramcore_bankmachine1_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine1_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_trascon_count <= (litedramcore_bankmachine1_trascon_count - 1'd1); + if ((litedramcore_bankmachine1_trascon_count == 1'd1)) begin + litedramcore_bankmachine1_trascon_ready <= 1'd1; end end end - builder_bankmachine1_state <= builder_bankmachine1_next_state; - if (main_litedramcore_bankmachine2_row_close) begin - main_litedramcore_bankmachine2_row_opened <= 1'd0; + litedramcore_bankmachine1_state <= litedramcore_bankmachine1_next_state; + if (litedramcore_bankmachine2_row_close) begin + litedramcore_bankmachine2_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine2_row_open) begin - main_litedramcore_bankmachine2_row_opened <= 1'd1; - main_litedramcore_bankmachine2_row <= main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[22:7]; + if (litedramcore_bankmachine2_row_open) begin + litedramcore_bankmachine2_row_opened <= 1'd1; + litedramcore_bankmachine2_row <= litedramcore_bankmachine2_cmd_buffer_source_payload_addr[22:7]; end end - if (((main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine2_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine2_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine2_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (litedramcore_bankmachine2_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (litedramcore_bankmachine2_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine2_cmd_buffer_source_valid) | main_litedramcore_bankmachine2_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine2_cmd_buffer_source_valid <= main_litedramcore_bankmachine2_cmd_buffer_sink_valid; - main_litedramcore_bankmachine2_cmd_buffer_source_first <= main_litedramcore_bankmachine2_cmd_buffer_sink_first; - main_litedramcore_bankmachine2_cmd_buffer_source_last <= main_litedramcore_bankmachine2_cmd_buffer_sink_last; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready)) begin + litedramcore_bankmachine2_cmd_buffer_source_valid <= litedramcore_bankmachine2_cmd_buffer_sink_valid; + litedramcore_bankmachine2_cmd_buffer_source_first <= litedramcore_bankmachine2_cmd_buffer_sink_first; + litedramcore_bankmachine2_cmd_buffer_source_last <= litedramcore_bankmachine2_cmd_buffer_sink_last; + litedramcore_bankmachine2_cmd_buffer_source_payload_we <= litedramcore_bankmachine2_cmd_buffer_sink_payload_we; + litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine2_twtpcon_valid) begin - main_litedramcore_bankmachine2_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine2_twtpcon_valid) begin + litedramcore_bankmachine2_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd1; + litedramcore_bankmachine2_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd0; + litedramcore_bankmachine2_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_twtpcon_ready)) begin - main_litedramcore_bankmachine2_twtpcon_count <= (main_litedramcore_bankmachine2_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine2_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_twtpcon_ready)) begin + litedramcore_bankmachine2_twtpcon_count <= (litedramcore_bankmachine2_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine2_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine2_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine2_trccon_valid) begin - main_litedramcore_bankmachine2_trccon_count <= 3'd6; + if (litedramcore_bankmachine2_trccon_valid) begin + litedramcore_bankmachine2_trccon_count <= 3'd6; if (1'd0) begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd1; + litedramcore_bankmachine2_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd0; + litedramcore_bankmachine2_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_trccon_ready)) begin - main_litedramcore_bankmachine2_trccon_count <= (main_litedramcore_bankmachine2_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine2_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_trccon_ready)) begin + litedramcore_bankmachine2_trccon_count <= (litedramcore_bankmachine2_trccon_count - 1'd1); + if ((litedramcore_bankmachine2_trccon_count == 1'd1)) begin + litedramcore_bankmachine2_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine2_trascon_valid) begin - main_litedramcore_bankmachine2_trascon_count <= 3'd4; + if (litedramcore_bankmachine2_trascon_valid) begin + litedramcore_bankmachine2_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd1; + litedramcore_bankmachine2_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd0; + litedramcore_bankmachine2_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_trascon_count <= (main_litedramcore_bankmachine2_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine2_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_trascon_count <= (litedramcore_bankmachine2_trascon_count - 1'd1); + if ((litedramcore_bankmachine2_trascon_count == 1'd1)) begin + litedramcore_bankmachine2_trascon_ready <= 1'd1; end end end - builder_bankmachine2_state <= builder_bankmachine2_next_state; - if (main_litedramcore_bankmachine3_row_close) begin - main_litedramcore_bankmachine3_row_opened <= 1'd0; + litedramcore_bankmachine2_state <= litedramcore_bankmachine2_next_state; + if (litedramcore_bankmachine3_row_close) begin + litedramcore_bankmachine3_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine3_row_open) begin - main_litedramcore_bankmachine3_row_opened <= 1'd1; - main_litedramcore_bankmachine3_row <= main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[22:7]; + if (litedramcore_bankmachine3_row_open) begin + litedramcore_bankmachine3_row_opened <= 1'd1; + litedramcore_bankmachine3_row <= litedramcore_bankmachine3_cmd_buffer_source_payload_addr[22:7]; end end - if (((main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine3_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine3_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine3_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (litedramcore_bankmachine3_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (litedramcore_bankmachine3_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine3_cmd_buffer_source_valid) | main_litedramcore_bankmachine3_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine3_cmd_buffer_source_valid <= main_litedramcore_bankmachine3_cmd_buffer_sink_valid; - main_litedramcore_bankmachine3_cmd_buffer_source_first <= main_litedramcore_bankmachine3_cmd_buffer_sink_first; - main_litedramcore_bankmachine3_cmd_buffer_source_last <= main_litedramcore_bankmachine3_cmd_buffer_sink_last; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready)) begin + litedramcore_bankmachine3_cmd_buffer_source_valid <= litedramcore_bankmachine3_cmd_buffer_sink_valid; + litedramcore_bankmachine3_cmd_buffer_source_first <= litedramcore_bankmachine3_cmd_buffer_sink_first; + litedramcore_bankmachine3_cmd_buffer_source_last <= litedramcore_bankmachine3_cmd_buffer_sink_last; + litedramcore_bankmachine3_cmd_buffer_source_payload_we <= litedramcore_bankmachine3_cmd_buffer_sink_payload_we; + litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine3_twtpcon_valid) begin - main_litedramcore_bankmachine3_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine3_twtpcon_valid) begin + litedramcore_bankmachine3_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd1; + litedramcore_bankmachine3_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd0; + litedramcore_bankmachine3_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_twtpcon_ready)) begin - main_litedramcore_bankmachine3_twtpcon_count <= (main_litedramcore_bankmachine3_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine3_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_twtpcon_ready)) begin + litedramcore_bankmachine3_twtpcon_count <= (litedramcore_bankmachine3_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine3_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine3_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine3_trccon_valid) begin - main_litedramcore_bankmachine3_trccon_count <= 3'd6; + if (litedramcore_bankmachine3_trccon_valid) begin + litedramcore_bankmachine3_trccon_count <= 3'd6; if (1'd0) begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd1; + litedramcore_bankmachine3_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd0; + litedramcore_bankmachine3_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_trccon_ready)) begin - main_litedramcore_bankmachine3_trccon_count <= (main_litedramcore_bankmachine3_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine3_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_trccon_ready)) begin + litedramcore_bankmachine3_trccon_count <= (litedramcore_bankmachine3_trccon_count - 1'd1); + if ((litedramcore_bankmachine3_trccon_count == 1'd1)) begin + litedramcore_bankmachine3_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine3_trascon_valid) begin - main_litedramcore_bankmachine3_trascon_count <= 3'd4; + if (litedramcore_bankmachine3_trascon_valid) begin + litedramcore_bankmachine3_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd1; + litedramcore_bankmachine3_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd0; + litedramcore_bankmachine3_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_trascon_count <= (main_litedramcore_bankmachine3_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine3_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_trascon_count <= (litedramcore_bankmachine3_trascon_count - 1'd1); + if ((litedramcore_bankmachine3_trascon_count == 1'd1)) begin + litedramcore_bankmachine3_trascon_ready <= 1'd1; end end end - builder_bankmachine3_state <= builder_bankmachine3_next_state; - if (main_litedramcore_bankmachine4_row_close) begin - main_litedramcore_bankmachine4_row_opened <= 1'd0; + litedramcore_bankmachine3_state <= litedramcore_bankmachine3_next_state; + if (litedramcore_bankmachine4_row_close) begin + litedramcore_bankmachine4_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine4_row_open) begin - main_litedramcore_bankmachine4_row_opened <= 1'd1; - main_litedramcore_bankmachine4_row <= main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[22:7]; + if (litedramcore_bankmachine4_row_open) begin + litedramcore_bankmachine4_row_opened <= 1'd1; + litedramcore_bankmachine4_row <= litedramcore_bankmachine4_cmd_buffer_source_payload_addr[22:7]; end end - if (((main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine4_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine4_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine4_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (litedramcore_bankmachine4_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (litedramcore_bankmachine4_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine4_cmd_buffer_source_valid) | main_litedramcore_bankmachine4_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine4_cmd_buffer_source_valid <= main_litedramcore_bankmachine4_cmd_buffer_sink_valid; - main_litedramcore_bankmachine4_cmd_buffer_source_first <= main_litedramcore_bankmachine4_cmd_buffer_sink_first; - main_litedramcore_bankmachine4_cmd_buffer_source_last <= main_litedramcore_bankmachine4_cmd_buffer_sink_last; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready)) begin + litedramcore_bankmachine4_cmd_buffer_source_valid <= litedramcore_bankmachine4_cmd_buffer_sink_valid; + litedramcore_bankmachine4_cmd_buffer_source_first <= litedramcore_bankmachine4_cmd_buffer_sink_first; + litedramcore_bankmachine4_cmd_buffer_source_last <= litedramcore_bankmachine4_cmd_buffer_sink_last; + litedramcore_bankmachine4_cmd_buffer_source_payload_we <= litedramcore_bankmachine4_cmd_buffer_sink_payload_we; + litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine4_twtpcon_valid) begin - main_litedramcore_bankmachine4_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine4_twtpcon_valid) begin + litedramcore_bankmachine4_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd1; + litedramcore_bankmachine4_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd0; + litedramcore_bankmachine4_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_twtpcon_ready)) begin - main_litedramcore_bankmachine4_twtpcon_count <= (main_litedramcore_bankmachine4_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine4_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_twtpcon_ready)) begin + litedramcore_bankmachine4_twtpcon_count <= (litedramcore_bankmachine4_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine4_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine4_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine4_trccon_valid) begin - main_litedramcore_bankmachine4_trccon_count <= 3'd6; + if (litedramcore_bankmachine4_trccon_valid) begin + litedramcore_bankmachine4_trccon_count <= 3'd6; if (1'd0) begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd1; + litedramcore_bankmachine4_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd0; + litedramcore_bankmachine4_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_trccon_ready)) begin - main_litedramcore_bankmachine4_trccon_count <= (main_litedramcore_bankmachine4_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine4_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_trccon_ready)) begin + litedramcore_bankmachine4_trccon_count <= (litedramcore_bankmachine4_trccon_count - 1'd1); + if ((litedramcore_bankmachine4_trccon_count == 1'd1)) begin + litedramcore_bankmachine4_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine4_trascon_valid) begin - main_litedramcore_bankmachine4_trascon_count <= 3'd4; + if (litedramcore_bankmachine4_trascon_valid) begin + litedramcore_bankmachine4_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd1; + litedramcore_bankmachine4_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd0; + litedramcore_bankmachine4_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_trascon_count <= (main_litedramcore_bankmachine4_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine4_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_trascon_count <= (litedramcore_bankmachine4_trascon_count - 1'd1); + if ((litedramcore_bankmachine4_trascon_count == 1'd1)) begin + litedramcore_bankmachine4_trascon_ready <= 1'd1; end end end - builder_bankmachine4_state <= builder_bankmachine4_next_state; - if (main_litedramcore_bankmachine5_row_close) begin - main_litedramcore_bankmachine5_row_opened <= 1'd0; + litedramcore_bankmachine4_state <= litedramcore_bankmachine4_next_state; + if (litedramcore_bankmachine5_row_close) begin + litedramcore_bankmachine5_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine5_row_open) begin - main_litedramcore_bankmachine5_row_opened <= 1'd1; - main_litedramcore_bankmachine5_row <= main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[22:7]; + if (litedramcore_bankmachine5_row_open) begin + litedramcore_bankmachine5_row_opened <= 1'd1; + litedramcore_bankmachine5_row <= litedramcore_bankmachine5_cmd_buffer_source_payload_addr[22:7]; end end - if (((main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine5_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine5_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine5_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (litedramcore_bankmachine5_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (litedramcore_bankmachine5_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine5_cmd_buffer_source_valid) | main_litedramcore_bankmachine5_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine5_cmd_buffer_source_valid <= main_litedramcore_bankmachine5_cmd_buffer_sink_valid; - main_litedramcore_bankmachine5_cmd_buffer_source_first <= main_litedramcore_bankmachine5_cmd_buffer_sink_first; - main_litedramcore_bankmachine5_cmd_buffer_source_last <= main_litedramcore_bankmachine5_cmd_buffer_sink_last; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready)) begin + litedramcore_bankmachine5_cmd_buffer_source_valid <= litedramcore_bankmachine5_cmd_buffer_sink_valid; + litedramcore_bankmachine5_cmd_buffer_source_first <= litedramcore_bankmachine5_cmd_buffer_sink_first; + litedramcore_bankmachine5_cmd_buffer_source_last <= litedramcore_bankmachine5_cmd_buffer_sink_last; + litedramcore_bankmachine5_cmd_buffer_source_payload_we <= litedramcore_bankmachine5_cmd_buffer_sink_payload_we; + litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine5_twtpcon_valid) begin - main_litedramcore_bankmachine5_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine5_twtpcon_valid) begin + litedramcore_bankmachine5_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd1; + litedramcore_bankmachine5_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd0; + litedramcore_bankmachine5_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_twtpcon_ready)) begin - main_litedramcore_bankmachine5_twtpcon_count <= (main_litedramcore_bankmachine5_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine5_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_twtpcon_ready)) begin + litedramcore_bankmachine5_twtpcon_count <= (litedramcore_bankmachine5_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine5_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine5_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine5_trccon_valid) begin - main_litedramcore_bankmachine5_trccon_count <= 3'd6; + if (litedramcore_bankmachine5_trccon_valid) begin + litedramcore_bankmachine5_trccon_count <= 3'd6; if (1'd0) begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd1; + litedramcore_bankmachine5_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd0; + litedramcore_bankmachine5_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_trccon_ready)) begin - main_litedramcore_bankmachine5_trccon_count <= (main_litedramcore_bankmachine5_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine5_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_trccon_ready)) begin + litedramcore_bankmachine5_trccon_count <= (litedramcore_bankmachine5_trccon_count - 1'd1); + if ((litedramcore_bankmachine5_trccon_count == 1'd1)) begin + litedramcore_bankmachine5_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine5_trascon_valid) begin - main_litedramcore_bankmachine5_trascon_count <= 3'd4; + if (litedramcore_bankmachine5_trascon_valid) begin + litedramcore_bankmachine5_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd1; + litedramcore_bankmachine5_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd0; + litedramcore_bankmachine5_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_trascon_count <= (main_litedramcore_bankmachine5_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine5_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_trascon_count <= (litedramcore_bankmachine5_trascon_count - 1'd1); + if ((litedramcore_bankmachine5_trascon_count == 1'd1)) begin + litedramcore_bankmachine5_trascon_ready <= 1'd1; end end end - builder_bankmachine5_state <= builder_bankmachine5_next_state; - if (main_litedramcore_bankmachine6_row_close) begin - main_litedramcore_bankmachine6_row_opened <= 1'd0; + litedramcore_bankmachine5_state <= litedramcore_bankmachine5_next_state; + if (litedramcore_bankmachine6_row_close) begin + litedramcore_bankmachine6_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine6_row_open) begin - main_litedramcore_bankmachine6_row_opened <= 1'd1; - main_litedramcore_bankmachine6_row <= main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[22:7]; + if (litedramcore_bankmachine6_row_open) begin + litedramcore_bankmachine6_row_opened <= 1'd1; + litedramcore_bankmachine6_row <= litedramcore_bankmachine6_cmd_buffer_source_payload_addr[22:7]; end end - if (((main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine6_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine6_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine6_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (litedramcore_bankmachine6_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (litedramcore_bankmachine6_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine6_cmd_buffer_source_valid) | main_litedramcore_bankmachine6_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine6_cmd_buffer_source_valid <= main_litedramcore_bankmachine6_cmd_buffer_sink_valid; - main_litedramcore_bankmachine6_cmd_buffer_source_first <= main_litedramcore_bankmachine6_cmd_buffer_sink_first; - main_litedramcore_bankmachine6_cmd_buffer_source_last <= main_litedramcore_bankmachine6_cmd_buffer_sink_last; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready)) begin + litedramcore_bankmachine6_cmd_buffer_source_valid <= litedramcore_bankmachine6_cmd_buffer_sink_valid; + litedramcore_bankmachine6_cmd_buffer_source_first <= litedramcore_bankmachine6_cmd_buffer_sink_first; + litedramcore_bankmachine6_cmd_buffer_source_last <= litedramcore_bankmachine6_cmd_buffer_sink_last; + litedramcore_bankmachine6_cmd_buffer_source_payload_we <= litedramcore_bankmachine6_cmd_buffer_sink_payload_we; + litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine6_twtpcon_valid) begin - main_litedramcore_bankmachine6_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine6_twtpcon_valid) begin + litedramcore_bankmachine6_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd1; + litedramcore_bankmachine6_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd0; + litedramcore_bankmachine6_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_twtpcon_ready)) begin - main_litedramcore_bankmachine6_twtpcon_count <= (main_litedramcore_bankmachine6_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine6_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_twtpcon_ready)) begin + litedramcore_bankmachine6_twtpcon_count <= (litedramcore_bankmachine6_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine6_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine6_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine6_trccon_valid) begin - main_litedramcore_bankmachine6_trccon_count <= 3'd6; + if (litedramcore_bankmachine6_trccon_valid) begin + litedramcore_bankmachine6_trccon_count <= 3'd6; if (1'd0) begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd1; + litedramcore_bankmachine6_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd0; + litedramcore_bankmachine6_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_trccon_ready)) begin - main_litedramcore_bankmachine6_trccon_count <= (main_litedramcore_bankmachine6_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine6_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_trccon_ready)) begin + litedramcore_bankmachine6_trccon_count <= (litedramcore_bankmachine6_trccon_count - 1'd1); + if ((litedramcore_bankmachine6_trccon_count == 1'd1)) begin + litedramcore_bankmachine6_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine6_trascon_valid) begin - main_litedramcore_bankmachine6_trascon_count <= 3'd4; + if (litedramcore_bankmachine6_trascon_valid) begin + litedramcore_bankmachine6_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd1; + litedramcore_bankmachine6_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd0; + litedramcore_bankmachine6_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_trascon_count <= (main_litedramcore_bankmachine6_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine6_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_trascon_count <= (litedramcore_bankmachine6_trascon_count - 1'd1); + if ((litedramcore_bankmachine6_trascon_count == 1'd1)) begin + litedramcore_bankmachine6_trascon_ready <= 1'd1; end end end - builder_bankmachine6_state <= builder_bankmachine6_next_state; - if (main_litedramcore_bankmachine7_row_close) begin - main_litedramcore_bankmachine7_row_opened <= 1'd0; + litedramcore_bankmachine6_state <= litedramcore_bankmachine6_next_state; + if (litedramcore_bankmachine7_row_close) begin + litedramcore_bankmachine7_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine7_row_open) begin - main_litedramcore_bankmachine7_row_opened <= 1'd1; - main_litedramcore_bankmachine7_row <= main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[22:7]; + if (litedramcore_bankmachine7_row_open) begin + litedramcore_bankmachine7_row_opened <= 1'd1; + litedramcore_bankmachine7_row <= litedramcore_bankmachine7_cmd_buffer_source_payload_addr[22:7]; end end - if (((main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine7_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine7_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine7_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (litedramcore_bankmachine7_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (litedramcore_bankmachine7_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine7_cmd_buffer_source_valid) | main_litedramcore_bankmachine7_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine7_cmd_buffer_source_valid <= main_litedramcore_bankmachine7_cmd_buffer_sink_valid; - main_litedramcore_bankmachine7_cmd_buffer_source_first <= main_litedramcore_bankmachine7_cmd_buffer_sink_first; - main_litedramcore_bankmachine7_cmd_buffer_source_last <= main_litedramcore_bankmachine7_cmd_buffer_sink_last; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready)) begin + litedramcore_bankmachine7_cmd_buffer_source_valid <= litedramcore_bankmachine7_cmd_buffer_sink_valid; + litedramcore_bankmachine7_cmd_buffer_source_first <= litedramcore_bankmachine7_cmd_buffer_sink_first; + litedramcore_bankmachine7_cmd_buffer_source_last <= litedramcore_bankmachine7_cmd_buffer_sink_last; + litedramcore_bankmachine7_cmd_buffer_source_payload_we <= litedramcore_bankmachine7_cmd_buffer_sink_payload_we; + litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine7_twtpcon_valid) begin - main_litedramcore_bankmachine7_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine7_twtpcon_valid) begin + litedramcore_bankmachine7_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd1; + litedramcore_bankmachine7_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd0; + litedramcore_bankmachine7_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_twtpcon_ready)) begin - main_litedramcore_bankmachine7_twtpcon_count <= (main_litedramcore_bankmachine7_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine7_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_twtpcon_ready)) begin + litedramcore_bankmachine7_twtpcon_count <= (litedramcore_bankmachine7_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine7_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine7_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine7_trccon_valid) begin - main_litedramcore_bankmachine7_trccon_count <= 3'd6; + if (litedramcore_bankmachine7_trccon_valid) begin + litedramcore_bankmachine7_trccon_count <= 3'd6; if (1'd0) begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd1; + litedramcore_bankmachine7_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd0; + litedramcore_bankmachine7_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_trccon_ready)) begin - main_litedramcore_bankmachine7_trccon_count <= (main_litedramcore_bankmachine7_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine7_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_trccon_ready)) begin + litedramcore_bankmachine7_trccon_count <= (litedramcore_bankmachine7_trccon_count - 1'd1); + if ((litedramcore_bankmachine7_trccon_count == 1'd1)) begin + litedramcore_bankmachine7_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine7_trascon_valid) begin - main_litedramcore_bankmachine7_trascon_count <= 3'd4; + if (litedramcore_bankmachine7_trascon_valid) begin + litedramcore_bankmachine7_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd1; + litedramcore_bankmachine7_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd0; + litedramcore_bankmachine7_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_trascon_count <= (main_litedramcore_bankmachine7_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine7_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_trascon_count <= (litedramcore_bankmachine7_trascon_count - 1'd1); + if ((litedramcore_bankmachine7_trascon_count == 1'd1)) begin + litedramcore_bankmachine7_trascon_ready <= 1'd1; end end end - builder_bankmachine7_state <= builder_bankmachine7_next_state; - if ((~main_litedramcore_en0)) begin - main_litedramcore_time0 <= 5'd31; + litedramcore_bankmachine7_state <= litedramcore_bankmachine7_next_state; + if ((~litedramcore_en0)) begin + litedramcore_time0 <= 5'd31; end else begin - if ((~main_litedramcore_max_time0)) begin - main_litedramcore_time0 <= (main_litedramcore_time0 - 1'd1); + if ((~litedramcore_max_time0)) begin + litedramcore_time0 <= (litedramcore_time0 - 1'd1); end end - if ((~main_litedramcore_en1)) begin - main_litedramcore_time1 <= 4'd15; + if ((~litedramcore_en1)) begin + litedramcore_time1 <= 4'd15; end else begin - if ((~main_litedramcore_max_time1)) begin - main_litedramcore_time1 <= (main_litedramcore_time1 - 1'd1); + if ((~litedramcore_max_time1)) begin + litedramcore_time1 <= (litedramcore_time1 - 1'd1); end end - if (main_litedramcore_choose_cmd_ce) begin - case (main_litedramcore_choose_cmd_grant) + if (litedramcore_choose_cmd_ce) begin + case (litedramcore_choose_cmd_grant) 1'd0: begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end end end @@ -12367,26 +12810,26 @@ always @(posedge sys_clk) begin end end 1'd1: begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end end end @@ -12396,26 +12839,26 @@ always @(posedge sys_clk) begin end end 2'd2: begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end end end @@ -12425,26 +12868,26 @@ always @(posedge sys_clk) begin end end 2'd3: begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end end end @@ -12454,26 +12897,26 @@ always @(posedge sys_clk) begin end end 3'd4: begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end end end @@ -12483,26 +12926,26 @@ always @(posedge sys_clk) begin end end 3'd5: begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end end end @@ -12512,26 +12955,26 @@ always @(posedge sys_clk) begin end end 3'd6: begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end end end @@ -12541,26 +12984,26 @@ always @(posedge sys_clk) begin end end 3'd7: begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end end end @@ -12571,29 +13014,29 @@ always @(posedge sys_clk) begin end endcase end - if (main_litedramcore_choose_req_ce) begin - case (main_litedramcore_choose_req_grant) + if (litedramcore_choose_req_ce) begin + case (litedramcore_choose_req_grant) 1'd0: begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end end end @@ -12603,26 +13046,26 @@ always @(posedge sys_clk) begin end end 1'd1: begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end end end @@ -12632,26 +13075,26 @@ always @(posedge sys_clk) begin end end 2'd2: begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end end end @@ -12661,26 +13104,26 @@ always @(posedge sys_clk) begin end end 2'd3: begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end end end @@ -12690,26 +13133,26 @@ always @(posedge sys_clk) begin end end 3'd4: begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end end end @@ -12719,26 +13162,26 @@ always @(posedge sys_clk) begin end end 3'd5: begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end end end @@ -12748,26 +13191,26 @@ always @(posedge sys_clk) begin end end 3'd6: begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end end end @@ -12777,26 +13220,26 @@ always @(posedge sys_clk) begin end end 3'd7: begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end end end @@ -12807,644 +13250,644 @@ always @(posedge sys_clk) begin end endcase end - main_litedramcore_dfi_p0_cs_n <= 1'd0; - main_litedramcore_dfi_p0_bank <= builder_array_muxed0; - main_litedramcore_dfi_p0_address <= builder_array_muxed1; - main_litedramcore_dfi_p0_cas_n <= (~builder_array_muxed2); - main_litedramcore_dfi_p0_ras_n <= (~builder_array_muxed3); - main_litedramcore_dfi_p0_we_n <= (~builder_array_muxed4); - main_litedramcore_dfi_p0_rddata_en <= builder_array_muxed5; - main_litedramcore_dfi_p0_wrdata_en <= builder_array_muxed6; - main_litedramcore_dfi_p1_cs_n <= 1'd0; - main_litedramcore_dfi_p1_bank <= builder_array_muxed7; - main_litedramcore_dfi_p1_address <= builder_array_muxed8; - main_litedramcore_dfi_p1_cas_n <= (~builder_array_muxed9); - main_litedramcore_dfi_p1_ras_n <= (~builder_array_muxed10); - main_litedramcore_dfi_p1_we_n <= (~builder_array_muxed11); - main_litedramcore_dfi_p1_rddata_en <= builder_array_muxed12; - main_litedramcore_dfi_p1_wrdata_en <= builder_array_muxed13; - main_litedramcore_dfi_p2_cs_n <= 1'd0; - main_litedramcore_dfi_p2_bank <= builder_array_muxed14; - main_litedramcore_dfi_p2_address <= builder_array_muxed15; - main_litedramcore_dfi_p2_cas_n <= (~builder_array_muxed16); - main_litedramcore_dfi_p2_ras_n <= (~builder_array_muxed17); - main_litedramcore_dfi_p2_we_n <= (~builder_array_muxed18); - main_litedramcore_dfi_p2_rddata_en <= builder_array_muxed19; - main_litedramcore_dfi_p2_wrdata_en <= builder_array_muxed20; - main_litedramcore_dfi_p3_cs_n <= 1'd0; - main_litedramcore_dfi_p3_bank <= builder_array_muxed21; - main_litedramcore_dfi_p3_address <= builder_array_muxed22; - main_litedramcore_dfi_p3_cas_n <= (~builder_array_muxed23); - main_litedramcore_dfi_p3_ras_n <= (~builder_array_muxed24); - main_litedramcore_dfi_p3_we_n <= (~builder_array_muxed25); - main_litedramcore_dfi_p3_rddata_en <= builder_array_muxed26; - main_litedramcore_dfi_p3_wrdata_en <= builder_array_muxed27; - if (main_litedramcore_trrdcon_valid) begin - main_litedramcore_trrdcon_count <= 1'd1; + litedramcore_dfi_p0_cs_n <= 1'd0; + litedramcore_dfi_p0_bank <= array_muxed0; + litedramcore_dfi_p0_address <= array_muxed1; + litedramcore_dfi_p0_cas_n <= (~array_muxed2); + litedramcore_dfi_p0_ras_n <= (~array_muxed3); + litedramcore_dfi_p0_we_n <= (~array_muxed4); + litedramcore_dfi_p0_rddata_en <= array_muxed5; + litedramcore_dfi_p0_wrdata_en <= array_muxed6; + litedramcore_dfi_p1_cs_n <= 1'd0; + litedramcore_dfi_p1_bank <= array_muxed7; + litedramcore_dfi_p1_address <= array_muxed8; + litedramcore_dfi_p1_cas_n <= (~array_muxed9); + litedramcore_dfi_p1_ras_n <= (~array_muxed10); + litedramcore_dfi_p1_we_n <= (~array_muxed11); + litedramcore_dfi_p1_rddata_en <= array_muxed12; + litedramcore_dfi_p1_wrdata_en <= array_muxed13; + litedramcore_dfi_p2_cs_n <= 1'd0; + litedramcore_dfi_p2_bank <= array_muxed14; + litedramcore_dfi_p2_address <= array_muxed15; + litedramcore_dfi_p2_cas_n <= (~array_muxed16); + litedramcore_dfi_p2_ras_n <= (~array_muxed17); + litedramcore_dfi_p2_we_n <= (~array_muxed18); + litedramcore_dfi_p2_rddata_en <= array_muxed19; + litedramcore_dfi_p2_wrdata_en <= array_muxed20; + litedramcore_dfi_p3_cs_n <= 1'd0; + litedramcore_dfi_p3_bank <= array_muxed21; + litedramcore_dfi_p3_address <= array_muxed22; + litedramcore_dfi_p3_cas_n <= (~array_muxed23); + litedramcore_dfi_p3_ras_n <= (~array_muxed24); + litedramcore_dfi_p3_we_n <= (~array_muxed25); + litedramcore_dfi_p3_rddata_en <= array_muxed26; + litedramcore_dfi_p3_wrdata_en <= array_muxed27; + if (litedramcore_trrdcon_valid) begin + litedramcore_trrdcon_count <= 1'd1; if (1'd0) begin - main_litedramcore_trrdcon_ready <= 1'd1; + litedramcore_trrdcon_ready <= 1'd1; end else begin - main_litedramcore_trrdcon_ready <= 1'd0; + litedramcore_trrdcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_trrdcon_ready)) begin - main_litedramcore_trrdcon_count <= (main_litedramcore_trrdcon_count - 1'd1); - if ((main_litedramcore_trrdcon_count == 1'd1)) begin - main_litedramcore_trrdcon_ready <= 1'd1; + if ((~litedramcore_trrdcon_ready)) begin + litedramcore_trrdcon_count <= (litedramcore_trrdcon_count - 1'd1); + if ((litedramcore_trrdcon_count == 1'd1)) begin + litedramcore_trrdcon_ready <= 1'd1; end end end - main_litedramcore_tfawcon_window <= {main_litedramcore_tfawcon_window, main_litedramcore_tfawcon_valid}; - if ((main_litedramcore_tfawcon_count < 3'd4)) begin - if ((main_litedramcore_tfawcon_count == 2'd3)) begin - main_litedramcore_tfawcon_ready <= (~main_litedramcore_tfawcon_valid); + litedramcore_tfawcon_window <= {litedramcore_tfawcon_window, litedramcore_tfawcon_valid}; + if ((litedramcore_tfawcon_count < 3'd4)) begin + if ((litedramcore_tfawcon_count == 2'd3)) begin + litedramcore_tfawcon_ready <= (~litedramcore_tfawcon_valid); end else begin - main_litedramcore_tfawcon_ready <= 1'd1; + litedramcore_tfawcon_ready <= 1'd1; end end - if (main_litedramcore_tccdcon_valid) begin - main_litedramcore_tccdcon_count <= 1'd0; + if (litedramcore_tccdcon_valid) begin + litedramcore_tccdcon_count <= 1'd0; if (1'd1) begin - main_litedramcore_tccdcon_ready <= 1'd1; + litedramcore_tccdcon_ready <= 1'd1; end else begin - main_litedramcore_tccdcon_ready <= 1'd0; + litedramcore_tccdcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_tccdcon_ready)) begin - main_litedramcore_tccdcon_count <= (main_litedramcore_tccdcon_count - 1'd1); - if ((main_litedramcore_tccdcon_count == 1'd1)) begin - main_litedramcore_tccdcon_ready <= 1'd1; + if ((~litedramcore_tccdcon_ready)) begin + litedramcore_tccdcon_count <= (litedramcore_tccdcon_count - 1'd1); + if ((litedramcore_tccdcon_count == 1'd1)) begin + litedramcore_tccdcon_ready <= 1'd1; end end end - if (main_litedramcore_twtrcon_valid) begin - main_litedramcore_twtrcon_count <= 3'd4; + if (litedramcore_twtrcon_valid) begin + litedramcore_twtrcon_count <= 3'd4; if (1'd0) begin - main_litedramcore_twtrcon_ready <= 1'd1; + litedramcore_twtrcon_ready <= 1'd1; end else begin - main_litedramcore_twtrcon_ready <= 1'd0; + litedramcore_twtrcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_twtrcon_ready)) begin - main_litedramcore_twtrcon_count <= (main_litedramcore_twtrcon_count - 1'd1); - if ((main_litedramcore_twtrcon_count == 1'd1)) begin - main_litedramcore_twtrcon_ready <= 1'd1; + if ((~litedramcore_twtrcon_ready)) begin + litedramcore_twtrcon_count <= (litedramcore_twtrcon_count - 1'd1); + if ((litedramcore_twtrcon_count == 1'd1)) begin + litedramcore_twtrcon_ready <= 1'd1; end end end - builder_multiplexer_state <= builder_multiplexer_next_state; - builder_new_master_wdata_ready0 <= ((((((((1'd0 | ((builder_roundrobin0_grant == 1'd0) & main_litedramcore_interface_bank0_wdata_ready)) | ((builder_roundrobin1_grant == 1'd0) & main_litedramcore_interface_bank1_wdata_ready)) | ((builder_roundrobin2_grant == 1'd0) & main_litedramcore_interface_bank2_wdata_ready)) | ((builder_roundrobin3_grant == 1'd0) & main_litedramcore_interface_bank3_wdata_ready)) | ((builder_roundrobin4_grant == 1'd0) & main_litedramcore_interface_bank4_wdata_ready)) | ((builder_roundrobin5_grant == 1'd0) & main_litedramcore_interface_bank5_wdata_ready)) | ((builder_roundrobin6_grant == 1'd0) & main_litedramcore_interface_bank6_wdata_ready)) | ((builder_roundrobin7_grant == 1'd0) & main_litedramcore_interface_bank7_wdata_ready)); - builder_new_master_wdata_ready1 <= builder_new_master_wdata_ready0; - builder_new_master_rdata_valid0 <= ((((((((1'd0 | ((builder_roundrobin0_grant == 1'd0) & main_litedramcore_interface_bank0_rdata_valid)) | ((builder_roundrobin1_grant == 1'd0) & main_litedramcore_interface_bank1_rdata_valid)) | ((builder_roundrobin2_grant == 1'd0) & main_litedramcore_interface_bank2_rdata_valid)) | ((builder_roundrobin3_grant == 1'd0) & main_litedramcore_interface_bank3_rdata_valid)) | ((builder_roundrobin4_grant == 1'd0) & main_litedramcore_interface_bank4_rdata_valid)) | ((builder_roundrobin5_grant == 1'd0) & main_litedramcore_interface_bank5_rdata_valid)) | ((builder_roundrobin6_grant == 1'd0) & main_litedramcore_interface_bank6_rdata_valid)) | ((builder_roundrobin7_grant == 1'd0) & main_litedramcore_interface_bank7_rdata_valid)); - builder_new_master_rdata_valid1 <= builder_new_master_rdata_valid0; - builder_new_master_rdata_valid2 <= builder_new_master_rdata_valid1; - builder_new_master_rdata_valid3 <= builder_new_master_rdata_valid2; - builder_new_master_rdata_valid4 <= builder_new_master_rdata_valid3; - builder_new_master_rdata_valid5 <= builder_new_master_rdata_valid4; - builder_new_master_rdata_valid6 <= builder_new_master_rdata_valid5; - builder_new_master_rdata_valid7 <= builder_new_master_rdata_valid6; - builder_new_master_rdata_valid8 <= builder_new_master_rdata_valid7; - builder_state <= builder_next_state; - if (builder_litedramcore_dat_w_next_value_ce0) begin - builder_litedramcore_dat_w <= builder_litedramcore_dat_w_next_value0; + litedramcore_multiplexer_state <= litedramcore_multiplexer_next_state; + litedramcore_new_master_wdata_ready0 <= ((((((((1'd0 | ((litedramcore_roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_wdata_ready)) | ((litedramcore_roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_wdata_ready)) | ((litedramcore_roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_wdata_ready)) | ((litedramcore_roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_wdata_ready)) | ((litedramcore_roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_wdata_ready)) | ((litedramcore_roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_wdata_ready)) | ((litedramcore_roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_wdata_ready)) | ((litedramcore_roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_wdata_ready)); + litedramcore_new_master_wdata_ready1 <= litedramcore_new_master_wdata_ready0; + litedramcore_new_master_rdata_valid0 <= ((((((((1'd0 | ((litedramcore_roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_rdata_valid)) | ((litedramcore_roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_rdata_valid)) | ((litedramcore_roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_rdata_valid)) | ((litedramcore_roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_rdata_valid)) | ((litedramcore_roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_rdata_valid)) | ((litedramcore_roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_rdata_valid)) | ((litedramcore_roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_rdata_valid)) | ((litedramcore_roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_rdata_valid)); + litedramcore_new_master_rdata_valid1 <= litedramcore_new_master_rdata_valid0; + litedramcore_new_master_rdata_valid2 <= litedramcore_new_master_rdata_valid1; + litedramcore_new_master_rdata_valid3 <= litedramcore_new_master_rdata_valid2; + litedramcore_new_master_rdata_valid4 <= litedramcore_new_master_rdata_valid3; + litedramcore_new_master_rdata_valid5 <= litedramcore_new_master_rdata_valid4; + litedramcore_new_master_rdata_valid6 <= litedramcore_new_master_rdata_valid5; + litedramcore_new_master_rdata_valid7 <= litedramcore_new_master_rdata_valid6; + litedramcore_new_master_rdata_valid8 <= litedramcore_new_master_rdata_valid7; + litedramcore_state <= litedramcore_next_state; + if (litedramcore_dat_w_next_value_ce0) begin + litedramcore_dat_w <= litedramcore_dat_w_next_value0; end - if (builder_litedramcore_adr_next_value_ce1) begin - builder_litedramcore_adr <= builder_litedramcore_adr_next_value1; + if (litedramcore_adr_next_value_ce1) begin + litedramcore_adr <= litedramcore_adr_next_value1; end - if (builder_litedramcore_we_next_value_ce2) begin - builder_litedramcore_we <= builder_litedramcore_we_next_value2; + if (litedramcore_we_next_value_ce2) begin + litedramcore_we <= litedramcore_we_next_value2; end - builder_interface0_bank_bus_dat_r <= 1'd0; - if (builder_csrbank0_sel) begin - case (builder_interface0_bank_bus_adr[8:0]) + interface0_bank_bus_dat_r <= 1'd0; + if (csrbank0_sel) begin + case (interface0_bank_bus_adr[8:0]) 1'd0: begin - builder_interface0_bank_bus_dat_r <= builder_csrbank0_init_done0_w; + interface0_bank_bus_dat_r <= csrbank0_init_done0_w; end 1'd1: begin - builder_interface0_bank_bus_dat_r <= builder_csrbank0_init_error0_w; + interface0_bank_bus_dat_r <= csrbank0_init_error0_w; end endcase end - if (builder_csrbank0_init_done0_re) begin - main_init_done_storage <= builder_csrbank0_init_done0_r; + if (csrbank0_init_done0_re) begin + init_done_storage <= csrbank0_init_done0_r; end - main_init_done_re <= builder_csrbank0_init_done0_re; - if (builder_csrbank0_init_error0_re) begin - main_init_error_storage <= builder_csrbank0_init_error0_r; + init_done_re <= csrbank0_init_done0_re; + if (csrbank0_init_error0_re) begin + init_error_storage <= csrbank0_init_error0_r; end - main_init_error_re <= builder_csrbank0_init_error0_re; - builder_interface1_bank_bus_dat_r <= 1'd0; - if (builder_csrbank1_sel) begin - case (builder_interface1_bank_bus_adr[8:0]) + init_error_re <= csrbank0_init_error0_re; + interface1_bank_bus_dat_r <= 1'd0; + if (csrbank1_sel) begin + case (interface1_bank_bus_adr[8:0]) 1'd0: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_rst0_w; + interface1_bank_bus_dat_r <= csrbank1_rst0_w; end 1'd1: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_half_sys8x_taps0_w; + interface1_bank_bus_dat_r <= csrbank1_dly_sel0_w; end 2'd2: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_wlevel_en0_w; + interface1_bank_bus_dat_r <= csrbank1_half_sys8x_taps0_w; end 2'd3: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_wlevel_strobe_w; + interface1_bank_bus_dat_r <= csrbank1_wlevel_en0_w; end 3'd4: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_dly_sel0_w; + interface1_bank_bus_dat_r <= a7ddrphy_wlevel_strobe_w; end 3'd5: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_rst_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_rst_w; end 3'd6: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_inc_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_inc_w; end 3'd7: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_bitslip_rst_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_bitslip_rst_w; end 4'd8: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_bitslip_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_bitslip_w; end 4'd9: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_wdly_dq_bitslip_rst_w; + interface1_bank_bus_dat_r <= a7ddrphy_wdly_dq_bitslip_rst_w; end 4'd10: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_wdly_dq_bitslip_w; + interface1_bank_bus_dat_r <= a7ddrphy_wdly_dq_bitslip_w; end 4'd11: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_rdphase0_w; + interface1_bank_bus_dat_r <= csrbank1_rdphase0_w; end 4'd12: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_wrphase0_w; + interface1_bank_bus_dat_r <= csrbank1_wrphase0_w; end endcase end - if (builder_csrbank1_rst0_re) begin - main_a7ddrphy_rst_storage <= builder_csrbank1_rst0_r; + if (csrbank1_rst0_re) begin + a7ddrphy_rst_storage <= csrbank1_rst0_r; end - main_a7ddrphy_rst_re <= builder_csrbank1_rst0_re; - if (builder_csrbank1_half_sys8x_taps0_re) begin - main_a7ddrphy_half_sys8x_taps_storage[4:0] <= builder_csrbank1_half_sys8x_taps0_r; + a7ddrphy_rst_re <= csrbank1_rst0_re; + if (csrbank1_dly_sel0_re) begin + a7ddrphy_dly_sel_storage[1:0] <= csrbank1_dly_sel0_r; end - main_a7ddrphy_half_sys8x_taps_re <= builder_csrbank1_half_sys8x_taps0_re; - if (builder_csrbank1_wlevel_en0_re) begin - main_a7ddrphy_wlevel_en_storage <= builder_csrbank1_wlevel_en0_r; + a7ddrphy_dly_sel_re <= csrbank1_dly_sel0_re; + if (csrbank1_half_sys8x_taps0_re) begin + a7ddrphy_half_sys8x_taps_storage[4:0] <= csrbank1_half_sys8x_taps0_r; end - main_a7ddrphy_wlevel_en_re <= builder_csrbank1_wlevel_en0_re; - if (builder_csrbank1_dly_sel0_re) begin - main_a7ddrphy_dly_sel_storage[1:0] <= builder_csrbank1_dly_sel0_r; + a7ddrphy_half_sys8x_taps_re <= csrbank1_half_sys8x_taps0_re; + if (csrbank1_wlevel_en0_re) begin + a7ddrphy_wlevel_en_storage <= csrbank1_wlevel_en0_r; end - main_a7ddrphy_dly_sel_re <= builder_csrbank1_dly_sel0_re; - if (builder_csrbank1_rdphase0_re) begin - main_a7ddrphy_rdphase_storage[1:0] <= builder_csrbank1_rdphase0_r; + a7ddrphy_wlevel_en_re <= csrbank1_wlevel_en0_re; + if (csrbank1_rdphase0_re) begin + a7ddrphy_rdphase_storage[1:0] <= csrbank1_rdphase0_r; end - main_a7ddrphy_rdphase_re <= builder_csrbank1_rdphase0_re; - if (builder_csrbank1_wrphase0_re) begin - main_a7ddrphy_wrphase_storage[1:0] <= builder_csrbank1_wrphase0_r; + a7ddrphy_rdphase_re <= csrbank1_rdphase0_re; + if (csrbank1_wrphase0_re) begin + a7ddrphy_wrphase_storage[1:0] <= csrbank1_wrphase0_r; end - main_a7ddrphy_wrphase_re <= builder_csrbank1_wrphase0_re; - builder_interface2_bank_bus_dat_r <= 1'd0; - if (builder_csrbank2_sel) begin - case (builder_interface2_bank_bus_adr[8:0]) + a7ddrphy_wrphase_re <= csrbank1_wrphase0_re; + interface2_bank_bus_dat_r <= 1'd0; + if (csrbank2_sel) begin + case (interface2_bank_bus_adr[8:0]) 1'd0: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_control0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_control0_w; end 1'd1: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_command0_w; end 2'd2: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector0_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector0_command_issue_w; end 2'd3: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_address0_w; end 3'd4: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_baddress0_w; end 3'd5: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_wrdata0_w; end 3'd6: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_rddata_w; end 3'd7: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_command0_w; end 4'd8: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector1_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector1_command_issue_w; end 4'd9: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_address0_w; end 4'd10: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_baddress0_w; end 4'd11: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_wrdata0_w; end 4'd12: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_rddata_w; end 4'd13: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_command0_w; end 4'd14: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector2_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector2_command_issue_w; end 4'd15: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_address0_w; end 5'd16: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_baddress0_w; end 5'd17: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_wrdata0_w; end 5'd18: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_rddata_w; end 5'd19: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_command0_w; end 5'd20: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector3_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector3_command_issue_w; end 5'd21: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_address0_w; end 5'd22: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_baddress0_w; end 5'd23: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_wrdata0_w; end 5'd24: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_rddata_w; end endcase end - if (builder_csrbank2_dfii_control0_re) begin - main_litedramcore_storage[3:0] <= builder_csrbank2_dfii_control0_r; + if (csrbank2_dfii_control0_re) begin + litedramcore_storage[3:0] <= csrbank2_dfii_control0_r; end - main_litedramcore_re <= builder_csrbank2_dfii_control0_re; - if (builder_csrbank2_dfii_pi0_command0_re) begin - main_litedramcore_phaseinjector0_command_storage[5:0] <= builder_csrbank2_dfii_pi0_command0_r; + litedramcore_re <= csrbank2_dfii_control0_re; + if (csrbank2_dfii_pi0_command0_re) begin + litedramcore_phaseinjector0_command_storage[5:0] <= csrbank2_dfii_pi0_command0_r; end - main_litedramcore_phaseinjector0_command_re <= builder_csrbank2_dfii_pi0_command0_re; - if (builder_csrbank2_dfii_pi0_address0_re) begin - main_litedramcore_phaseinjector0_address_storage[15:0] <= builder_csrbank2_dfii_pi0_address0_r; + litedramcore_phaseinjector0_command_re <= csrbank2_dfii_pi0_command0_re; + if (csrbank2_dfii_pi0_address0_re) begin + litedramcore_phaseinjector0_address_storage[15:0] <= csrbank2_dfii_pi0_address0_r; end - main_litedramcore_phaseinjector0_address_re <= builder_csrbank2_dfii_pi0_address0_re; - if (builder_csrbank2_dfii_pi0_baddress0_re) begin - main_litedramcore_phaseinjector0_baddress_storage[2:0] <= builder_csrbank2_dfii_pi0_baddress0_r; + litedramcore_phaseinjector0_address_re <= csrbank2_dfii_pi0_address0_re; + if (csrbank2_dfii_pi0_baddress0_re) begin + litedramcore_phaseinjector0_baddress_storage[2:0] <= csrbank2_dfii_pi0_baddress0_r; end - main_litedramcore_phaseinjector0_baddress_re <= builder_csrbank2_dfii_pi0_baddress0_re; - if (builder_csrbank2_dfii_pi0_wrdata0_re) begin - main_litedramcore_phaseinjector0_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi0_wrdata0_r; + litedramcore_phaseinjector0_baddress_re <= csrbank2_dfii_pi0_baddress0_re; + if (csrbank2_dfii_pi0_wrdata0_re) begin + litedramcore_phaseinjector0_wrdata_storage[31:0] <= csrbank2_dfii_pi0_wrdata0_r; end - main_litedramcore_phaseinjector0_wrdata_re <= builder_csrbank2_dfii_pi0_wrdata0_re; - main_litedramcore_phaseinjector0_rddata_re <= builder_csrbank2_dfii_pi0_rddata_re; - if (builder_csrbank2_dfii_pi1_command0_re) begin - main_litedramcore_phaseinjector1_command_storage[5:0] <= builder_csrbank2_dfii_pi1_command0_r; + litedramcore_phaseinjector0_wrdata_re <= csrbank2_dfii_pi0_wrdata0_re; + litedramcore_phaseinjector0_rddata_re <= csrbank2_dfii_pi0_rddata_re; + if (csrbank2_dfii_pi1_command0_re) begin + litedramcore_phaseinjector1_command_storage[5:0] <= csrbank2_dfii_pi1_command0_r; end - main_litedramcore_phaseinjector1_command_re <= builder_csrbank2_dfii_pi1_command0_re; - if (builder_csrbank2_dfii_pi1_address0_re) begin - main_litedramcore_phaseinjector1_address_storage[15:0] <= builder_csrbank2_dfii_pi1_address0_r; + litedramcore_phaseinjector1_command_re <= csrbank2_dfii_pi1_command0_re; + if (csrbank2_dfii_pi1_address0_re) begin + litedramcore_phaseinjector1_address_storage[15:0] <= csrbank2_dfii_pi1_address0_r; end - main_litedramcore_phaseinjector1_address_re <= builder_csrbank2_dfii_pi1_address0_re; - if (builder_csrbank2_dfii_pi1_baddress0_re) begin - main_litedramcore_phaseinjector1_baddress_storage[2:0] <= builder_csrbank2_dfii_pi1_baddress0_r; + litedramcore_phaseinjector1_address_re <= csrbank2_dfii_pi1_address0_re; + if (csrbank2_dfii_pi1_baddress0_re) begin + litedramcore_phaseinjector1_baddress_storage[2:0] <= csrbank2_dfii_pi1_baddress0_r; end - main_litedramcore_phaseinjector1_baddress_re <= builder_csrbank2_dfii_pi1_baddress0_re; - if (builder_csrbank2_dfii_pi1_wrdata0_re) begin - main_litedramcore_phaseinjector1_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi1_wrdata0_r; + litedramcore_phaseinjector1_baddress_re <= csrbank2_dfii_pi1_baddress0_re; + if (csrbank2_dfii_pi1_wrdata0_re) begin + litedramcore_phaseinjector1_wrdata_storage[31:0] <= csrbank2_dfii_pi1_wrdata0_r; end - main_litedramcore_phaseinjector1_wrdata_re <= builder_csrbank2_dfii_pi1_wrdata0_re; - main_litedramcore_phaseinjector1_rddata_re <= builder_csrbank2_dfii_pi1_rddata_re; - if (builder_csrbank2_dfii_pi2_command0_re) begin - main_litedramcore_phaseinjector2_command_storage[5:0] <= builder_csrbank2_dfii_pi2_command0_r; + litedramcore_phaseinjector1_wrdata_re <= csrbank2_dfii_pi1_wrdata0_re; + litedramcore_phaseinjector1_rddata_re <= csrbank2_dfii_pi1_rddata_re; + if (csrbank2_dfii_pi2_command0_re) begin + litedramcore_phaseinjector2_command_storage[5:0] <= csrbank2_dfii_pi2_command0_r; end - main_litedramcore_phaseinjector2_command_re <= builder_csrbank2_dfii_pi2_command0_re; - if (builder_csrbank2_dfii_pi2_address0_re) begin - main_litedramcore_phaseinjector2_address_storage[15:0] <= builder_csrbank2_dfii_pi2_address0_r; + litedramcore_phaseinjector2_command_re <= csrbank2_dfii_pi2_command0_re; + if (csrbank2_dfii_pi2_address0_re) begin + litedramcore_phaseinjector2_address_storage[15:0] <= csrbank2_dfii_pi2_address0_r; end - main_litedramcore_phaseinjector2_address_re <= builder_csrbank2_dfii_pi2_address0_re; - if (builder_csrbank2_dfii_pi2_baddress0_re) begin - main_litedramcore_phaseinjector2_baddress_storage[2:0] <= builder_csrbank2_dfii_pi2_baddress0_r; + litedramcore_phaseinjector2_address_re <= csrbank2_dfii_pi2_address0_re; + if (csrbank2_dfii_pi2_baddress0_re) begin + litedramcore_phaseinjector2_baddress_storage[2:0] <= csrbank2_dfii_pi2_baddress0_r; end - main_litedramcore_phaseinjector2_baddress_re <= builder_csrbank2_dfii_pi2_baddress0_re; - if (builder_csrbank2_dfii_pi2_wrdata0_re) begin - main_litedramcore_phaseinjector2_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi2_wrdata0_r; + litedramcore_phaseinjector2_baddress_re <= csrbank2_dfii_pi2_baddress0_re; + if (csrbank2_dfii_pi2_wrdata0_re) begin + litedramcore_phaseinjector2_wrdata_storage[31:0] <= csrbank2_dfii_pi2_wrdata0_r; end - main_litedramcore_phaseinjector2_wrdata_re <= builder_csrbank2_dfii_pi2_wrdata0_re; - main_litedramcore_phaseinjector2_rddata_re <= builder_csrbank2_dfii_pi2_rddata_re; - if (builder_csrbank2_dfii_pi3_command0_re) begin - main_litedramcore_phaseinjector3_command_storage[5:0] <= builder_csrbank2_dfii_pi3_command0_r; + litedramcore_phaseinjector2_wrdata_re <= csrbank2_dfii_pi2_wrdata0_re; + litedramcore_phaseinjector2_rddata_re <= csrbank2_dfii_pi2_rddata_re; + if (csrbank2_dfii_pi3_command0_re) begin + litedramcore_phaseinjector3_command_storage[5:0] <= csrbank2_dfii_pi3_command0_r; end - main_litedramcore_phaseinjector3_command_re <= builder_csrbank2_dfii_pi3_command0_re; - if (builder_csrbank2_dfii_pi3_address0_re) begin - main_litedramcore_phaseinjector3_address_storage[15:0] <= builder_csrbank2_dfii_pi3_address0_r; + litedramcore_phaseinjector3_command_re <= csrbank2_dfii_pi3_command0_re; + if (csrbank2_dfii_pi3_address0_re) begin + litedramcore_phaseinjector3_address_storage[15:0] <= csrbank2_dfii_pi3_address0_r; end - main_litedramcore_phaseinjector3_address_re <= builder_csrbank2_dfii_pi3_address0_re; - if (builder_csrbank2_dfii_pi3_baddress0_re) begin - main_litedramcore_phaseinjector3_baddress_storage[2:0] <= builder_csrbank2_dfii_pi3_baddress0_r; + litedramcore_phaseinjector3_address_re <= csrbank2_dfii_pi3_address0_re; + if (csrbank2_dfii_pi3_baddress0_re) begin + litedramcore_phaseinjector3_baddress_storage[2:0] <= csrbank2_dfii_pi3_baddress0_r; end - main_litedramcore_phaseinjector3_baddress_re <= builder_csrbank2_dfii_pi3_baddress0_re; - if (builder_csrbank2_dfii_pi3_wrdata0_re) begin - main_litedramcore_phaseinjector3_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi3_wrdata0_r; + litedramcore_phaseinjector3_baddress_re <= csrbank2_dfii_pi3_baddress0_re; + if (csrbank2_dfii_pi3_wrdata0_re) begin + litedramcore_phaseinjector3_wrdata_storage[31:0] <= csrbank2_dfii_pi3_wrdata0_r; end - main_litedramcore_phaseinjector3_wrdata_re <= builder_csrbank2_dfii_pi3_wrdata0_re; - main_litedramcore_phaseinjector3_rddata_re <= builder_csrbank2_dfii_pi3_rddata_re; + litedramcore_phaseinjector3_wrdata_re <= csrbank2_dfii_pi3_wrdata0_re; + litedramcore_phaseinjector3_rddata_re <= csrbank2_dfii_pi3_rddata_re; if (sys_rst) begin - main_a7ddrphy_rst_storage <= 1'd0; - main_a7ddrphy_rst_re <= 1'd0; - main_a7ddrphy_half_sys8x_taps_storage <= 5'd8; - main_a7ddrphy_half_sys8x_taps_re <= 1'd0; - main_a7ddrphy_wlevel_en_storage <= 1'd0; - main_a7ddrphy_wlevel_en_re <= 1'd0; - main_a7ddrphy_dly_sel_storage <= 2'd0; - main_a7ddrphy_dly_sel_re <= 1'd0; - main_a7ddrphy_rdphase_storage <= 2'd2; - main_a7ddrphy_rdphase_re <= 1'd0; - main_a7ddrphy_wrphase_storage <= 2'd3; - main_a7ddrphy_wrphase_re <= 1'd0; - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; - main_a7ddrphy_dqspattern_o1 <= 8'd0; - main_a7ddrphy_bitslip0_value0 <= 3'd7; - main_a7ddrphy_bitslip1_value0 <= 3'd7; - main_a7ddrphy_bitslip0_value1 <= 3'd7; - main_a7ddrphy_bitslip1_value1 <= 3'd7; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; - main_a7ddrphy_bitslip0_value2 <= 3'd7; - main_a7ddrphy_bitslip0_value3 <= 3'd7; - main_a7ddrphy_bitslip1_value2 <= 3'd7; - main_a7ddrphy_bitslip1_value3 <= 3'd7; - main_a7ddrphy_bitslip2_value0 <= 3'd7; - main_a7ddrphy_bitslip2_value1 <= 3'd7; - main_a7ddrphy_bitslip3_value0 <= 3'd7; - main_a7ddrphy_bitslip3_value1 <= 3'd7; - main_a7ddrphy_bitslip4_value0 <= 3'd7; - main_a7ddrphy_bitslip4_value1 <= 3'd7; - main_a7ddrphy_bitslip5_value0 <= 3'd7; - main_a7ddrphy_bitslip5_value1 <= 3'd7; - main_a7ddrphy_bitslip6_value0 <= 3'd7; - main_a7ddrphy_bitslip6_value1 <= 3'd7; - main_a7ddrphy_bitslip7_value0 <= 3'd7; - main_a7ddrphy_bitslip7_value1 <= 3'd7; - main_a7ddrphy_bitslip8_value0 <= 3'd7; - main_a7ddrphy_bitslip8_value1 <= 3'd7; - main_a7ddrphy_bitslip9_value0 <= 3'd7; - main_a7ddrphy_bitslip9_value1 <= 3'd7; - main_a7ddrphy_bitslip10_value0 <= 3'd7; - main_a7ddrphy_bitslip10_value1 <= 3'd7; - main_a7ddrphy_bitslip11_value0 <= 3'd7; - main_a7ddrphy_bitslip11_value1 <= 3'd7; - main_a7ddrphy_bitslip12_value0 <= 3'd7; - main_a7ddrphy_bitslip12_value1 <= 3'd7; - main_a7ddrphy_bitslip13_value0 <= 3'd7; - main_a7ddrphy_bitslip13_value1 <= 3'd7; - main_a7ddrphy_bitslip14_value0 <= 3'd7; - main_a7ddrphy_bitslip14_value1 <= 3'd7; - main_a7ddrphy_bitslip15_value0 <= 3'd7; - main_a7ddrphy_bitslip15_value1 <= 3'd7; - main_a7ddrphy_rddata_en_tappeddelayline0 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline1 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline2 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline3 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline4 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline5 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline6 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline7 <= 1'd0; - main_a7ddrphy_wrdata_en_tappeddelayline0 <= 1'd0; - main_a7ddrphy_wrdata_en_tappeddelayline1 <= 1'd0; - main_a7ddrphy_wrdata_en_tappeddelayline2 <= 1'd0; - main_litedramcore_storage <= 4'd1; - main_litedramcore_re <= 1'd0; - main_litedramcore_phaseinjector0_command_storage <= 6'd0; - main_litedramcore_phaseinjector0_command_re <= 1'd0; - main_litedramcore_phaseinjector0_address_re <= 1'd0; - main_litedramcore_phaseinjector0_baddress_re <= 1'd0; - main_litedramcore_phaseinjector0_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector0_rddata_status <= 32'd0; - main_litedramcore_phaseinjector0_rddata_re <= 1'd0; - main_litedramcore_phaseinjector1_command_storage <= 6'd0; - main_litedramcore_phaseinjector1_command_re <= 1'd0; - main_litedramcore_phaseinjector1_address_re <= 1'd0; - main_litedramcore_phaseinjector1_baddress_re <= 1'd0; - main_litedramcore_phaseinjector1_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector1_rddata_status <= 32'd0; - main_litedramcore_phaseinjector1_rddata_re <= 1'd0; - main_litedramcore_phaseinjector2_command_storage <= 6'd0; - main_litedramcore_phaseinjector2_command_re <= 1'd0; - main_litedramcore_phaseinjector2_address_re <= 1'd0; - main_litedramcore_phaseinjector2_baddress_re <= 1'd0; - main_litedramcore_phaseinjector2_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector2_rddata_status <= 32'd0; - main_litedramcore_phaseinjector2_rddata_re <= 1'd0; - main_litedramcore_phaseinjector3_command_storage <= 6'd0; - main_litedramcore_phaseinjector3_command_re <= 1'd0; - main_litedramcore_phaseinjector3_address_re <= 1'd0; - main_litedramcore_phaseinjector3_baddress_re <= 1'd0; - main_litedramcore_phaseinjector3_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector3_rddata_status <= 32'd0; - main_litedramcore_phaseinjector3_rddata_re <= 1'd0; - main_litedramcore_dfi_p0_address <= 16'd0; - main_litedramcore_dfi_p0_bank <= 3'd0; - main_litedramcore_dfi_p0_cas_n <= 1'd1; - main_litedramcore_dfi_p0_cs_n <= 1'd1; - main_litedramcore_dfi_p0_ras_n <= 1'd1; - main_litedramcore_dfi_p0_we_n <= 1'd1; - main_litedramcore_dfi_p0_wrdata_en <= 1'd0; - main_litedramcore_dfi_p0_rddata_en <= 1'd0; - main_litedramcore_dfi_p1_address <= 16'd0; - main_litedramcore_dfi_p1_bank <= 3'd0; - main_litedramcore_dfi_p1_cas_n <= 1'd1; - main_litedramcore_dfi_p1_cs_n <= 1'd1; - main_litedramcore_dfi_p1_ras_n <= 1'd1; - main_litedramcore_dfi_p1_we_n <= 1'd1; - main_litedramcore_dfi_p1_wrdata_en <= 1'd0; - main_litedramcore_dfi_p1_rddata_en <= 1'd0; - main_litedramcore_dfi_p2_address <= 16'd0; - main_litedramcore_dfi_p2_bank <= 3'd0; - main_litedramcore_dfi_p2_cas_n <= 1'd1; - main_litedramcore_dfi_p2_cs_n <= 1'd1; - main_litedramcore_dfi_p2_ras_n <= 1'd1; - main_litedramcore_dfi_p2_we_n <= 1'd1; - main_litedramcore_dfi_p2_wrdata_en <= 1'd0; - main_litedramcore_dfi_p2_rddata_en <= 1'd0; - main_litedramcore_dfi_p3_address <= 16'd0; - main_litedramcore_dfi_p3_bank <= 3'd0; - main_litedramcore_dfi_p3_cas_n <= 1'd1; - main_litedramcore_dfi_p3_cs_n <= 1'd1; - main_litedramcore_dfi_p3_ras_n <= 1'd1; - main_litedramcore_dfi_p3_we_n <= 1'd1; - main_litedramcore_dfi_p3_wrdata_en <= 1'd0; - main_litedramcore_dfi_p3_rddata_en <= 1'd0; - main_litedramcore_cmd_payload_a <= 16'd0; - main_litedramcore_cmd_payload_ba <= 3'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_timer_count1 <= 10'd781; - main_litedramcore_postponer_req_o <= 1'd0; - main_litedramcore_postponer_count <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd0; - main_litedramcore_sequencer_counter <= 7'd0; - main_litedramcore_sequencer_count <= 1'd0; - main_litedramcore_zqcs_timer_count1 <= 27'd99999999; - main_litedramcore_zqcs_executer_done <= 1'd0; - main_litedramcore_zqcs_executer_counter <= 5'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= 23'd0; - main_litedramcore_bankmachine0_row <= 16'd0; - main_litedramcore_bankmachine0_row_opened <= 1'd0; - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine0_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine0_trccon_ready <= 1'd0; - main_litedramcore_bankmachine0_trccon_count <= 3'd0; - main_litedramcore_bankmachine0_trascon_ready <= 1'd0; - main_litedramcore_bankmachine0_trascon_count <= 3'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= 23'd0; - main_litedramcore_bankmachine1_row <= 16'd0; - main_litedramcore_bankmachine1_row_opened <= 1'd0; - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine1_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine1_trccon_ready <= 1'd0; - main_litedramcore_bankmachine1_trccon_count <= 3'd0; - main_litedramcore_bankmachine1_trascon_ready <= 1'd0; - main_litedramcore_bankmachine1_trascon_count <= 3'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= 23'd0; - main_litedramcore_bankmachine2_row <= 16'd0; - main_litedramcore_bankmachine2_row_opened <= 1'd0; - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine2_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine2_trccon_ready <= 1'd0; - main_litedramcore_bankmachine2_trccon_count <= 3'd0; - main_litedramcore_bankmachine2_trascon_ready <= 1'd0; - main_litedramcore_bankmachine2_trascon_count <= 3'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= 23'd0; - main_litedramcore_bankmachine3_row <= 16'd0; - main_litedramcore_bankmachine3_row_opened <= 1'd0; - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine3_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine3_trccon_ready <= 1'd0; - main_litedramcore_bankmachine3_trccon_count <= 3'd0; - main_litedramcore_bankmachine3_trascon_ready <= 1'd0; - main_litedramcore_bankmachine3_trascon_count <= 3'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= 23'd0; - main_litedramcore_bankmachine4_row <= 16'd0; - main_litedramcore_bankmachine4_row_opened <= 1'd0; - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine4_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine4_trccon_ready <= 1'd0; - main_litedramcore_bankmachine4_trccon_count <= 3'd0; - main_litedramcore_bankmachine4_trascon_ready <= 1'd0; - main_litedramcore_bankmachine4_trascon_count <= 3'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= 23'd0; - main_litedramcore_bankmachine5_row <= 16'd0; - main_litedramcore_bankmachine5_row_opened <= 1'd0; - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine5_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine5_trccon_ready <= 1'd0; - main_litedramcore_bankmachine5_trccon_count <= 3'd0; - main_litedramcore_bankmachine5_trascon_ready <= 1'd0; - main_litedramcore_bankmachine5_trascon_count <= 3'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= 23'd0; - main_litedramcore_bankmachine6_row <= 16'd0; - main_litedramcore_bankmachine6_row_opened <= 1'd0; - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine6_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine6_trccon_ready <= 1'd0; - main_litedramcore_bankmachine6_trccon_count <= 3'd0; - main_litedramcore_bankmachine6_trascon_ready <= 1'd0; - main_litedramcore_bankmachine6_trascon_count <= 3'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= 23'd0; - main_litedramcore_bankmachine7_row <= 16'd0; - main_litedramcore_bankmachine7_row_opened <= 1'd0; - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine7_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine7_trccon_ready <= 1'd0; - main_litedramcore_bankmachine7_trccon_count <= 3'd0; - main_litedramcore_bankmachine7_trascon_ready <= 1'd0; - main_litedramcore_bankmachine7_trascon_count <= 3'd0; - main_litedramcore_choose_cmd_grant <= 3'd0; - main_litedramcore_choose_req_grant <= 3'd0; - main_litedramcore_trrdcon_ready <= 1'd0; - main_litedramcore_trrdcon_count <= 1'd0; - main_litedramcore_tfawcon_ready <= 1'd1; - main_litedramcore_tfawcon_window <= 5'd0; - main_litedramcore_tccdcon_ready <= 1'd0; - main_litedramcore_tccdcon_count <= 1'd0; - main_litedramcore_twtrcon_ready <= 1'd0; - main_litedramcore_twtrcon_count <= 3'd0; - main_litedramcore_time0 <= 5'd0; - main_litedramcore_time1 <= 4'd0; - main_init_done_storage <= 1'd0; - main_init_done_re <= 1'd0; - main_init_error_storage <= 1'd0; - main_init_error_re <= 1'd0; - builder_refresher_state <= 2'd0; - builder_bankmachine0_state <= 4'd0; - builder_bankmachine1_state <= 4'd0; - builder_bankmachine2_state <= 4'd0; - builder_bankmachine3_state <= 4'd0; - builder_bankmachine4_state <= 4'd0; - builder_bankmachine5_state <= 4'd0; - builder_bankmachine6_state <= 4'd0; - builder_bankmachine7_state <= 4'd0; - builder_multiplexer_state <= 4'd0; - builder_new_master_wdata_ready0 <= 1'd0; - builder_new_master_wdata_ready1 <= 1'd0; - builder_new_master_rdata_valid0 <= 1'd0; - builder_new_master_rdata_valid1 <= 1'd0; - builder_new_master_rdata_valid2 <= 1'd0; - builder_new_master_rdata_valid3 <= 1'd0; - builder_new_master_rdata_valid4 <= 1'd0; - builder_new_master_rdata_valid5 <= 1'd0; - builder_new_master_rdata_valid6 <= 1'd0; - builder_new_master_rdata_valid7 <= 1'd0; - builder_new_master_rdata_valid8 <= 1'd0; - builder_litedramcore_we <= 1'd0; - builder_state <= 2'd0; + a7ddrphy_rst_storage <= 1'd0; + a7ddrphy_rst_re <= 1'd0; + a7ddrphy_dly_sel_storage <= 2'd0; + a7ddrphy_dly_sel_re <= 1'd0; + a7ddrphy_half_sys8x_taps_storage <= 5'd8; + a7ddrphy_half_sys8x_taps_re <= 1'd0; + a7ddrphy_wlevel_en_storage <= 1'd0; + a7ddrphy_wlevel_en_re <= 1'd0; + a7ddrphy_rdphase_storage <= 2'd2; + a7ddrphy_rdphase_re <= 1'd0; + a7ddrphy_wrphase_storage <= 2'd3; + a7ddrphy_wrphase_re <= 1'd0; + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; + a7ddrphy_dqspattern_o1 <= 8'd0; + a7ddrphy_bitslip0_value0 <= 3'd7; + a7ddrphy_bitslip1_value0 <= 3'd7; + a7ddrphy_bitslip0_value1 <= 3'd7; + a7ddrphy_bitslip1_value1 <= 3'd7; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; + a7ddrphy_bitslip0_value2 <= 3'd7; + a7ddrphy_bitslip0_value3 <= 3'd7; + a7ddrphy_bitslip1_value2 <= 3'd7; + a7ddrphy_bitslip1_value3 <= 3'd7; + a7ddrphy_bitslip2_value0 <= 3'd7; + a7ddrphy_bitslip2_value1 <= 3'd7; + a7ddrphy_bitslip3_value0 <= 3'd7; + a7ddrphy_bitslip3_value1 <= 3'd7; + a7ddrphy_bitslip4_value0 <= 3'd7; + a7ddrphy_bitslip4_value1 <= 3'd7; + a7ddrphy_bitslip5_value0 <= 3'd7; + a7ddrphy_bitslip5_value1 <= 3'd7; + a7ddrphy_bitslip6_value0 <= 3'd7; + a7ddrphy_bitslip6_value1 <= 3'd7; + a7ddrphy_bitslip7_value0 <= 3'd7; + a7ddrphy_bitslip7_value1 <= 3'd7; + a7ddrphy_bitslip8_value0 <= 3'd7; + a7ddrphy_bitslip8_value1 <= 3'd7; + a7ddrphy_bitslip9_value0 <= 3'd7; + a7ddrphy_bitslip9_value1 <= 3'd7; + a7ddrphy_bitslip10_value0 <= 3'd7; + a7ddrphy_bitslip10_value1 <= 3'd7; + a7ddrphy_bitslip11_value0 <= 3'd7; + a7ddrphy_bitslip11_value1 <= 3'd7; + a7ddrphy_bitslip12_value0 <= 3'd7; + a7ddrphy_bitslip12_value1 <= 3'd7; + a7ddrphy_bitslip13_value0 <= 3'd7; + a7ddrphy_bitslip13_value1 <= 3'd7; + a7ddrphy_bitslip14_value0 <= 3'd7; + a7ddrphy_bitslip14_value1 <= 3'd7; + a7ddrphy_bitslip15_value0 <= 3'd7; + a7ddrphy_bitslip15_value1 <= 3'd7; + a7ddrphy_rddata_en_tappeddelayline0 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline1 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline2 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline3 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline4 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline5 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline6 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline7 <= 1'd0; + a7ddrphy_wrdata_en_tappeddelayline0 <= 1'd0; + a7ddrphy_wrdata_en_tappeddelayline1 <= 1'd0; + a7ddrphy_wrdata_en_tappeddelayline2 <= 1'd0; + litedramcore_storage <= 4'd1; + litedramcore_re <= 1'd0; + litedramcore_phaseinjector0_command_storage <= 6'd0; + litedramcore_phaseinjector0_command_re <= 1'd0; + litedramcore_phaseinjector0_address_re <= 1'd0; + litedramcore_phaseinjector0_baddress_re <= 1'd0; + litedramcore_phaseinjector0_wrdata_re <= 1'd0; + litedramcore_phaseinjector0_rddata_status <= 32'd0; + litedramcore_phaseinjector0_rddata_re <= 1'd0; + litedramcore_phaseinjector1_command_storage <= 6'd0; + litedramcore_phaseinjector1_command_re <= 1'd0; + litedramcore_phaseinjector1_address_re <= 1'd0; + litedramcore_phaseinjector1_baddress_re <= 1'd0; + litedramcore_phaseinjector1_wrdata_re <= 1'd0; + litedramcore_phaseinjector1_rddata_status <= 32'd0; + litedramcore_phaseinjector1_rddata_re <= 1'd0; + litedramcore_phaseinjector2_command_storage <= 6'd0; + litedramcore_phaseinjector2_command_re <= 1'd0; + litedramcore_phaseinjector2_address_re <= 1'd0; + litedramcore_phaseinjector2_baddress_re <= 1'd0; + litedramcore_phaseinjector2_wrdata_re <= 1'd0; + litedramcore_phaseinjector2_rddata_status <= 32'd0; + litedramcore_phaseinjector2_rddata_re <= 1'd0; + litedramcore_phaseinjector3_command_storage <= 6'd0; + litedramcore_phaseinjector3_command_re <= 1'd0; + litedramcore_phaseinjector3_address_re <= 1'd0; + litedramcore_phaseinjector3_baddress_re <= 1'd0; + litedramcore_phaseinjector3_wrdata_re <= 1'd0; + litedramcore_phaseinjector3_rddata_status <= 32'd0; + litedramcore_phaseinjector3_rddata_re <= 1'd0; + litedramcore_dfi_p0_address <= 16'd0; + litedramcore_dfi_p0_bank <= 3'd0; + litedramcore_dfi_p0_cas_n <= 1'd1; + litedramcore_dfi_p0_cs_n <= 1'd1; + litedramcore_dfi_p0_ras_n <= 1'd1; + litedramcore_dfi_p0_we_n <= 1'd1; + litedramcore_dfi_p0_wrdata_en <= 1'd0; + litedramcore_dfi_p0_rddata_en <= 1'd0; + litedramcore_dfi_p1_address <= 16'd0; + litedramcore_dfi_p1_bank <= 3'd0; + litedramcore_dfi_p1_cas_n <= 1'd1; + litedramcore_dfi_p1_cs_n <= 1'd1; + litedramcore_dfi_p1_ras_n <= 1'd1; + litedramcore_dfi_p1_we_n <= 1'd1; + litedramcore_dfi_p1_wrdata_en <= 1'd0; + litedramcore_dfi_p1_rddata_en <= 1'd0; + litedramcore_dfi_p2_address <= 16'd0; + litedramcore_dfi_p2_bank <= 3'd0; + litedramcore_dfi_p2_cas_n <= 1'd1; + litedramcore_dfi_p2_cs_n <= 1'd1; + litedramcore_dfi_p2_ras_n <= 1'd1; + litedramcore_dfi_p2_we_n <= 1'd1; + litedramcore_dfi_p2_wrdata_en <= 1'd0; + litedramcore_dfi_p2_rddata_en <= 1'd0; + litedramcore_dfi_p3_address <= 16'd0; + litedramcore_dfi_p3_bank <= 3'd0; + litedramcore_dfi_p3_cas_n <= 1'd1; + litedramcore_dfi_p3_cs_n <= 1'd1; + litedramcore_dfi_p3_ras_n <= 1'd1; + litedramcore_dfi_p3_we_n <= 1'd1; + litedramcore_dfi_p3_wrdata_en <= 1'd0; + litedramcore_dfi_p3_rddata_en <= 1'd0; + litedramcore_cmd_payload_a <= 16'd0; + litedramcore_cmd_payload_ba <= 3'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_timer_count1 <= 10'd781; + litedramcore_postponer_req_o <= 1'd0; + litedramcore_postponer_count <= 1'd0; + litedramcore_sequencer_done1 <= 1'd0; + litedramcore_sequencer_counter <= 7'd0; + litedramcore_sequencer_count <= 1'd0; + litedramcore_zqcs_timer_count1 <= 27'd99999999; + litedramcore_zqcs_executer_done <= 1'd0; + litedramcore_zqcs_executer_counter <= 5'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine0_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine0_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= 23'd0; + litedramcore_bankmachine0_row <= 16'd0; + litedramcore_bankmachine0_row_opened <= 1'd0; + litedramcore_bankmachine0_twtpcon_ready <= 1'd0; + litedramcore_bankmachine0_twtpcon_count <= 3'd0; + litedramcore_bankmachine0_trccon_ready <= 1'd0; + litedramcore_bankmachine0_trccon_count <= 3'd0; + litedramcore_bankmachine0_trascon_ready <= 1'd0; + litedramcore_bankmachine0_trascon_count <= 3'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine1_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine1_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= 23'd0; + litedramcore_bankmachine1_row <= 16'd0; + litedramcore_bankmachine1_row_opened <= 1'd0; + litedramcore_bankmachine1_twtpcon_ready <= 1'd0; + litedramcore_bankmachine1_twtpcon_count <= 3'd0; + litedramcore_bankmachine1_trccon_ready <= 1'd0; + litedramcore_bankmachine1_trccon_count <= 3'd0; + litedramcore_bankmachine1_trascon_ready <= 1'd0; + litedramcore_bankmachine1_trascon_count <= 3'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine2_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine2_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= 23'd0; + litedramcore_bankmachine2_row <= 16'd0; + litedramcore_bankmachine2_row_opened <= 1'd0; + litedramcore_bankmachine2_twtpcon_ready <= 1'd0; + litedramcore_bankmachine2_twtpcon_count <= 3'd0; + litedramcore_bankmachine2_trccon_ready <= 1'd0; + litedramcore_bankmachine2_trccon_count <= 3'd0; + litedramcore_bankmachine2_trascon_ready <= 1'd0; + litedramcore_bankmachine2_trascon_count <= 3'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine3_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine3_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= 23'd0; + litedramcore_bankmachine3_row <= 16'd0; + litedramcore_bankmachine3_row_opened <= 1'd0; + litedramcore_bankmachine3_twtpcon_ready <= 1'd0; + litedramcore_bankmachine3_twtpcon_count <= 3'd0; + litedramcore_bankmachine3_trccon_ready <= 1'd0; + litedramcore_bankmachine3_trccon_count <= 3'd0; + litedramcore_bankmachine3_trascon_ready <= 1'd0; + litedramcore_bankmachine3_trascon_count <= 3'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine4_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine4_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= 23'd0; + litedramcore_bankmachine4_row <= 16'd0; + litedramcore_bankmachine4_row_opened <= 1'd0; + litedramcore_bankmachine4_twtpcon_ready <= 1'd0; + litedramcore_bankmachine4_twtpcon_count <= 3'd0; + litedramcore_bankmachine4_trccon_ready <= 1'd0; + litedramcore_bankmachine4_trccon_count <= 3'd0; + litedramcore_bankmachine4_trascon_ready <= 1'd0; + litedramcore_bankmachine4_trascon_count <= 3'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine5_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine5_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= 23'd0; + litedramcore_bankmachine5_row <= 16'd0; + litedramcore_bankmachine5_row_opened <= 1'd0; + litedramcore_bankmachine5_twtpcon_ready <= 1'd0; + litedramcore_bankmachine5_twtpcon_count <= 3'd0; + litedramcore_bankmachine5_trccon_ready <= 1'd0; + litedramcore_bankmachine5_trccon_count <= 3'd0; + litedramcore_bankmachine5_trascon_ready <= 1'd0; + litedramcore_bankmachine5_trascon_count <= 3'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine6_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine6_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= 23'd0; + litedramcore_bankmachine6_row <= 16'd0; + litedramcore_bankmachine6_row_opened <= 1'd0; + litedramcore_bankmachine6_twtpcon_ready <= 1'd0; + litedramcore_bankmachine6_twtpcon_count <= 3'd0; + litedramcore_bankmachine6_trccon_ready <= 1'd0; + litedramcore_bankmachine6_trccon_count <= 3'd0; + litedramcore_bankmachine6_trascon_ready <= 1'd0; + litedramcore_bankmachine6_trascon_count <= 3'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine7_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine7_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= 23'd0; + litedramcore_bankmachine7_row <= 16'd0; + litedramcore_bankmachine7_row_opened <= 1'd0; + litedramcore_bankmachine7_twtpcon_ready <= 1'd0; + litedramcore_bankmachine7_twtpcon_count <= 3'd0; + litedramcore_bankmachine7_trccon_ready <= 1'd0; + litedramcore_bankmachine7_trccon_count <= 3'd0; + litedramcore_bankmachine7_trascon_ready <= 1'd0; + litedramcore_bankmachine7_trascon_count <= 3'd0; + litedramcore_choose_cmd_grant <= 3'd0; + litedramcore_choose_req_grant <= 3'd0; + litedramcore_trrdcon_ready <= 1'd0; + litedramcore_trrdcon_count <= 1'd0; + litedramcore_tfawcon_ready <= 1'd1; + litedramcore_tfawcon_window <= 5'd0; + litedramcore_tccdcon_ready <= 1'd0; + litedramcore_tccdcon_count <= 1'd0; + litedramcore_twtrcon_ready <= 1'd0; + litedramcore_twtrcon_count <= 3'd0; + litedramcore_time0 <= 5'd0; + litedramcore_time1 <= 4'd0; + init_done_storage <= 1'd0; + init_done_re <= 1'd0; + init_error_storage <= 1'd0; + init_error_re <= 1'd0; + litedramcore_we <= 1'd0; + litedramcore_refresher_state <= 2'd0; + litedramcore_bankmachine0_state <= 4'd0; + litedramcore_bankmachine1_state <= 4'd0; + litedramcore_bankmachine2_state <= 4'd0; + litedramcore_bankmachine3_state <= 4'd0; + litedramcore_bankmachine4_state <= 4'd0; + litedramcore_bankmachine5_state <= 4'd0; + litedramcore_bankmachine6_state <= 4'd0; + litedramcore_bankmachine7_state <= 4'd0; + litedramcore_multiplexer_state <= 4'd0; + litedramcore_new_master_wdata_ready0 <= 1'd0; + litedramcore_new_master_wdata_ready1 <= 1'd0; + litedramcore_new_master_rdata_valid0 <= 1'd0; + litedramcore_new_master_rdata_valid1 <= 1'd0; + litedramcore_new_master_rdata_valid2 <= 1'd0; + litedramcore_new_master_rdata_valid3 <= 1'd0; + litedramcore_new_master_rdata_valid4 <= 1'd0; + litedramcore_new_master_rdata_valid5 <= 1'd0; + litedramcore_new_master_rdata_valid6 <= 1'd0; + litedramcore_new_master_rdata_valid7 <= 1'd0; + litedramcore_new_master_rdata_valid8 <= 1'd0; + litedramcore_state <= 2'd0; end end @@ -13454,28 +13897,28 @@ end //------------------------------------------------------------------------------ BUFG BUFG( - .I(main_clkout0), - .O(main_clkout_buf0) + .I(clkout0), + .O(clkout_buf0) ); BUFG BUFG_1( - .I(main_clkout1), - .O(main_clkout_buf1) + .I(clkout1), + .O(clkout_buf1) ); BUFG BUFG_2( - .I(main_clkout2), - .O(main_clkout_buf2) + .I(clkout2), + .O(clkout_buf2) ); BUFG BUFG_3( - .I(main_clkout3), - .O(main_clkout_buf3) + .I(clkout3), + .O(clkout_buf3) ); IDELAYCTRL IDELAYCTRL( .REFCLK(iodelay_clk), - .RST(main_ic_reset) + .RST(ic_reset) ); OSERDESE2 #( @@ -13496,12 +13939,12 @@ OSERDESE2 #( .D7(1'd0), .D8(1'd1), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(main_a7ddrphy_sd_clk_se_nodelay) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_sd_clk_se_nodelay) ); OBUFDS OBUFDS( - .I(main_a7ddrphy_sd_clk_se_nodelay), + .I(a7ddrphy_sd_clk_se_nodelay), .O(ddram_clk_p), .OB(ddram_clk_n) ); @@ -13515,16 +13958,16 @@ OSERDESE2 #( ) OSERDESE2_1 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_reset_n), - .D2(main_a7ddrphy_dfi_p0_reset_n), - .D3(main_a7ddrphy_dfi_p1_reset_n), - .D4(main_a7ddrphy_dfi_p1_reset_n), - .D5(main_a7ddrphy_dfi_p2_reset_n), - .D6(main_a7ddrphy_dfi_p2_reset_n), - .D7(main_a7ddrphy_dfi_p3_reset_n), - .D8(main_a7ddrphy_dfi_p3_reset_n), + .D1(a7ddrphy_dfi_p0_reset_n), + .D2(a7ddrphy_dfi_p0_reset_n), + .D3(a7ddrphy_dfi_p1_reset_n), + .D4(a7ddrphy_dfi_p1_reset_n), + .D5(a7ddrphy_dfi_p2_reset_n), + .D6(a7ddrphy_dfi_p2_reset_n), + .D7(a7ddrphy_dfi_p3_reset_n), + .D8(a7ddrphy_dfi_p3_reset_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_reset_n) ); @@ -13537,16 +13980,16 @@ OSERDESE2 #( ) OSERDESE2_2 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_cs_n), - .D2(main_a7ddrphy_dfi_p0_cs_n), - .D3(main_a7ddrphy_dfi_p1_cs_n), - .D4(main_a7ddrphy_dfi_p1_cs_n), - .D5(main_a7ddrphy_dfi_p2_cs_n), - .D6(main_a7ddrphy_dfi_p2_cs_n), - .D7(main_a7ddrphy_dfi_p3_cs_n), - .D8(main_a7ddrphy_dfi_p3_cs_n), + .D1(a7ddrphy_dfi_p0_cs_n), + .D2(a7ddrphy_dfi_p0_cs_n), + .D3(a7ddrphy_dfi_p1_cs_n), + .D4(a7ddrphy_dfi_p1_cs_n), + .D5(a7ddrphy_dfi_p2_cs_n), + .D6(a7ddrphy_dfi_p2_cs_n), + .D7(a7ddrphy_dfi_p3_cs_n), + .D8(a7ddrphy_dfi_p3_cs_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_cs_n) ); @@ -13559,16 +14002,16 @@ OSERDESE2 #( ) OSERDESE2_3 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[0]), - .D2(main_a7ddrphy_dfi_p0_address[0]), - .D3(main_a7ddrphy_dfi_p1_address[0]), - .D4(main_a7ddrphy_dfi_p1_address[0]), - .D5(main_a7ddrphy_dfi_p2_address[0]), - .D6(main_a7ddrphy_dfi_p2_address[0]), - .D7(main_a7ddrphy_dfi_p3_address[0]), - .D8(main_a7ddrphy_dfi_p3_address[0]), + .D1(a7ddrphy_dfi_p0_address[0]), + .D2(a7ddrphy_dfi_p0_address[0]), + .D3(a7ddrphy_dfi_p1_address[0]), + .D4(a7ddrphy_dfi_p1_address[0]), + .D5(a7ddrphy_dfi_p2_address[0]), + .D6(a7ddrphy_dfi_p2_address[0]), + .D7(a7ddrphy_dfi_p3_address[0]), + .D8(a7ddrphy_dfi_p3_address[0]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[0]) ); @@ -13581,16 +14024,16 @@ OSERDESE2 #( ) OSERDESE2_4 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[1]), - .D2(main_a7ddrphy_dfi_p0_address[1]), - .D3(main_a7ddrphy_dfi_p1_address[1]), - .D4(main_a7ddrphy_dfi_p1_address[1]), - .D5(main_a7ddrphy_dfi_p2_address[1]), - .D6(main_a7ddrphy_dfi_p2_address[1]), - .D7(main_a7ddrphy_dfi_p3_address[1]), - .D8(main_a7ddrphy_dfi_p3_address[1]), + .D1(a7ddrphy_dfi_p0_address[1]), + .D2(a7ddrphy_dfi_p0_address[1]), + .D3(a7ddrphy_dfi_p1_address[1]), + .D4(a7ddrphy_dfi_p1_address[1]), + .D5(a7ddrphy_dfi_p2_address[1]), + .D6(a7ddrphy_dfi_p2_address[1]), + .D7(a7ddrphy_dfi_p3_address[1]), + .D8(a7ddrphy_dfi_p3_address[1]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[1]) ); @@ -13603,16 +14046,16 @@ OSERDESE2 #( ) OSERDESE2_5 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[2]), - .D2(main_a7ddrphy_dfi_p0_address[2]), - .D3(main_a7ddrphy_dfi_p1_address[2]), - .D4(main_a7ddrphy_dfi_p1_address[2]), - .D5(main_a7ddrphy_dfi_p2_address[2]), - .D6(main_a7ddrphy_dfi_p2_address[2]), - .D7(main_a7ddrphy_dfi_p3_address[2]), - .D8(main_a7ddrphy_dfi_p3_address[2]), + .D1(a7ddrphy_dfi_p0_address[2]), + .D2(a7ddrphy_dfi_p0_address[2]), + .D3(a7ddrphy_dfi_p1_address[2]), + .D4(a7ddrphy_dfi_p1_address[2]), + .D5(a7ddrphy_dfi_p2_address[2]), + .D6(a7ddrphy_dfi_p2_address[2]), + .D7(a7ddrphy_dfi_p3_address[2]), + .D8(a7ddrphy_dfi_p3_address[2]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[2]) ); @@ -13625,16 +14068,16 @@ OSERDESE2 #( ) OSERDESE2_6 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[3]), - .D2(main_a7ddrphy_dfi_p0_address[3]), - .D3(main_a7ddrphy_dfi_p1_address[3]), - .D4(main_a7ddrphy_dfi_p1_address[3]), - .D5(main_a7ddrphy_dfi_p2_address[3]), - .D6(main_a7ddrphy_dfi_p2_address[3]), - .D7(main_a7ddrphy_dfi_p3_address[3]), - .D8(main_a7ddrphy_dfi_p3_address[3]), + .D1(a7ddrphy_dfi_p0_address[3]), + .D2(a7ddrphy_dfi_p0_address[3]), + .D3(a7ddrphy_dfi_p1_address[3]), + .D4(a7ddrphy_dfi_p1_address[3]), + .D5(a7ddrphy_dfi_p2_address[3]), + .D6(a7ddrphy_dfi_p2_address[3]), + .D7(a7ddrphy_dfi_p3_address[3]), + .D8(a7ddrphy_dfi_p3_address[3]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[3]) ); @@ -13647,16 +14090,16 @@ OSERDESE2 #( ) OSERDESE2_7 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[4]), - .D2(main_a7ddrphy_dfi_p0_address[4]), - .D3(main_a7ddrphy_dfi_p1_address[4]), - .D4(main_a7ddrphy_dfi_p1_address[4]), - .D5(main_a7ddrphy_dfi_p2_address[4]), - .D6(main_a7ddrphy_dfi_p2_address[4]), - .D7(main_a7ddrphy_dfi_p3_address[4]), - .D8(main_a7ddrphy_dfi_p3_address[4]), + .D1(a7ddrphy_dfi_p0_address[4]), + .D2(a7ddrphy_dfi_p0_address[4]), + .D3(a7ddrphy_dfi_p1_address[4]), + .D4(a7ddrphy_dfi_p1_address[4]), + .D5(a7ddrphy_dfi_p2_address[4]), + .D6(a7ddrphy_dfi_p2_address[4]), + .D7(a7ddrphy_dfi_p3_address[4]), + .D8(a7ddrphy_dfi_p3_address[4]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[4]) ); @@ -13669,16 +14112,16 @@ OSERDESE2 #( ) OSERDESE2_8 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[5]), - .D2(main_a7ddrphy_dfi_p0_address[5]), - .D3(main_a7ddrphy_dfi_p1_address[5]), - .D4(main_a7ddrphy_dfi_p1_address[5]), - .D5(main_a7ddrphy_dfi_p2_address[5]), - .D6(main_a7ddrphy_dfi_p2_address[5]), - .D7(main_a7ddrphy_dfi_p3_address[5]), - .D8(main_a7ddrphy_dfi_p3_address[5]), + .D1(a7ddrphy_dfi_p0_address[5]), + .D2(a7ddrphy_dfi_p0_address[5]), + .D3(a7ddrphy_dfi_p1_address[5]), + .D4(a7ddrphy_dfi_p1_address[5]), + .D5(a7ddrphy_dfi_p2_address[5]), + .D6(a7ddrphy_dfi_p2_address[5]), + .D7(a7ddrphy_dfi_p3_address[5]), + .D8(a7ddrphy_dfi_p3_address[5]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[5]) ); @@ -13691,16 +14134,16 @@ OSERDESE2 #( ) OSERDESE2_9 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[6]), - .D2(main_a7ddrphy_dfi_p0_address[6]), - .D3(main_a7ddrphy_dfi_p1_address[6]), - .D4(main_a7ddrphy_dfi_p1_address[6]), - .D5(main_a7ddrphy_dfi_p2_address[6]), - .D6(main_a7ddrphy_dfi_p2_address[6]), - .D7(main_a7ddrphy_dfi_p3_address[6]), - .D8(main_a7ddrphy_dfi_p3_address[6]), + .D1(a7ddrphy_dfi_p0_address[6]), + .D2(a7ddrphy_dfi_p0_address[6]), + .D3(a7ddrphy_dfi_p1_address[6]), + .D4(a7ddrphy_dfi_p1_address[6]), + .D5(a7ddrphy_dfi_p2_address[6]), + .D6(a7ddrphy_dfi_p2_address[6]), + .D7(a7ddrphy_dfi_p3_address[6]), + .D8(a7ddrphy_dfi_p3_address[6]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[6]) ); @@ -13713,16 +14156,16 @@ OSERDESE2 #( ) OSERDESE2_10 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[7]), - .D2(main_a7ddrphy_dfi_p0_address[7]), - .D3(main_a7ddrphy_dfi_p1_address[7]), - .D4(main_a7ddrphy_dfi_p1_address[7]), - .D5(main_a7ddrphy_dfi_p2_address[7]), - .D6(main_a7ddrphy_dfi_p2_address[7]), - .D7(main_a7ddrphy_dfi_p3_address[7]), - .D8(main_a7ddrphy_dfi_p3_address[7]), + .D1(a7ddrphy_dfi_p0_address[7]), + .D2(a7ddrphy_dfi_p0_address[7]), + .D3(a7ddrphy_dfi_p1_address[7]), + .D4(a7ddrphy_dfi_p1_address[7]), + .D5(a7ddrphy_dfi_p2_address[7]), + .D6(a7ddrphy_dfi_p2_address[7]), + .D7(a7ddrphy_dfi_p3_address[7]), + .D8(a7ddrphy_dfi_p3_address[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[7]) ); @@ -13735,16 +14178,16 @@ OSERDESE2 #( ) OSERDESE2_11 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[8]), - .D2(main_a7ddrphy_dfi_p0_address[8]), - .D3(main_a7ddrphy_dfi_p1_address[8]), - .D4(main_a7ddrphy_dfi_p1_address[8]), - .D5(main_a7ddrphy_dfi_p2_address[8]), - .D6(main_a7ddrphy_dfi_p2_address[8]), - .D7(main_a7ddrphy_dfi_p3_address[8]), - .D8(main_a7ddrphy_dfi_p3_address[8]), + .D1(a7ddrphy_dfi_p0_address[8]), + .D2(a7ddrphy_dfi_p0_address[8]), + .D3(a7ddrphy_dfi_p1_address[8]), + .D4(a7ddrphy_dfi_p1_address[8]), + .D5(a7ddrphy_dfi_p2_address[8]), + .D6(a7ddrphy_dfi_p2_address[8]), + .D7(a7ddrphy_dfi_p3_address[8]), + .D8(a7ddrphy_dfi_p3_address[8]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[8]) ); @@ -13757,16 +14200,16 @@ OSERDESE2 #( ) OSERDESE2_12 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[9]), - .D2(main_a7ddrphy_dfi_p0_address[9]), - .D3(main_a7ddrphy_dfi_p1_address[9]), - .D4(main_a7ddrphy_dfi_p1_address[9]), - .D5(main_a7ddrphy_dfi_p2_address[9]), - .D6(main_a7ddrphy_dfi_p2_address[9]), - .D7(main_a7ddrphy_dfi_p3_address[9]), - .D8(main_a7ddrphy_dfi_p3_address[9]), + .D1(a7ddrphy_dfi_p0_address[9]), + .D2(a7ddrphy_dfi_p0_address[9]), + .D3(a7ddrphy_dfi_p1_address[9]), + .D4(a7ddrphy_dfi_p1_address[9]), + .D5(a7ddrphy_dfi_p2_address[9]), + .D6(a7ddrphy_dfi_p2_address[9]), + .D7(a7ddrphy_dfi_p3_address[9]), + .D8(a7ddrphy_dfi_p3_address[9]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[9]) ); @@ -13779,16 +14222,16 @@ OSERDESE2 #( ) OSERDESE2_13 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[10]), - .D2(main_a7ddrphy_dfi_p0_address[10]), - .D3(main_a7ddrphy_dfi_p1_address[10]), - .D4(main_a7ddrphy_dfi_p1_address[10]), - .D5(main_a7ddrphy_dfi_p2_address[10]), - .D6(main_a7ddrphy_dfi_p2_address[10]), - .D7(main_a7ddrphy_dfi_p3_address[10]), - .D8(main_a7ddrphy_dfi_p3_address[10]), + .D1(a7ddrphy_dfi_p0_address[10]), + .D2(a7ddrphy_dfi_p0_address[10]), + .D3(a7ddrphy_dfi_p1_address[10]), + .D4(a7ddrphy_dfi_p1_address[10]), + .D5(a7ddrphy_dfi_p2_address[10]), + .D6(a7ddrphy_dfi_p2_address[10]), + .D7(a7ddrphy_dfi_p3_address[10]), + .D8(a7ddrphy_dfi_p3_address[10]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[10]) ); @@ -13801,16 +14244,16 @@ OSERDESE2 #( ) OSERDESE2_14 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[11]), - .D2(main_a7ddrphy_dfi_p0_address[11]), - .D3(main_a7ddrphy_dfi_p1_address[11]), - .D4(main_a7ddrphy_dfi_p1_address[11]), - .D5(main_a7ddrphy_dfi_p2_address[11]), - .D6(main_a7ddrphy_dfi_p2_address[11]), - .D7(main_a7ddrphy_dfi_p3_address[11]), - .D8(main_a7ddrphy_dfi_p3_address[11]), + .D1(a7ddrphy_dfi_p0_address[11]), + .D2(a7ddrphy_dfi_p0_address[11]), + .D3(a7ddrphy_dfi_p1_address[11]), + .D4(a7ddrphy_dfi_p1_address[11]), + .D5(a7ddrphy_dfi_p2_address[11]), + .D6(a7ddrphy_dfi_p2_address[11]), + .D7(a7ddrphy_dfi_p3_address[11]), + .D8(a7ddrphy_dfi_p3_address[11]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[11]) ); @@ -13823,16 +14266,16 @@ OSERDESE2 #( ) OSERDESE2_15 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[12]), - .D2(main_a7ddrphy_dfi_p0_address[12]), - .D3(main_a7ddrphy_dfi_p1_address[12]), - .D4(main_a7ddrphy_dfi_p1_address[12]), - .D5(main_a7ddrphy_dfi_p2_address[12]), - .D6(main_a7ddrphy_dfi_p2_address[12]), - .D7(main_a7ddrphy_dfi_p3_address[12]), - .D8(main_a7ddrphy_dfi_p3_address[12]), + .D1(a7ddrphy_dfi_p0_address[12]), + .D2(a7ddrphy_dfi_p0_address[12]), + .D3(a7ddrphy_dfi_p1_address[12]), + .D4(a7ddrphy_dfi_p1_address[12]), + .D5(a7ddrphy_dfi_p2_address[12]), + .D6(a7ddrphy_dfi_p2_address[12]), + .D7(a7ddrphy_dfi_p3_address[12]), + .D8(a7ddrphy_dfi_p3_address[12]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[12]) ); @@ -13845,16 +14288,16 @@ OSERDESE2 #( ) OSERDESE2_16 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[13]), - .D2(main_a7ddrphy_dfi_p0_address[13]), - .D3(main_a7ddrphy_dfi_p1_address[13]), - .D4(main_a7ddrphy_dfi_p1_address[13]), - .D5(main_a7ddrphy_dfi_p2_address[13]), - .D6(main_a7ddrphy_dfi_p2_address[13]), - .D7(main_a7ddrphy_dfi_p3_address[13]), - .D8(main_a7ddrphy_dfi_p3_address[13]), + .D1(a7ddrphy_dfi_p0_address[13]), + .D2(a7ddrphy_dfi_p0_address[13]), + .D3(a7ddrphy_dfi_p1_address[13]), + .D4(a7ddrphy_dfi_p1_address[13]), + .D5(a7ddrphy_dfi_p2_address[13]), + .D6(a7ddrphy_dfi_p2_address[13]), + .D7(a7ddrphy_dfi_p3_address[13]), + .D8(a7ddrphy_dfi_p3_address[13]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[13]) ); @@ -13867,16 +14310,16 @@ OSERDESE2 #( ) OSERDESE2_17 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[14]), - .D2(main_a7ddrphy_dfi_p0_address[14]), - .D3(main_a7ddrphy_dfi_p1_address[14]), - .D4(main_a7ddrphy_dfi_p1_address[14]), - .D5(main_a7ddrphy_dfi_p2_address[14]), - .D6(main_a7ddrphy_dfi_p2_address[14]), - .D7(main_a7ddrphy_dfi_p3_address[14]), - .D8(main_a7ddrphy_dfi_p3_address[14]), + .D1(a7ddrphy_dfi_p0_address[14]), + .D2(a7ddrphy_dfi_p0_address[14]), + .D3(a7ddrphy_dfi_p1_address[14]), + .D4(a7ddrphy_dfi_p1_address[14]), + .D5(a7ddrphy_dfi_p2_address[14]), + .D6(a7ddrphy_dfi_p2_address[14]), + .D7(a7ddrphy_dfi_p3_address[14]), + .D8(a7ddrphy_dfi_p3_address[14]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[14]) ); @@ -13889,16 +14332,16 @@ OSERDESE2 #( ) OSERDESE2_18 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[15]), - .D2(main_a7ddrphy_dfi_p0_address[15]), - .D3(main_a7ddrphy_dfi_p1_address[15]), - .D4(main_a7ddrphy_dfi_p1_address[15]), - .D5(main_a7ddrphy_dfi_p2_address[15]), - .D6(main_a7ddrphy_dfi_p2_address[15]), - .D7(main_a7ddrphy_dfi_p3_address[15]), - .D8(main_a7ddrphy_dfi_p3_address[15]), + .D1(a7ddrphy_dfi_p0_address[15]), + .D2(a7ddrphy_dfi_p0_address[15]), + .D3(a7ddrphy_dfi_p1_address[15]), + .D4(a7ddrphy_dfi_p1_address[15]), + .D5(a7ddrphy_dfi_p2_address[15]), + .D6(a7ddrphy_dfi_p2_address[15]), + .D7(a7ddrphy_dfi_p3_address[15]), + .D8(a7ddrphy_dfi_p3_address[15]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[15]) ); @@ -13911,17 +14354,17 @@ OSERDESE2 #( ) OSERDESE2_19 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_bank[0]), - .D2(main_a7ddrphy_dfi_p0_bank[0]), - .D3(main_a7ddrphy_dfi_p1_bank[0]), - .D4(main_a7ddrphy_dfi_p1_bank[0]), - .D5(main_a7ddrphy_dfi_p2_bank[0]), - .D6(main_a7ddrphy_dfi_p2_bank[0]), - .D7(main_a7ddrphy_dfi_p3_bank[0]), - .D8(main_a7ddrphy_dfi_p3_bank[0]), + .D1(a7ddrphy_dfi_p0_bank[0]), + .D2(a7ddrphy_dfi_p0_bank[0]), + .D3(a7ddrphy_dfi_p1_bank[0]), + .D4(a7ddrphy_dfi_p1_bank[0]), + .D5(a7ddrphy_dfi_p2_bank[0]), + .D6(a7ddrphy_dfi_p2_bank[0]), + .D7(a7ddrphy_dfi_p3_bank[0]), + .D8(a7ddrphy_dfi_p3_bank[0]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(ddram_ba[0]) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_pads_ba[0]) ); OSERDESE2 #( @@ -13933,17 +14376,17 @@ OSERDESE2 #( ) OSERDESE2_20 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_bank[1]), - .D2(main_a7ddrphy_dfi_p0_bank[1]), - .D3(main_a7ddrphy_dfi_p1_bank[1]), - .D4(main_a7ddrphy_dfi_p1_bank[1]), - .D5(main_a7ddrphy_dfi_p2_bank[1]), - .D6(main_a7ddrphy_dfi_p2_bank[1]), - .D7(main_a7ddrphy_dfi_p3_bank[1]), - .D8(main_a7ddrphy_dfi_p3_bank[1]), + .D1(a7ddrphy_dfi_p0_bank[1]), + .D2(a7ddrphy_dfi_p0_bank[1]), + .D3(a7ddrphy_dfi_p1_bank[1]), + .D4(a7ddrphy_dfi_p1_bank[1]), + .D5(a7ddrphy_dfi_p2_bank[1]), + .D6(a7ddrphy_dfi_p2_bank[1]), + .D7(a7ddrphy_dfi_p3_bank[1]), + .D8(a7ddrphy_dfi_p3_bank[1]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(ddram_ba[1]) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_pads_ba[1]) ); OSERDESE2 #( @@ -13955,17 +14398,17 @@ OSERDESE2 #( ) OSERDESE2_21 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_bank[2]), - .D2(main_a7ddrphy_dfi_p0_bank[2]), - .D3(main_a7ddrphy_dfi_p1_bank[2]), - .D4(main_a7ddrphy_dfi_p1_bank[2]), - .D5(main_a7ddrphy_dfi_p2_bank[2]), - .D6(main_a7ddrphy_dfi_p2_bank[2]), - .D7(main_a7ddrphy_dfi_p3_bank[2]), - .D8(main_a7ddrphy_dfi_p3_bank[2]), + .D1(a7ddrphy_dfi_p0_bank[2]), + .D2(a7ddrphy_dfi_p0_bank[2]), + .D3(a7ddrphy_dfi_p1_bank[2]), + .D4(a7ddrphy_dfi_p1_bank[2]), + .D5(a7ddrphy_dfi_p2_bank[2]), + .D6(a7ddrphy_dfi_p2_bank[2]), + .D7(a7ddrphy_dfi_p3_bank[2]), + .D8(a7ddrphy_dfi_p3_bank[2]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(ddram_ba[2]) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_pads_ba[2]) ); OSERDESE2 #( @@ -13977,16 +14420,16 @@ OSERDESE2 #( ) OSERDESE2_22 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_ras_n), - .D2(main_a7ddrphy_dfi_p0_ras_n), - .D3(main_a7ddrphy_dfi_p1_ras_n), - .D4(main_a7ddrphy_dfi_p1_ras_n), - .D5(main_a7ddrphy_dfi_p2_ras_n), - .D6(main_a7ddrphy_dfi_p2_ras_n), - .D7(main_a7ddrphy_dfi_p3_ras_n), - .D8(main_a7ddrphy_dfi_p3_ras_n), + .D1(a7ddrphy_dfi_p0_ras_n), + .D2(a7ddrphy_dfi_p0_ras_n), + .D3(a7ddrphy_dfi_p1_ras_n), + .D4(a7ddrphy_dfi_p1_ras_n), + .D5(a7ddrphy_dfi_p2_ras_n), + .D6(a7ddrphy_dfi_p2_ras_n), + .D7(a7ddrphy_dfi_p3_ras_n), + .D8(a7ddrphy_dfi_p3_ras_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_ras_n) ); @@ -13999,16 +14442,16 @@ OSERDESE2 #( ) OSERDESE2_23 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_cas_n), - .D2(main_a7ddrphy_dfi_p0_cas_n), - .D3(main_a7ddrphy_dfi_p1_cas_n), - .D4(main_a7ddrphy_dfi_p1_cas_n), - .D5(main_a7ddrphy_dfi_p2_cas_n), - .D6(main_a7ddrphy_dfi_p2_cas_n), - .D7(main_a7ddrphy_dfi_p3_cas_n), - .D8(main_a7ddrphy_dfi_p3_cas_n), + .D1(a7ddrphy_dfi_p0_cas_n), + .D2(a7ddrphy_dfi_p0_cas_n), + .D3(a7ddrphy_dfi_p1_cas_n), + .D4(a7ddrphy_dfi_p1_cas_n), + .D5(a7ddrphy_dfi_p2_cas_n), + .D6(a7ddrphy_dfi_p2_cas_n), + .D7(a7ddrphy_dfi_p3_cas_n), + .D8(a7ddrphy_dfi_p3_cas_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_cas_n) ); @@ -14021,16 +14464,16 @@ OSERDESE2 #( ) OSERDESE2_24 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_we_n), - .D2(main_a7ddrphy_dfi_p0_we_n), - .D3(main_a7ddrphy_dfi_p1_we_n), - .D4(main_a7ddrphy_dfi_p1_we_n), - .D5(main_a7ddrphy_dfi_p2_we_n), - .D6(main_a7ddrphy_dfi_p2_we_n), - .D7(main_a7ddrphy_dfi_p3_we_n), - .D8(main_a7ddrphy_dfi_p3_we_n), + .D1(a7ddrphy_dfi_p0_we_n), + .D2(a7ddrphy_dfi_p0_we_n), + .D3(a7ddrphy_dfi_p1_we_n), + .D4(a7ddrphy_dfi_p1_we_n), + .D5(a7ddrphy_dfi_p2_we_n), + .D6(a7ddrphy_dfi_p2_we_n), + .D7(a7ddrphy_dfi_p3_we_n), + .D8(a7ddrphy_dfi_p3_we_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_we_n) ); @@ -14043,16 +14486,16 @@ OSERDESE2 #( ) OSERDESE2_25 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_cke), - .D2(main_a7ddrphy_dfi_p0_cke), - .D3(main_a7ddrphy_dfi_p1_cke), - .D4(main_a7ddrphy_dfi_p1_cke), - .D5(main_a7ddrphy_dfi_p2_cke), - .D6(main_a7ddrphy_dfi_p2_cke), - .D7(main_a7ddrphy_dfi_p3_cke), - .D8(main_a7ddrphy_dfi_p3_cke), + .D1(a7ddrphy_dfi_p0_cke), + .D2(a7ddrphy_dfi_p0_cke), + .D3(a7ddrphy_dfi_p1_cke), + .D4(a7ddrphy_dfi_p1_cke), + .D5(a7ddrphy_dfi_p2_cke), + .D6(a7ddrphy_dfi_p2_cke), + .D7(a7ddrphy_dfi_p3_cke), + .D8(a7ddrphy_dfi_p3_cke), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_cke) ); @@ -14065,16 +14508,16 @@ OSERDESE2 #( ) OSERDESE2_26 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_odt), - .D2(main_a7ddrphy_dfi_p0_odt), - .D3(main_a7ddrphy_dfi_p1_odt), - .D4(main_a7ddrphy_dfi_p1_odt), - .D5(main_a7ddrphy_dfi_p2_odt), - .D6(main_a7ddrphy_dfi_p2_odt), - .D7(main_a7ddrphy_dfi_p3_odt), - .D8(main_a7ddrphy_dfi_p3_odt), + .D1(a7ddrphy_dfi_p0_odt), + .D2(a7ddrphy_dfi_p0_odt), + .D3(a7ddrphy_dfi_p1_odt), + .D4(a7ddrphy_dfi_p1_odt), + .D5(a7ddrphy_dfi_p2_odt), + .D6(a7ddrphy_dfi_p2_odt), + .D7(a7ddrphy_dfi_p3_odt), + .D8(a7ddrphy_dfi_p3_odt), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_odt) ); @@ -14087,26 +14530,26 @@ OSERDESE2 #( ) OSERDESE2_27 ( .CLK(sys4x_dqs_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip00[0]), - .D2(main_a7ddrphy_bitslip00[1]), - .D3(main_a7ddrphy_bitslip00[2]), - .D4(main_a7ddrphy_bitslip00[3]), - .D5(main_a7ddrphy_bitslip00[4]), - .D6(main_a7ddrphy_bitslip00[5]), - .D7(main_a7ddrphy_bitslip00[6]), - .D8(main_a7ddrphy_bitslip00[7]), + .D1(a7ddrphy_bitslip00[0]), + .D2(a7ddrphy_bitslip00[1]), + .D3(a7ddrphy_bitslip00[2]), + .D4(a7ddrphy_bitslip00[3]), + .D5(a7ddrphy_bitslip00[4]), + .D6(a7ddrphy_bitslip00[5]), + .D7(a7ddrphy_bitslip00[6]), + .D8(a7ddrphy_bitslip00[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OFB(main_a7ddrphy0), - .OQ(main_a7ddrphy_dqs_o_no_delay0), - .TQ(main_a7ddrphy_dqs_t0) + .OFB(a7ddrphy0), + .OQ(a7ddrphy_dqs_o_no_delay0), + .TQ(a7ddrphy_dqs_t0) ); IOBUFDS IOBUFDS( - .I(main_a7ddrphy_dqs_o_no_delay0), - .T(main_a7ddrphy_dqs_t0), + .I(a7ddrphy_dqs_o_no_delay0), + .T(a7ddrphy_dqs_t0), .IO(ddram_dqs_p[0]), .IOB(ddram_dqs_n[0]) ); @@ -14120,26 +14563,26 @@ OSERDESE2 #( ) OSERDESE2_28 ( .CLK(sys4x_dqs_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip10[0]), - .D2(main_a7ddrphy_bitslip10[1]), - .D3(main_a7ddrphy_bitslip10[2]), - .D4(main_a7ddrphy_bitslip10[3]), - .D5(main_a7ddrphy_bitslip10[4]), - .D6(main_a7ddrphy_bitslip10[5]), - .D7(main_a7ddrphy_bitslip10[6]), - .D8(main_a7ddrphy_bitslip10[7]), + .D1(a7ddrphy_bitslip10[0]), + .D2(a7ddrphy_bitslip10[1]), + .D3(a7ddrphy_bitslip10[2]), + .D4(a7ddrphy_bitslip10[3]), + .D5(a7ddrphy_bitslip10[4]), + .D6(a7ddrphy_bitslip10[5]), + .D7(a7ddrphy_bitslip10[6]), + .D8(a7ddrphy_bitslip10[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OFB(main_a7ddrphy1), - .OQ(main_a7ddrphy_dqs_o_no_delay1), - .TQ(main_a7ddrphy_dqs_t1) + .OFB(a7ddrphy1), + .OQ(a7ddrphy_dqs_o_no_delay1), + .TQ(a7ddrphy_dqs_t1) ); IOBUFDS IOBUFDS_1( - .I(main_a7ddrphy_dqs_o_no_delay1), - .T(main_a7ddrphy_dqs_t1), + .I(a7ddrphy_dqs_o_no_delay1), + .T(a7ddrphy_dqs_t1), .IO(ddram_dqs_p[1]), .IOB(ddram_dqs_n[1]) ); @@ -14153,16 +14596,16 @@ OSERDESE2 #( ) OSERDESE2_29 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip01[0]), - .D2(main_a7ddrphy_bitslip01[1]), - .D3(main_a7ddrphy_bitslip01[2]), - .D4(main_a7ddrphy_bitslip01[3]), - .D5(main_a7ddrphy_bitslip01[4]), - .D6(main_a7ddrphy_bitslip01[5]), - .D7(main_a7ddrphy_bitslip01[6]), - .D8(main_a7ddrphy_bitslip01[7]), + .D1(a7ddrphy_bitslip01[0]), + .D2(a7ddrphy_bitslip01[1]), + .D3(a7ddrphy_bitslip01[2]), + .D4(a7ddrphy_bitslip01[3]), + .D5(a7ddrphy_bitslip01[4]), + .D6(a7ddrphy_bitslip01[5]), + .D7(a7ddrphy_bitslip01[6]), + .D8(a7ddrphy_bitslip01[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_dm[0]) ); @@ -14175,16 +14618,16 @@ OSERDESE2 #( ) OSERDESE2_30 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip11[0]), - .D2(main_a7ddrphy_bitslip11[1]), - .D3(main_a7ddrphy_bitslip11[2]), - .D4(main_a7ddrphy_bitslip11[3]), - .D5(main_a7ddrphy_bitslip11[4]), - .D6(main_a7ddrphy_bitslip11[5]), - .D7(main_a7ddrphy_bitslip11[6]), - .D8(main_a7ddrphy_bitslip11[7]), + .D1(a7ddrphy_bitslip11[0]), + .D2(a7ddrphy_bitslip11[1]), + .D3(a7ddrphy_bitslip11[2]), + .D4(a7ddrphy_bitslip11[3]), + .D5(a7ddrphy_bitslip11[4]), + .D6(a7ddrphy_bitslip11[5]), + .D7(a7ddrphy_bitslip11[6]), + .D8(a7ddrphy_bitslip11[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_dm[1]) ); @@ -14197,20 +14640,20 @@ OSERDESE2 #( ) OSERDESE2_31 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip02[0]), - .D2(main_a7ddrphy_bitslip02[1]), - .D3(main_a7ddrphy_bitslip02[2]), - .D4(main_a7ddrphy_bitslip02[3]), - .D5(main_a7ddrphy_bitslip02[4]), - .D6(main_a7ddrphy_bitslip02[5]), - .D7(main_a7ddrphy_bitslip02[6]), - .D8(main_a7ddrphy_bitslip02[7]), + .D1(a7ddrphy_bitslip02[0]), + .D2(a7ddrphy_bitslip02[1]), + .D3(a7ddrphy_bitslip02[2]), + .D4(a7ddrphy_bitslip02[3]), + .D5(a7ddrphy_bitslip02[4]), + .D6(a7ddrphy_bitslip02[5]), + .D7(a7ddrphy_bitslip02[6]), + .D8(a7ddrphy_bitslip02[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay0), - .TQ(main_a7ddrphy_dq_t0) + .OQ(a7ddrphy_dq_o_nodelay0), + .TQ(a7ddrphy_dq_t0) ); ISERDESE2 #( @@ -14226,16 +14669,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed0), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip03[7]), - .Q2(main_a7ddrphy_bitslip03[6]), - .Q3(main_a7ddrphy_bitslip03[5]), - .Q4(main_a7ddrphy_bitslip03[4]), - .Q5(main_a7ddrphy_bitslip03[3]), - .Q6(main_a7ddrphy_bitslip03[2]), - .Q7(main_a7ddrphy_bitslip03[1]), - .Q8(main_a7ddrphy_bitslip03[0]) + .DDLY(a7ddrphy_dq_i_delayed0), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip03[7]), + .Q2(a7ddrphy_bitslip03[6]), + .Q3(a7ddrphy_bitslip03[5]), + .Q4(a7ddrphy_bitslip03[4]), + .Q5(a7ddrphy_bitslip03[3]), + .Q6(a7ddrphy_bitslip03[2]), + .Q7(a7ddrphy_bitslip03[1]), + .Q8(a7ddrphy_bitslip03[0]) ); IDELAYE2 #( @@ -14249,19 +14692,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay0), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay0), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed0) + .DATAOUT(a7ddrphy_dq_i_delayed0) ); IOBUF IOBUF( - .I(main_a7ddrphy_dq_o_nodelay0), - .T(main_a7ddrphy_dq_t0), + .I(a7ddrphy_dq_o_nodelay0), + .T(a7ddrphy_dq_t0), .IO(ddram_dq[0]), - .O(main_a7ddrphy_dq_i_nodelay0) + .O(a7ddrphy_dq_i_nodelay0) ); OSERDESE2 #( @@ -14273,20 +14716,20 @@ OSERDESE2 #( ) OSERDESE2_32 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip12[0]), - .D2(main_a7ddrphy_bitslip12[1]), - .D3(main_a7ddrphy_bitslip12[2]), - .D4(main_a7ddrphy_bitslip12[3]), - .D5(main_a7ddrphy_bitslip12[4]), - .D6(main_a7ddrphy_bitslip12[5]), - .D7(main_a7ddrphy_bitslip12[6]), - .D8(main_a7ddrphy_bitslip12[7]), + .D1(a7ddrphy_bitslip12[0]), + .D2(a7ddrphy_bitslip12[1]), + .D3(a7ddrphy_bitslip12[2]), + .D4(a7ddrphy_bitslip12[3]), + .D5(a7ddrphy_bitslip12[4]), + .D6(a7ddrphy_bitslip12[5]), + .D7(a7ddrphy_bitslip12[6]), + .D8(a7ddrphy_bitslip12[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay1), - .TQ(main_a7ddrphy_dq_t1) + .OQ(a7ddrphy_dq_o_nodelay1), + .TQ(a7ddrphy_dq_t1) ); ISERDESE2 #( @@ -14302,16 +14745,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip13[7]), - .Q2(main_a7ddrphy_bitslip13[6]), - .Q3(main_a7ddrphy_bitslip13[5]), - .Q4(main_a7ddrphy_bitslip13[4]), - .Q5(main_a7ddrphy_bitslip13[3]), - .Q6(main_a7ddrphy_bitslip13[2]), - .Q7(main_a7ddrphy_bitslip13[1]), - .Q8(main_a7ddrphy_bitslip13[0]) + .DDLY(a7ddrphy_dq_i_delayed1), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip13[7]), + .Q2(a7ddrphy_bitslip13[6]), + .Q3(a7ddrphy_bitslip13[5]), + .Q4(a7ddrphy_bitslip13[4]), + .Q5(a7ddrphy_bitslip13[3]), + .Q6(a7ddrphy_bitslip13[2]), + .Q7(a7ddrphy_bitslip13[1]), + .Q8(a7ddrphy_bitslip13[0]) ); IDELAYE2 #( @@ -14325,19 +14768,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_1 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay1), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay1), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed1) + .DATAOUT(a7ddrphy_dq_i_delayed1) ); IOBUF IOBUF_1( - .I(main_a7ddrphy_dq_o_nodelay1), - .T(main_a7ddrphy_dq_t1), + .I(a7ddrphy_dq_o_nodelay1), + .T(a7ddrphy_dq_t1), .IO(ddram_dq[1]), - .O(main_a7ddrphy_dq_i_nodelay1) + .O(a7ddrphy_dq_i_nodelay1) ); OSERDESE2 #( @@ -14349,20 +14792,20 @@ OSERDESE2 #( ) OSERDESE2_33 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip20[0]), - .D2(main_a7ddrphy_bitslip20[1]), - .D3(main_a7ddrphy_bitslip20[2]), - .D4(main_a7ddrphy_bitslip20[3]), - .D5(main_a7ddrphy_bitslip20[4]), - .D6(main_a7ddrphy_bitslip20[5]), - .D7(main_a7ddrphy_bitslip20[6]), - .D8(main_a7ddrphy_bitslip20[7]), + .D1(a7ddrphy_bitslip20[0]), + .D2(a7ddrphy_bitslip20[1]), + .D3(a7ddrphy_bitslip20[2]), + .D4(a7ddrphy_bitslip20[3]), + .D5(a7ddrphy_bitslip20[4]), + .D6(a7ddrphy_bitslip20[5]), + .D7(a7ddrphy_bitslip20[6]), + .D8(a7ddrphy_bitslip20[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay2), - .TQ(main_a7ddrphy_dq_t2) + .OQ(a7ddrphy_dq_o_nodelay2), + .TQ(a7ddrphy_dq_t2) ); ISERDESE2 #( @@ -14378,16 +14821,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed2), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip21[7]), - .Q2(main_a7ddrphy_bitslip21[6]), - .Q3(main_a7ddrphy_bitslip21[5]), - .Q4(main_a7ddrphy_bitslip21[4]), - .Q5(main_a7ddrphy_bitslip21[3]), - .Q6(main_a7ddrphy_bitslip21[2]), - .Q7(main_a7ddrphy_bitslip21[1]), - .Q8(main_a7ddrphy_bitslip21[0]) + .DDLY(a7ddrphy_dq_i_delayed2), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip21[7]), + .Q2(a7ddrphy_bitslip21[6]), + .Q3(a7ddrphy_bitslip21[5]), + .Q4(a7ddrphy_bitslip21[4]), + .Q5(a7ddrphy_bitslip21[3]), + .Q6(a7ddrphy_bitslip21[2]), + .Q7(a7ddrphy_bitslip21[1]), + .Q8(a7ddrphy_bitslip21[0]) ); IDELAYE2 #( @@ -14401,19 +14844,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_2 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay2), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay2), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed2) + .DATAOUT(a7ddrphy_dq_i_delayed2) ); IOBUF IOBUF_2( - .I(main_a7ddrphy_dq_o_nodelay2), - .T(main_a7ddrphy_dq_t2), + .I(a7ddrphy_dq_o_nodelay2), + .T(a7ddrphy_dq_t2), .IO(ddram_dq[2]), - .O(main_a7ddrphy_dq_i_nodelay2) + .O(a7ddrphy_dq_i_nodelay2) ); OSERDESE2 #( @@ -14425,20 +14868,20 @@ OSERDESE2 #( ) OSERDESE2_34 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip30[0]), - .D2(main_a7ddrphy_bitslip30[1]), - .D3(main_a7ddrphy_bitslip30[2]), - .D4(main_a7ddrphy_bitslip30[3]), - .D5(main_a7ddrphy_bitslip30[4]), - .D6(main_a7ddrphy_bitslip30[5]), - .D7(main_a7ddrphy_bitslip30[6]), - .D8(main_a7ddrphy_bitslip30[7]), + .D1(a7ddrphy_bitslip30[0]), + .D2(a7ddrphy_bitslip30[1]), + .D3(a7ddrphy_bitslip30[2]), + .D4(a7ddrphy_bitslip30[3]), + .D5(a7ddrphy_bitslip30[4]), + .D6(a7ddrphy_bitslip30[5]), + .D7(a7ddrphy_bitslip30[6]), + .D8(a7ddrphy_bitslip30[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay3), - .TQ(main_a7ddrphy_dq_t3) + .OQ(a7ddrphy_dq_o_nodelay3), + .TQ(a7ddrphy_dq_t3) ); ISERDESE2 #( @@ -14454,16 +14897,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed3), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip31[7]), - .Q2(main_a7ddrphy_bitslip31[6]), - .Q3(main_a7ddrphy_bitslip31[5]), - .Q4(main_a7ddrphy_bitslip31[4]), - .Q5(main_a7ddrphy_bitslip31[3]), - .Q6(main_a7ddrphy_bitslip31[2]), - .Q7(main_a7ddrphy_bitslip31[1]), - .Q8(main_a7ddrphy_bitslip31[0]) + .DDLY(a7ddrphy_dq_i_delayed3), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip31[7]), + .Q2(a7ddrphy_bitslip31[6]), + .Q3(a7ddrphy_bitslip31[5]), + .Q4(a7ddrphy_bitslip31[4]), + .Q5(a7ddrphy_bitslip31[3]), + .Q6(a7ddrphy_bitslip31[2]), + .Q7(a7ddrphy_bitslip31[1]), + .Q8(a7ddrphy_bitslip31[0]) ); IDELAYE2 #( @@ -14477,19 +14920,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_3 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay3), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay3), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed3) + .DATAOUT(a7ddrphy_dq_i_delayed3) ); IOBUF IOBUF_3( - .I(main_a7ddrphy_dq_o_nodelay3), - .T(main_a7ddrphy_dq_t3), + .I(a7ddrphy_dq_o_nodelay3), + .T(a7ddrphy_dq_t3), .IO(ddram_dq[3]), - .O(main_a7ddrphy_dq_i_nodelay3) + .O(a7ddrphy_dq_i_nodelay3) ); OSERDESE2 #( @@ -14501,20 +14944,20 @@ OSERDESE2 #( ) OSERDESE2_35 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip40[0]), - .D2(main_a7ddrphy_bitslip40[1]), - .D3(main_a7ddrphy_bitslip40[2]), - .D4(main_a7ddrphy_bitslip40[3]), - .D5(main_a7ddrphy_bitslip40[4]), - .D6(main_a7ddrphy_bitslip40[5]), - .D7(main_a7ddrphy_bitslip40[6]), - .D8(main_a7ddrphy_bitslip40[7]), + .D1(a7ddrphy_bitslip40[0]), + .D2(a7ddrphy_bitslip40[1]), + .D3(a7ddrphy_bitslip40[2]), + .D4(a7ddrphy_bitslip40[3]), + .D5(a7ddrphy_bitslip40[4]), + .D6(a7ddrphy_bitslip40[5]), + .D7(a7ddrphy_bitslip40[6]), + .D8(a7ddrphy_bitslip40[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay4), - .TQ(main_a7ddrphy_dq_t4) + .OQ(a7ddrphy_dq_o_nodelay4), + .TQ(a7ddrphy_dq_t4) ); ISERDESE2 #( @@ -14530,16 +14973,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed4), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip41[7]), - .Q2(main_a7ddrphy_bitslip41[6]), - .Q3(main_a7ddrphy_bitslip41[5]), - .Q4(main_a7ddrphy_bitslip41[4]), - .Q5(main_a7ddrphy_bitslip41[3]), - .Q6(main_a7ddrphy_bitslip41[2]), - .Q7(main_a7ddrphy_bitslip41[1]), - .Q8(main_a7ddrphy_bitslip41[0]) + .DDLY(a7ddrphy_dq_i_delayed4), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip41[7]), + .Q2(a7ddrphy_bitslip41[6]), + .Q3(a7ddrphy_bitslip41[5]), + .Q4(a7ddrphy_bitslip41[4]), + .Q5(a7ddrphy_bitslip41[3]), + .Q6(a7ddrphy_bitslip41[2]), + .Q7(a7ddrphy_bitslip41[1]), + .Q8(a7ddrphy_bitslip41[0]) ); IDELAYE2 #( @@ -14553,19 +14996,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_4 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay4), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay4), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed4) + .DATAOUT(a7ddrphy_dq_i_delayed4) ); IOBUF IOBUF_4( - .I(main_a7ddrphy_dq_o_nodelay4), - .T(main_a7ddrphy_dq_t4), + .I(a7ddrphy_dq_o_nodelay4), + .T(a7ddrphy_dq_t4), .IO(ddram_dq[4]), - .O(main_a7ddrphy_dq_i_nodelay4) + .O(a7ddrphy_dq_i_nodelay4) ); OSERDESE2 #( @@ -14577,20 +15020,20 @@ OSERDESE2 #( ) OSERDESE2_36 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip50[0]), - .D2(main_a7ddrphy_bitslip50[1]), - .D3(main_a7ddrphy_bitslip50[2]), - .D4(main_a7ddrphy_bitslip50[3]), - .D5(main_a7ddrphy_bitslip50[4]), - .D6(main_a7ddrphy_bitslip50[5]), - .D7(main_a7ddrphy_bitslip50[6]), - .D8(main_a7ddrphy_bitslip50[7]), + .D1(a7ddrphy_bitslip50[0]), + .D2(a7ddrphy_bitslip50[1]), + .D3(a7ddrphy_bitslip50[2]), + .D4(a7ddrphy_bitslip50[3]), + .D5(a7ddrphy_bitslip50[4]), + .D6(a7ddrphy_bitslip50[5]), + .D7(a7ddrphy_bitslip50[6]), + .D8(a7ddrphy_bitslip50[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay5), - .TQ(main_a7ddrphy_dq_t5) + .OQ(a7ddrphy_dq_o_nodelay5), + .TQ(a7ddrphy_dq_t5) ); ISERDESE2 #( @@ -14606,16 +15049,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed5), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip51[7]), - .Q2(main_a7ddrphy_bitslip51[6]), - .Q3(main_a7ddrphy_bitslip51[5]), - .Q4(main_a7ddrphy_bitslip51[4]), - .Q5(main_a7ddrphy_bitslip51[3]), - .Q6(main_a7ddrphy_bitslip51[2]), - .Q7(main_a7ddrphy_bitslip51[1]), - .Q8(main_a7ddrphy_bitslip51[0]) + .DDLY(a7ddrphy_dq_i_delayed5), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip51[7]), + .Q2(a7ddrphy_bitslip51[6]), + .Q3(a7ddrphy_bitslip51[5]), + .Q4(a7ddrphy_bitslip51[4]), + .Q5(a7ddrphy_bitslip51[3]), + .Q6(a7ddrphy_bitslip51[2]), + .Q7(a7ddrphy_bitslip51[1]), + .Q8(a7ddrphy_bitslip51[0]) ); IDELAYE2 #( @@ -14629,19 +15072,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_5 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay5), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay5), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed5) + .DATAOUT(a7ddrphy_dq_i_delayed5) ); IOBUF IOBUF_5( - .I(main_a7ddrphy_dq_o_nodelay5), - .T(main_a7ddrphy_dq_t5), + .I(a7ddrphy_dq_o_nodelay5), + .T(a7ddrphy_dq_t5), .IO(ddram_dq[5]), - .O(main_a7ddrphy_dq_i_nodelay5) + .O(a7ddrphy_dq_i_nodelay5) ); OSERDESE2 #( @@ -14653,20 +15096,20 @@ OSERDESE2 #( ) OSERDESE2_37 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip60[0]), - .D2(main_a7ddrphy_bitslip60[1]), - .D3(main_a7ddrphy_bitslip60[2]), - .D4(main_a7ddrphy_bitslip60[3]), - .D5(main_a7ddrphy_bitslip60[4]), - .D6(main_a7ddrphy_bitslip60[5]), - .D7(main_a7ddrphy_bitslip60[6]), - .D8(main_a7ddrphy_bitslip60[7]), + .D1(a7ddrphy_bitslip60[0]), + .D2(a7ddrphy_bitslip60[1]), + .D3(a7ddrphy_bitslip60[2]), + .D4(a7ddrphy_bitslip60[3]), + .D5(a7ddrphy_bitslip60[4]), + .D6(a7ddrphy_bitslip60[5]), + .D7(a7ddrphy_bitslip60[6]), + .D8(a7ddrphy_bitslip60[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay6), - .TQ(main_a7ddrphy_dq_t6) + .OQ(a7ddrphy_dq_o_nodelay6), + .TQ(a7ddrphy_dq_t6) ); ISERDESE2 #( @@ -14682,16 +15125,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed6), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip61[7]), - .Q2(main_a7ddrphy_bitslip61[6]), - .Q3(main_a7ddrphy_bitslip61[5]), - .Q4(main_a7ddrphy_bitslip61[4]), - .Q5(main_a7ddrphy_bitslip61[3]), - .Q6(main_a7ddrphy_bitslip61[2]), - .Q7(main_a7ddrphy_bitslip61[1]), - .Q8(main_a7ddrphy_bitslip61[0]) + .DDLY(a7ddrphy_dq_i_delayed6), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip61[7]), + .Q2(a7ddrphy_bitslip61[6]), + .Q3(a7ddrphy_bitslip61[5]), + .Q4(a7ddrphy_bitslip61[4]), + .Q5(a7ddrphy_bitslip61[3]), + .Q6(a7ddrphy_bitslip61[2]), + .Q7(a7ddrphy_bitslip61[1]), + .Q8(a7ddrphy_bitslip61[0]) ); IDELAYE2 #( @@ -14705,19 +15148,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_6 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay6), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay6), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed6) + .DATAOUT(a7ddrphy_dq_i_delayed6) ); IOBUF IOBUF_6( - .I(main_a7ddrphy_dq_o_nodelay6), - .T(main_a7ddrphy_dq_t6), + .I(a7ddrphy_dq_o_nodelay6), + .T(a7ddrphy_dq_t6), .IO(ddram_dq[6]), - .O(main_a7ddrphy_dq_i_nodelay6) + .O(a7ddrphy_dq_i_nodelay6) ); OSERDESE2 #( @@ -14729,20 +15172,20 @@ OSERDESE2 #( ) OSERDESE2_38 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip70[0]), - .D2(main_a7ddrphy_bitslip70[1]), - .D3(main_a7ddrphy_bitslip70[2]), - .D4(main_a7ddrphy_bitslip70[3]), - .D5(main_a7ddrphy_bitslip70[4]), - .D6(main_a7ddrphy_bitslip70[5]), - .D7(main_a7ddrphy_bitslip70[6]), - .D8(main_a7ddrphy_bitslip70[7]), + .D1(a7ddrphy_bitslip70[0]), + .D2(a7ddrphy_bitslip70[1]), + .D3(a7ddrphy_bitslip70[2]), + .D4(a7ddrphy_bitslip70[3]), + .D5(a7ddrphy_bitslip70[4]), + .D6(a7ddrphy_bitslip70[5]), + .D7(a7ddrphy_bitslip70[6]), + .D8(a7ddrphy_bitslip70[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay7), - .TQ(main_a7ddrphy_dq_t7) + .OQ(a7ddrphy_dq_o_nodelay7), + .TQ(a7ddrphy_dq_t7) ); ISERDESE2 #( @@ -14758,16 +15201,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed7), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip71[7]), - .Q2(main_a7ddrphy_bitslip71[6]), - .Q3(main_a7ddrphy_bitslip71[5]), - .Q4(main_a7ddrphy_bitslip71[4]), - .Q5(main_a7ddrphy_bitslip71[3]), - .Q6(main_a7ddrphy_bitslip71[2]), - .Q7(main_a7ddrphy_bitslip71[1]), - .Q8(main_a7ddrphy_bitslip71[0]) + .DDLY(a7ddrphy_dq_i_delayed7), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip71[7]), + .Q2(a7ddrphy_bitslip71[6]), + .Q3(a7ddrphy_bitslip71[5]), + .Q4(a7ddrphy_bitslip71[4]), + .Q5(a7ddrphy_bitslip71[3]), + .Q6(a7ddrphy_bitslip71[2]), + .Q7(a7ddrphy_bitslip71[1]), + .Q8(a7ddrphy_bitslip71[0]) ); IDELAYE2 #( @@ -14781,19 +15224,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_7 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay7), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay7), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed7) + .DATAOUT(a7ddrphy_dq_i_delayed7) ); IOBUF IOBUF_7( - .I(main_a7ddrphy_dq_o_nodelay7), - .T(main_a7ddrphy_dq_t7), + .I(a7ddrphy_dq_o_nodelay7), + .T(a7ddrphy_dq_t7), .IO(ddram_dq[7]), - .O(main_a7ddrphy_dq_i_nodelay7) + .O(a7ddrphy_dq_i_nodelay7) ); OSERDESE2 #( @@ -14805,20 +15248,20 @@ OSERDESE2 #( ) OSERDESE2_39 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip80[0]), - .D2(main_a7ddrphy_bitslip80[1]), - .D3(main_a7ddrphy_bitslip80[2]), - .D4(main_a7ddrphy_bitslip80[3]), - .D5(main_a7ddrphy_bitslip80[4]), - .D6(main_a7ddrphy_bitslip80[5]), - .D7(main_a7ddrphy_bitslip80[6]), - .D8(main_a7ddrphy_bitslip80[7]), + .D1(a7ddrphy_bitslip80[0]), + .D2(a7ddrphy_bitslip80[1]), + .D3(a7ddrphy_bitslip80[2]), + .D4(a7ddrphy_bitslip80[3]), + .D5(a7ddrphy_bitslip80[4]), + .D6(a7ddrphy_bitslip80[5]), + .D7(a7ddrphy_bitslip80[6]), + .D8(a7ddrphy_bitslip80[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay8), - .TQ(main_a7ddrphy_dq_t8) + .OQ(a7ddrphy_dq_o_nodelay8), + .TQ(a7ddrphy_dq_t8) ); ISERDESE2 #( @@ -14834,16 +15277,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed8), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip81[7]), - .Q2(main_a7ddrphy_bitslip81[6]), - .Q3(main_a7ddrphy_bitslip81[5]), - .Q4(main_a7ddrphy_bitslip81[4]), - .Q5(main_a7ddrphy_bitslip81[3]), - .Q6(main_a7ddrphy_bitslip81[2]), - .Q7(main_a7ddrphy_bitslip81[1]), - .Q8(main_a7ddrphy_bitslip81[0]) + .DDLY(a7ddrphy_dq_i_delayed8), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip81[7]), + .Q2(a7ddrphy_bitslip81[6]), + .Q3(a7ddrphy_bitslip81[5]), + .Q4(a7ddrphy_bitslip81[4]), + .Q5(a7ddrphy_bitslip81[3]), + .Q6(a7ddrphy_bitslip81[2]), + .Q7(a7ddrphy_bitslip81[1]), + .Q8(a7ddrphy_bitslip81[0]) ); IDELAYE2 #( @@ -14857,19 +15300,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_8 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay8), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay8), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed8) + .DATAOUT(a7ddrphy_dq_i_delayed8) ); IOBUF IOBUF_8( - .I(main_a7ddrphy_dq_o_nodelay8), - .T(main_a7ddrphy_dq_t8), + .I(a7ddrphy_dq_o_nodelay8), + .T(a7ddrphy_dq_t8), .IO(ddram_dq[8]), - .O(main_a7ddrphy_dq_i_nodelay8) + .O(a7ddrphy_dq_i_nodelay8) ); OSERDESE2 #( @@ -14881,20 +15324,20 @@ OSERDESE2 #( ) OSERDESE2_40 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip90[0]), - .D2(main_a7ddrphy_bitslip90[1]), - .D3(main_a7ddrphy_bitslip90[2]), - .D4(main_a7ddrphy_bitslip90[3]), - .D5(main_a7ddrphy_bitslip90[4]), - .D6(main_a7ddrphy_bitslip90[5]), - .D7(main_a7ddrphy_bitslip90[6]), - .D8(main_a7ddrphy_bitslip90[7]), + .D1(a7ddrphy_bitslip90[0]), + .D2(a7ddrphy_bitslip90[1]), + .D3(a7ddrphy_bitslip90[2]), + .D4(a7ddrphy_bitslip90[3]), + .D5(a7ddrphy_bitslip90[4]), + .D6(a7ddrphy_bitslip90[5]), + .D7(a7ddrphy_bitslip90[6]), + .D8(a7ddrphy_bitslip90[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay9), - .TQ(main_a7ddrphy_dq_t9) + .OQ(a7ddrphy_dq_o_nodelay9), + .TQ(a7ddrphy_dq_t9) ); ISERDESE2 #( @@ -14910,16 +15353,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed9), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip91[7]), - .Q2(main_a7ddrphy_bitslip91[6]), - .Q3(main_a7ddrphy_bitslip91[5]), - .Q4(main_a7ddrphy_bitslip91[4]), - .Q5(main_a7ddrphy_bitslip91[3]), - .Q6(main_a7ddrphy_bitslip91[2]), - .Q7(main_a7ddrphy_bitslip91[1]), - .Q8(main_a7ddrphy_bitslip91[0]) + .DDLY(a7ddrphy_dq_i_delayed9), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip91[7]), + .Q2(a7ddrphy_bitslip91[6]), + .Q3(a7ddrphy_bitslip91[5]), + .Q4(a7ddrphy_bitslip91[4]), + .Q5(a7ddrphy_bitslip91[3]), + .Q6(a7ddrphy_bitslip91[2]), + .Q7(a7ddrphy_bitslip91[1]), + .Q8(a7ddrphy_bitslip91[0]) ); IDELAYE2 #( @@ -14933,19 +15376,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_9 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay9), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay9), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed9) + .DATAOUT(a7ddrphy_dq_i_delayed9) ); IOBUF IOBUF_9( - .I(main_a7ddrphy_dq_o_nodelay9), - .T(main_a7ddrphy_dq_t9), + .I(a7ddrphy_dq_o_nodelay9), + .T(a7ddrphy_dq_t9), .IO(ddram_dq[9]), - .O(main_a7ddrphy_dq_i_nodelay9) + .O(a7ddrphy_dq_i_nodelay9) ); OSERDESE2 #( @@ -14957,20 +15400,20 @@ OSERDESE2 #( ) OSERDESE2_41 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip100[0]), - .D2(main_a7ddrphy_bitslip100[1]), - .D3(main_a7ddrphy_bitslip100[2]), - .D4(main_a7ddrphy_bitslip100[3]), - .D5(main_a7ddrphy_bitslip100[4]), - .D6(main_a7ddrphy_bitslip100[5]), - .D7(main_a7ddrphy_bitslip100[6]), - .D8(main_a7ddrphy_bitslip100[7]), + .D1(a7ddrphy_bitslip100[0]), + .D2(a7ddrphy_bitslip100[1]), + .D3(a7ddrphy_bitslip100[2]), + .D4(a7ddrphy_bitslip100[3]), + .D5(a7ddrphy_bitslip100[4]), + .D6(a7ddrphy_bitslip100[5]), + .D7(a7ddrphy_bitslip100[6]), + .D8(a7ddrphy_bitslip100[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay10), - .TQ(main_a7ddrphy_dq_t10) + .OQ(a7ddrphy_dq_o_nodelay10), + .TQ(a7ddrphy_dq_t10) ); ISERDESE2 #( @@ -14986,16 +15429,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed10), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip101[7]), - .Q2(main_a7ddrphy_bitslip101[6]), - .Q3(main_a7ddrphy_bitslip101[5]), - .Q4(main_a7ddrphy_bitslip101[4]), - .Q5(main_a7ddrphy_bitslip101[3]), - .Q6(main_a7ddrphy_bitslip101[2]), - .Q7(main_a7ddrphy_bitslip101[1]), - .Q8(main_a7ddrphy_bitslip101[0]) + .DDLY(a7ddrphy_dq_i_delayed10), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip101[7]), + .Q2(a7ddrphy_bitslip101[6]), + .Q3(a7ddrphy_bitslip101[5]), + .Q4(a7ddrphy_bitslip101[4]), + .Q5(a7ddrphy_bitslip101[3]), + .Q6(a7ddrphy_bitslip101[2]), + .Q7(a7ddrphy_bitslip101[1]), + .Q8(a7ddrphy_bitslip101[0]) ); IDELAYE2 #( @@ -15009,19 +15452,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_10 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay10), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay10), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed10) + .DATAOUT(a7ddrphy_dq_i_delayed10) ); IOBUF IOBUF_10( - .I(main_a7ddrphy_dq_o_nodelay10), - .T(main_a7ddrphy_dq_t10), + .I(a7ddrphy_dq_o_nodelay10), + .T(a7ddrphy_dq_t10), .IO(ddram_dq[10]), - .O(main_a7ddrphy_dq_i_nodelay10) + .O(a7ddrphy_dq_i_nodelay10) ); OSERDESE2 #( @@ -15033,20 +15476,20 @@ OSERDESE2 #( ) OSERDESE2_42 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip110[0]), - .D2(main_a7ddrphy_bitslip110[1]), - .D3(main_a7ddrphy_bitslip110[2]), - .D4(main_a7ddrphy_bitslip110[3]), - .D5(main_a7ddrphy_bitslip110[4]), - .D6(main_a7ddrphy_bitslip110[5]), - .D7(main_a7ddrphy_bitslip110[6]), - .D8(main_a7ddrphy_bitslip110[7]), + .D1(a7ddrphy_bitslip110[0]), + .D2(a7ddrphy_bitslip110[1]), + .D3(a7ddrphy_bitslip110[2]), + .D4(a7ddrphy_bitslip110[3]), + .D5(a7ddrphy_bitslip110[4]), + .D6(a7ddrphy_bitslip110[5]), + .D7(a7ddrphy_bitslip110[6]), + .D8(a7ddrphy_bitslip110[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay11), - .TQ(main_a7ddrphy_dq_t11) + .OQ(a7ddrphy_dq_o_nodelay11), + .TQ(a7ddrphy_dq_t11) ); ISERDESE2 #( @@ -15062,16 +15505,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed11), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip111[7]), - .Q2(main_a7ddrphy_bitslip111[6]), - .Q3(main_a7ddrphy_bitslip111[5]), - .Q4(main_a7ddrphy_bitslip111[4]), - .Q5(main_a7ddrphy_bitslip111[3]), - .Q6(main_a7ddrphy_bitslip111[2]), - .Q7(main_a7ddrphy_bitslip111[1]), - .Q8(main_a7ddrphy_bitslip111[0]) + .DDLY(a7ddrphy_dq_i_delayed11), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip111[7]), + .Q2(a7ddrphy_bitslip111[6]), + .Q3(a7ddrphy_bitslip111[5]), + .Q4(a7ddrphy_bitslip111[4]), + .Q5(a7ddrphy_bitslip111[3]), + .Q6(a7ddrphy_bitslip111[2]), + .Q7(a7ddrphy_bitslip111[1]), + .Q8(a7ddrphy_bitslip111[0]) ); IDELAYE2 #( @@ -15085,19 +15528,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_11 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay11), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay11), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed11) + .DATAOUT(a7ddrphy_dq_i_delayed11) ); IOBUF IOBUF_11( - .I(main_a7ddrphy_dq_o_nodelay11), - .T(main_a7ddrphy_dq_t11), + .I(a7ddrphy_dq_o_nodelay11), + .T(a7ddrphy_dq_t11), .IO(ddram_dq[11]), - .O(main_a7ddrphy_dq_i_nodelay11) + .O(a7ddrphy_dq_i_nodelay11) ); OSERDESE2 #( @@ -15109,20 +15552,20 @@ OSERDESE2 #( ) OSERDESE2_43 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip120[0]), - .D2(main_a7ddrphy_bitslip120[1]), - .D3(main_a7ddrphy_bitslip120[2]), - .D4(main_a7ddrphy_bitslip120[3]), - .D5(main_a7ddrphy_bitslip120[4]), - .D6(main_a7ddrphy_bitslip120[5]), - .D7(main_a7ddrphy_bitslip120[6]), - .D8(main_a7ddrphy_bitslip120[7]), + .D1(a7ddrphy_bitslip120[0]), + .D2(a7ddrphy_bitslip120[1]), + .D3(a7ddrphy_bitslip120[2]), + .D4(a7ddrphy_bitslip120[3]), + .D5(a7ddrphy_bitslip120[4]), + .D6(a7ddrphy_bitslip120[5]), + .D7(a7ddrphy_bitslip120[6]), + .D8(a7ddrphy_bitslip120[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay12), - .TQ(main_a7ddrphy_dq_t12) + .OQ(a7ddrphy_dq_o_nodelay12), + .TQ(a7ddrphy_dq_t12) ); ISERDESE2 #( @@ -15138,16 +15581,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed12), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip121[7]), - .Q2(main_a7ddrphy_bitslip121[6]), - .Q3(main_a7ddrphy_bitslip121[5]), - .Q4(main_a7ddrphy_bitslip121[4]), - .Q5(main_a7ddrphy_bitslip121[3]), - .Q6(main_a7ddrphy_bitslip121[2]), - .Q7(main_a7ddrphy_bitslip121[1]), - .Q8(main_a7ddrphy_bitslip121[0]) + .DDLY(a7ddrphy_dq_i_delayed12), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip121[7]), + .Q2(a7ddrphy_bitslip121[6]), + .Q3(a7ddrphy_bitslip121[5]), + .Q4(a7ddrphy_bitslip121[4]), + .Q5(a7ddrphy_bitslip121[3]), + .Q6(a7ddrphy_bitslip121[2]), + .Q7(a7ddrphy_bitslip121[1]), + .Q8(a7ddrphy_bitslip121[0]) ); IDELAYE2 #( @@ -15161,19 +15604,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_12 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay12), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay12), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed12) + .DATAOUT(a7ddrphy_dq_i_delayed12) ); IOBUF IOBUF_12( - .I(main_a7ddrphy_dq_o_nodelay12), - .T(main_a7ddrphy_dq_t12), + .I(a7ddrphy_dq_o_nodelay12), + .T(a7ddrphy_dq_t12), .IO(ddram_dq[12]), - .O(main_a7ddrphy_dq_i_nodelay12) + .O(a7ddrphy_dq_i_nodelay12) ); OSERDESE2 #( @@ -15185,20 +15628,20 @@ OSERDESE2 #( ) OSERDESE2_44 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip130[0]), - .D2(main_a7ddrphy_bitslip130[1]), - .D3(main_a7ddrphy_bitslip130[2]), - .D4(main_a7ddrphy_bitslip130[3]), - .D5(main_a7ddrphy_bitslip130[4]), - .D6(main_a7ddrphy_bitslip130[5]), - .D7(main_a7ddrphy_bitslip130[6]), - .D8(main_a7ddrphy_bitslip130[7]), + .D1(a7ddrphy_bitslip130[0]), + .D2(a7ddrphy_bitslip130[1]), + .D3(a7ddrphy_bitslip130[2]), + .D4(a7ddrphy_bitslip130[3]), + .D5(a7ddrphy_bitslip130[4]), + .D6(a7ddrphy_bitslip130[5]), + .D7(a7ddrphy_bitslip130[6]), + .D8(a7ddrphy_bitslip130[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay13), - .TQ(main_a7ddrphy_dq_t13) + .OQ(a7ddrphy_dq_o_nodelay13), + .TQ(a7ddrphy_dq_t13) ); ISERDESE2 #( @@ -15214,16 +15657,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed13), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip131[7]), - .Q2(main_a7ddrphy_bitslip131[6]), - .Q3(main_a7ddrphy_bitslip131[5]), - .Q4(main_a7ddrphy_bitslip131[4]), - .Q5(main_a7ddrphy_bitslip131[3]), - .Q6(main_a7ddrphy_bitslip131[2]), - .Q7(main_a7ddrphy_bitslip131[1]), - .Q8(main_a7ddrphy_bitslip131[0]) + .DDLY(a7ddrphy_dq_i_delayed13), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip131[7]), + .Q2(a7ddrphy_bitslip131[6]), + .Q3(a7ddrphy_bitslip131[5]), + .Q4(a7ddrphy_bitslip131[4]), + .Q5(a7ddrphy_bitslip131[3]), + .Q6(a7ddrphy_bitslip131[2]), + .Q7(a7ddrphy_bitslip131[1]), + .Q8(a7ddrphy_bitslip131[0]) ); IDELAYE2 #( @@ -15237,19 +15680,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_13 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay13), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay13), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed13) + .DATAOUT(a7ddrphy_dq_i_delayed13) ); IOBUF IOBUF_13( - .I(main_a7ddrphy_dq_o_nodelay13), - .T(main_a7ddrphy_dq_t13), + .I(a7ddrphy_dq_o_nodelay13), + .T(a7ddrphy_dq_t13), .IO(ddram_dq[13]), - .O(main_a7ddrphy_dq_i_nodelay13) + .O(a7ddrphy_dq_i_nodelay13) ); OSERDESE2 #( @@ -15261,20 +15704,20 @@ OSERDESE2 #( ) OSERDESE2_45 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip140[0]), - .D2(main_a7ddrphy_bitslip140[1]), - .D3(main_a7ddrphy_bitslip140[2]), - .D4(main_a7ddrphy_bitslip140[3]), - .D5(main_a7ddrphy_bitslip140[4]), - .D6(main_a7ddrphy_bitslip140[5]), - .D7(main_a7ddrphy_bitslip140[6]), - .D8(main_a7ddrphy_bitslip140[7]), + .D1(a7ddrphy_bitslip140[0]), + .D2(a7ddrphy_bitslip140[1]), + .D3(a7ddrphy_bitslip140[2]), + .D4(a7ddrphy_bitslip140[3]), + .D5(a7ddrphy_bitslip140[4]), + .D6(a7ddrphy_bitslip140[5]), + .D7(a7ddrphy_bitslip140[6]), + .D8(a7ddrphy_bitslip140[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay14), - .TQ(main_a7ddrphy_dq_t14) + .OQ(a7ddrphy_dq_o_nodelay14), + .TQ(a7ddrphy_dq_t14) ); ISERDESE2 #( @@ -15290,16 +15733,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed14), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip141[7]), - .Q2(main_a7ddrphy_bitslip141[6]), - .Q3(main_a7ddrphy_bitslip141[5]), - .Q4(main_a7ddrphy_bitslip141[4]), - .Q5(main_a7ddrphy_bitslip141[3]), - .Q6(main_a7ddrphy_bitslip141[2]), - .Q7(main_a7ddrphy_bitslip141[1]), - .Q8(main_a7ddrphy_bitslip141[0]) + .DDLY(a7ddrphy_dq_i_delayed14), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip141[7]), + .Q2(a7ddrphy_bitslip141[6]), + .Q3(a7ddrphy_bitslip141[5]), + .Q4(a7ddrphy_bitslip141[4]), + .Q5(a7ddrphy_bitslip141[3]), + .Q6(a7ddrphy_bitslip141[2]), + .Q7(a7ddrphy_bitslip141[1]), + .Q8(a7ddrphy_bitslip141[0]) ); IDELAYE2 #( @@ -15313,19 +15756,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_14 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay14), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay14), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed14) + .DATAOUT(a7ddrphy_dq_i_delayed14) ); IOBUF IOBUF_14( - .I(main_a7ddrphy_dq_o_nodelay14), - .T(main_a7ddrphy_dq_t14), + .I(a7ddrphy_dq_o_nodelay14), + .T(a7ddrphy_dq_t14), .IO(ddram_dq[14]), - .O(main_a7ddrphy_dq_i_nodelay14) + .O(a7ddrphy_dq_i_nodelay14) ); OSERDESE2 #( @@ -15337,20 +15780,20 @@ OSERDESE2 #( ) OSERDESE2_46 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip150[0]), - .D2(main_a7ddrphy_bitslip150[1]), - .D3(main_a7ddrphy_bitslip150[2]), - .D4(main_a7ddrphy_bitslip150[3]), - .D5(main_a7ddrphy_bitslip150[4]), - .D6(main_a7ddrphy_bitslip150[5]), - .D7(main_a7ddrphy_bitslip150[6]), - .D8(main_a7ddrphy_bitslip150[7]), + .D1(a7ddrphy_bitslip150[0]), + .D2(a7ddrphy_bitslip150[1]), + .D3(a7ddrphy_bitslip150[2]), + .D4(a7ddrphy_bitslip150[3]), + .D5(a7ddrphy_bitslip150[4]), + .D6(a7ddrphy_bitslip150[5]), + .D7(a7ddrphy_bitslip150[6]), + .D8(a7ddrphy_bitslip150[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay15), - .TQ(main_a7ddrphy_dq_t15) + .OQ(a7ddrphy_dq_o_nodelay15), + .TQ(a7ddrphy_dq_t15) ); ISERDESE2 #( @@ -15366,16 +15809,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed15), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip151[7]), - .Q2(main_a7ddrphy_bitslip151[6]), - .Q3(main_a7ddrphy_bitslip151[5]), - .Q4(main_a7ddrphy_bitslip151[4]), - .Q5(main_a7ddrphy_bitslip151[3]), - .Q6(main_a7ddrphy_bitslip151[2]), - .Q7(main_a7ddrphy_bitslip151[1]), - .Q8(main_a7ddrphy_bitslip151[0]) + .DDLY(a7ddrphy_dq_i_delayed15), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip151[7]), + .Q2(a7ddrphy_bitslip151[6]), + .Q3(a7ddrphy_bitslip151[5]), + .Q4(a7ddrphy_bitslip151[4]), + .Q5(a7ddrphy_bitslip151[3]), + .Q6(a7ddrphy_bitslip151[2]), + .Q7(a7ddrphy_bitslip151[1]), + .Q8(a7ddrphy_bitslip151[0]) ); IDELAYE2 #( @@ -15389,19 +15832,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_15 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay15), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay15), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed15) + .DATAOUT(a7ddrphy_dq_i_delayed15) ); IOBUF IOBUF_15( - .I(main_a7ddrphy_dq_o_nodelay15), - .T(main_a7ddrphy_dq_t15), + .I(a7ddrphy_dq_o_nodelay15), + .T(a7ddrphy_dq_t15), .IO(ddram_dq[15]), - .O(main_a7ddrphy_dq_i_nodelay15) + .O(a7ddrphy_dq_i_nodelay15) ); //------------------------------------------------------------------------------ @@ -15412,14 +15855,14 @@ IOBUF IOBUF_15( reg [25:0] storage[0:15]; reg [25:0] storage_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we) - storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; - storage_dat0 <= storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we) + storage[litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; + storage_dat0 <= storage[litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = storage_dat0; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = storage_dat0; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage[litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15430,14 +15873,14 @@ assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storag reg [25:0] storage_1[0:15]; reg [25:0] storage_1_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we) - storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; - storage_1_dat0 <= storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we) + storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; + storage_1_dat0 <= storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = storage_1_dat0; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = storage_1_dat0; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15448,14 +15891,14 @@ assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storag reg [25:0] storage_2[0:15]; reg [25:0] storage_2_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we) - storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; - storage_2_dat0 <= storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we) + storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; + storage_2_dat0 <= storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = storage_2_dat0; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = storage_2_dat0; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15466,14 +15909,14 @@ assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storag reg [25:0] storage_3[0:15]; reg [25:0] storage_3_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we) - storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; - storage_3_dat0 <= storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we) + storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; + storage_3_dat0 <= storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = storage_3_dat0; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = storage_3_dat0; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15484,14 +15927,14 @@ assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storag reg [25:0] storage_4[0:15]; reg [25:0] storage_4_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we) - storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; - storage_4_dat0 <= storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we) + storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; + storage_4_dat0 <= storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = storage_4_dat0; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = storage_4_dat0; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15502,14 +15945,14 @@ assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storag reg [25:0] storage_5[0:15]; reg [25:0] storage_5_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we) - storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; - storage_5_dat0 <= storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we) + storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; + storage_5_dat0 <= storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = storage_5_dat0; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = storage_5_dat0; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15520,14 +15963,14 @@ assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storag reg [25:0] storage_6[0:15]; reg [25:0] storage_6_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we) - storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; - storage_6_dat0 <= storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we) + storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; + storage_6_dat0 <= storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = storage_6_dat0; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = storage_6_dat0; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15538,62 +15981,78 @@ assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storag reg [25:0] storage_7[0:15]; reg [25:0] storage_7_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we) - storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; - storage_7_dat0 <= storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we) + storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; + storage_7_dat0 <= storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = storage_7_dat0; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = storage_7_dat0; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr]; -FD FD( - .C(main_clkin), - .D(main_reset), - .Q(builder_reset0) +FDCE FDCE( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(reset), + .Q(litedramcore_reset0) ); -FD FD_1( - .C(main_clkin), - .D(builder_reset0), - .Q(builder_reset1) +FDCE FDCE_1( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset0), + .Q(litedramcore_reset1) ); -FD FD_2( - .C(main_clkin), - .D(builder_reset1), - .Q(builder_reset2) +FDCE FDCE_2( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset1), + .Q(litedramcore_reset2) ); -FD FD_3( - .C(main_clkin), - .D(builder_reset2), - .Q(builder_reset3) +FDCE FDCE_3( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset2), + .Q(litedramcore_reset3) ); -FD FD_4( - .C(main_clkin), - .D(builder_reset3), - .Q(builder_reset4) +FDCE FDCE_4( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset3), + .Q(litedramcore_reset4) ); -FD FD_5( - .C(main_clkin), - .D(builder_reset4), - .Q(builder_reset5) +FDCE FDCE_5( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset4), + .Q(litedramcore_reset5) ); -FD FD_6( - .C(main_clkin), - .D(builder_reset5), - .Q(builder_reset6) +FDCE FDCE_6( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset5), + .Q(litedramcore_reset6) ); -FD FD_7( - .C(main_clkin), - .D(builder_reset6), - .Q(builder_reset7) +FDCE FDCE_7( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset6), + .Q(litedramcore_reset7) ); PLLE2_ADV #( @@ -15611,16 +16070,16 @@ PLLE2_ADV #( .REF_JITTER1(0.01), .STARTUP_WAIT("FALSE") ) PLLE2_ADV ( - .CLKFBIN(builder_pll_fb), - .CLKIN1(main_clkin), - .PWRDWN(main_power_down), - .RST(builder_reset7), - .CLKFBOUT(builder_pll_fb), - .CLKOUT0(main_clkout0), - .CLKOUT1(main_clkout1), - .CLKOUT2(main_clkout2), - .CLKOUT3(main_clkout3), - .LOCKED(main_locked) + .CLKFBIN(litedramcore_pll_fb), + .CLKIN1(clkin), + .PWRDWN(power_down), + .RST(litedramcore_reset7), + .CLKFBOUT(litedramcore_pll_fb), + .CLKOUT0(clkout0), + .CLKOUT1(clkout1), + .CLKOUT2(clkout2), + .CLKOUT3(clkout3), + .LOCKED(locked) ); (* ars_ff1 = "true", async_reg = "true" *) FDPE #( @@ -15629,8 +16088,8 @@ PLLE2_ADV #( .C(iodelay_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl0), - .Q(builder_xilinxasyncresetsynchronizerimpl0_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl0), + .Q(xilinxasyncresetsynchronizerimpl0_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15638,8 +16097,8 @@ PLLE2_ADV #( ) FDPE_1 ( .C(iodelay_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl0_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl0), + .D(xilinxasyncresetsynchronizerimpl0_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl0), .Q(iodelay_rst) ); @@ -15649,8 +16108,8 @@ PLLE2_ADV #( .C(sys_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl1), - .Q(builder_xilinxasyncresetsynchronizerimpl1_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl1), + .Q(xilinxasyncresetsynchronizerimpl1_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15658,8 +16117,8 @@ PLLE2_ADV #( ) FDPE_3 ( .C(sys_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl1_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl1), + .D(xilinxasyncresetsynchronizerimpl1_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl1), .Q(sys_rst) ); @@ -15669,8 +16128,8 @@ PLLE2_ADV #( .C(sys4x_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl2), - .Q(builder_xilinxasyncresetsynchronizerimpl2_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl2), + .Q(xilinxasyncresetsynchronizerimpl2_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15678,9 +16137,9 @@ PLLE2_ADV #( ) FDPE_5 ( .C(sys4x_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl2_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl2), - .Q(builder_xilinxasyncresetsynchronizerimpl2_expr) + .D(xilinxasyncresetsynchronizerimpl2_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl2), + .Q(xilinxasyncresetsynchronizerimpl2_expr) ); (* ars_ff1 = "true", async_reg = "true" *) FDPE #( @@ -15689,8 +16148,8 @@ PLLE2_ADV #( .C(sys4x_dqs_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl3), - .Q(builder_xilinxasyncresetsynchronizerimpl3_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl3), + .Q(xilinxasyncresetsynchronizerimpl3_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15698,13 +16157,13 @@ PLLE2_ADV #( ) FDPE_7 ( .C(sys4x_dqs_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl3_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl3), - .Q(builder_xilinxasyncresetsynchronizerimpl3_expr) + .D(xilinxasyncresetsynchronizerimpl3_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl3), + .Q(xilinxasyncresetsynchronizerimpl3_expr) ); endmodule // ----------------------------------------------------------------------------- -// Auto-Generated by LiteX on 2022-01-14 08:32:13. +// Auto-Generated by LiteX on 2022-08-04 21:07:00. //------------------------------------------------------------------------------ diff --git a/litedram/generated/arty/litedram_core.init b/litedram/generated/arty/litedram_core.init index 1b6e88e..9006b18 100644 --- a/litedram/generated/arty/litedram_core.init +++ b/litedram/generated/arty/litedram_core.init @@ -7,7 +7,7 @@ a64b5a7d14004a39 6421ff00782107c6 3d80000060215f00 798c07c6618c0000 -618c10e0658cff00 +618c10d8658cff00 4e8004217d8903a6 4e8004207c6903a6 0000000000000000 @@ -519,213 +519,215 @@ a64b5a7d14004a39 0000000000000000 3c4c000100000000 7c0802a63842adc4 -fbe1fff8fbc1fff0 -f821ff51f8010010 -f88100d83bc10020 +f8010010fbe1fff8 +f88100d8f821ff51 38800080f8a100e0 f8c100e87c651b78 -38c100d87fc3f378 +38c100d838610020 f90100f8f8e100f0 f9410108f9210100 -6000000048002159 -7fc3f3787c7f1b78 -6000000048001b7d +6000000048002135 +386100207c7f1b78 +6000000048001b4d 7fe3fb78382100b0 -00000000480027d4 -0000028001000000 +000000004800283c +0000018001000000 000000004e800020 0000000000000000 4c00012c7c0007ac 000000004e800020 0000000000000000 -3842ad203c4c0001 -7d8000267c0802a6 -9181000848002711 -48001b79f821fed1 +3842ad283c4c0001 +7d6000267c0802a6 +9161000848002775 +48001b49f821fed1 3c62ffff60000000 -4bffff3938637a90 +4bffff4138637af0 788400203c80c000 7c8026ea7c0004ac 3fe0c0003c62ffff -63ff000838637ab0 -3c62ffff4bffff15 -38637ad07bff0020 -7c0004ac4bffff05 +63ff000838637b10 +3c62ffff4bffff1d +38637b307bff0020 +7c0004ac4bffff0d 73e900017fe0feea 3c62ffff41820010 -4bfffee938637ae8 -4e00000073e90002 +4bfffef138637b48 +4d80000073e90002 3c62ffff41820010 -4bfffed138637af0 -4d80000073e90004 +4bfffed938637b50 +4e00000073e90004 3c62ffff41820010 -4bfffeb938637af8 +4bfffec138637b58 4d00000073e90008 3c62ffff41820010 -4bfffea138637b00 +4bfffea938637b60 4182001073e90010 -38637b103c62ffff -73ff01004bfffe8d +38637b703c62ffff +73ff01004bfffe95 3c62ffff41820010 -4bfffe7938637b20 -3b7b7b283f62ffff -4bfffe697f63db78 -3c80c000418e0028 +4bfffe8138637b80 +3b7b7b883f62ffff +4bfffe717f63db78 +3c80c00041920028 7884002060840010 7c8026ea7c0004ac 7884b5823c62ffff -4bfffe4138637b30 -3c80c0004192004c +4bfffe4938637b90 +3c80c000418e004c 7884002060840018 7c8026ea7c0004ac 788460223c62ffff -4bfffe1938637b48 +4bfffe2138637ba8 608400303c80c000 7c0004ac78840020 3c62ffff7c8026ea -38637b607884b282 -3d20c0004bfffdf5 +38637bc07884b282 +3d20c0004bfffdfd 7929002061290020 7d204eea7c0004ac 792906003c80000f 3c62ffff60844240 -38637b787c892392 -418a025c4bfffdc5 -639c00383f80c000 -7c0004ac7b9c0020 -3d40c0007f80e6ea +38637bd87c892392 +418a02604bfffdcd +63de00383fc0c000 +7c0004ac7bde0020 +3d40c0007fc0f6ea 614a600439200002 7c0004ac794a0020 3fe0c0007d2057aa 63ff60003920ff9f 7c0004ac7bff0020 7c0004ac7d20ffaa -7c0004ac7fc0feaa 7c0004ac7fa0feaa -4bfffd1d7fe0feaa +7c0004ac7f80feaa +4bfffd257fe0feaa 57e6063e3c62ffff -57c4063e57a5063e -57f8063e38637b98 -7fc9eb784bfffd45 -7d29fb7857b9063e -5529063e57da063e +57a4063e5785063e +57f8063e38637bf8 +7fa9e3784bfffd4d +7d29fb78579a063e +5529063e57b9063e 418201682c090000 -7fdef8387fdee838 -2c1e00ff57de063e -2c1a000141820154 -2c19000240820360 -73bd00bf41820010 -408201302c1d0020 +7fbdf8387fbde038 +2c1d00ff57bd063e +2c19000141820154 +2c1a000240820184 +739c00bf41820010 +408201302c1c0020 57ff063e3bffffe8 41810120281f0001 392000353fe0c000 7bff002063ff6000 7d20ffaa7c0004ac -3b4000023fc0c000 -7bde002063de6004 -7f40f7aa7c0004ac +3b4000023fa0c000 +7bbd002063bd6004 +7f40efaa7c0004ac 7d20ffaa7c0004ac -7fa0feaa7c0004ac -3c62ffff4bfffc61 -38637bb857a4063e -73a900024bfffc95 +7f80feaa7c0004ac +3c62ffff4bfffc69 +38637c185784063e +738900024bfffc9d 3c62ffff40820090 -4bfffc8138637bd8 -7f40f7aa7c0004ac +4bfffc8938637c38 +7f40efaa7c0004ac 7c0004ac39200006 -4bfffc257d20ffaa -7f40f7aa7c0004ac +4bfffc2d7d20ffaa +7f40efaa7c0004ac 7c0004ac39200001 392000007d20ffaa 7d20ffaa7c0004ac -7c0004ac63bd0002 -7c0004ac7fa0ffaa -4bfffbed7d20f7aa +7c0004ac639c0002 +7c0004ac7f80ffaa +4bfffbf57d20efaa 3b4000053b000002 7c0004ac7ff9fb78 -7c0004ac7f00f7aa +7c0004ac7f00efaa 7c0004ac7f40cfaa -4bfffbc57fa0feaa -4082ffe073bd0001 -38637bf03c62ffff -3d40c0004bfffbf5 +4bfffbcd7f80feaa +4082ffe0739c0001 +38637c503c62ffff +3d40c0004bfffbfd 794a0020614a6008 7d20562a7c0004ac 652920005529021e 7c0004ac61291f6b 7f63db787d20572a -3c62ffff4bfffbc5 -38637c007b840020 -4bfffbb17f9ae378 -7f63db783be00001 -419200244bfffba5 -3c62ffff3ca2ffff -38637c3038a57c20 -4bfffb897ca42b78 -6000000048000c55 -3c62ffff418e0024 -4bfffb7138637c60 -4800013c38600000 -3b4000003be00000 -73ff00014bffffb4 -3c62ffff418200a4 -4bfffb4938637c78 -38a000403c9af000 +3c62ffff4bfffbcd +38637c607bc40020 +4bfffbb97fdaf378 +4bfffbb17f63db78 +419200d0408e0094 +38637c803c62ffff +386000004bfffb9d +2c190020480001a0 +2c1a00ba4082ffbc +2c1800184082ffb4 +3c62ffff4082ffac +4bfffb7138637c48 +7f63db784bffff68 +408e00684bfffb65 +3c62ffff4092ffb8 +4bfffb5138637d90 +38a000003c80ff00 +60a5a00060846000 +3c60400078840020 +6000000048001865 +38637db03c62ffff +4bfffb9d4bfffb25 +3c82ffff4bffff84 +38847c983c62ffff +4bfffb0938637ca8 +6000000048000c3d +3c82ffff4bffff54 +38847c983c62ffff +4bfffae938637ca8 +6000000048000c1d +3c62ffff4bffff80 +4bfffad138637cc8 +38a000403c9ef000 3861007078840020 -6000000048001889 +60000000480017ed 3d400002e9210070 614a464c3c62ffff -794a83e438637c90 +794a83e438637ce0 614a457f79290600 408200247c295000 2c09000189210075 a121008240820010 -418200802c090015 -38637cb03c62ffff -892100774bfffae5 +418200442c090015 +38637d003c62ffff +892100774bfffa6d 8901007489410076 3c62ffff88e10073 88a1007188c10072 -38637d1088810070 +38637d6088810070 89210075f9210060 -3c62ffff4bfffab5 -4bfffaa938637d40 -38a000003c80ff00 -60a5a00060846000 -3c60400078840020 -60000000480017e1 -38637d603c62ffff -4bfffafd4bfffa7d -ebe100904bffff08 -3ba000003f02ffff -3b187cc83b2100b0 -a12100a87ffafa14 +4bfffee04bfffa3d +3f22ffffe9210090 +3b397d183ba00000 +a12100a87fde4a14 418000347c1d4840 3c62ffff80810088 -4bfffa4138637cf0 -e86100884bfffac1 -4182ff802c23ffff -8181000838210130 -4800222c7d838120 -38a000383c9ff000 -7f23cb7878840020 -6000000048001761 +4bfffa0938637d40 +e86100884bfffa81 +4182fea02c23ffff +8161000838210130 +480022507d638120 +38a000383c9ef000 +386100b078840020 +6000000048001705 2c090001812100b0 eb6100d040820048 -ebc100b8eb8100c0 -7f03c3787ba40020 +ebe100b8eb8100c0 +7f23cb787ba40020 7b6500207f86e378 -4bfff9d93fdef000 -7b6500207c9af214 +4bfff9a13ffff000 +7b6500207c9fd214 7f83e37878840020 -6000000048001719 -7fff4a14a12100a6 +60000000480016bd +7fde4a14a12100a6 4bffff583bbd0001 -4082fde02c1a0020 -4082fdd82c1900ba -4082fdd02c180018 -38637be83c62ffff -4bfffd8c4bfff98d 0300000000000000 3d20c80000000880 7929002061291004 @@ -735,7 +737,7 @@ ebc100b8eb8100c0 7d20572a7c0004ac 000000004e800020 0000000000000000 -3842a6f83c4c0001 +3842a6e83c4c0001 4182006828030002 4182003028030003 4082007c28030001 @@ -757,7 +759,7 @@ ebc100b8eb8100c0 4bffff287c8307b4 0000000000000000 3d20c80000000000 -6129081039400001 +6129080439400001 792900207d431830 7c604f2a7c0004ac 610808143d00c800 @@ -767,7 +769,7 @@ ebc100b8eb8100c0 000000004e800020 0000000000000000 394000013d20c800 -7d43183061290810 +7d43183061290804 7c0004ac79290020 3d00c8007c604f2a 7908002061080818 @@ -776,7 +778,7 @@ ebc100b8eb8100c0 4e8000207d404f2a 0000000000000000 3d20c80000000000 -6129081039400001 +6129080439400001 792900207d431830 7c604f2a7c0004ac 6108081c3d00c800 @@ -820,204 +822,215 @@ ebc100b8eb8100c0 4bfffff060000000 0000000000000000 3c4c000100000000 -7c0802a63842a454 -f821ffa148001e59 -60a500033ca08020 -394000007c7e1b78 -78a5002038c1001f -3b81002039000004 -7ce652147d0903a6 -7888f86239200004 -7c8400d0788407e0 -7c8428383929ffff -7d0443787c884278 -4200ffe09d070001 -282a0010394a0004 -3d40c8004082ffc0 -794a0020614a100c -7d20572a7c0004ac -614a10103d40c800 +7c0802a63842a444 +60e700033ce08020 +78e7002039200000 +f821ffa148001e99 +3941001f7c7d1b78 +7d0a4a143bc10020 +7d4903a639400004 +788407e0788af862 +7c8438387c8400d0 +7d4453787c8a5278 +4200ffe49d480001 +2829001039290004 +3d40c8004082ffc4 +614a100c39200000 7c0004ac794a0020 -386000097d20572a -3860000f4bfffc85 -3d20c8004bffff29 -612910143cc0c800 -7f8ae37860c61074 -78c6002079290020 -38eaffff38a00004 -3be000047ca903a6 +3d40c8007d20572a +794a0020614a1010 +7d20572a7c0004ac +4bfffc8938600009 +4bffff2d3860000f +3cc0c8003d20c800 +60c6107461291014 +792900207fcaf378 +38a0000478c60020 +7ca903a638eaffff 8ca7000139000000 -7905400c3bffffff -4200fff07ca82b78 -7ca04f2a7c0004ac -394a000439290018 -4082ffc47c293000 -63bd08303fa0c800 -7c0004ac7bbd0020 -5463063e7c60ee2a -7c0004ac4bfffe41 -5463063e7c60ee2a -7c0004ac4bfffdc1 -388000177c60ee2a -3fa0c8005463063e -63bd082c4bfffc21 -4bfffe7d3860000f -7c0004ac7bbd0020 -5463063e7c60ee2a -7c0004ac4bfffdf9 -5463063e7c60ee2a -7c0004ac4bfffd79 -388000257c60ee2a -4bfffbdd5463063e -4bfffe3d3860000f -6129100c3d20c800 -7c0004ac79290020 -3d20c8007fe04f2a -7929002061291010 -7fe04f2a7c0004ac -23de00013860000b -3860000f4bfffb5d -3d00c8004bfffe01 -610810183c80c800 -3ca033333d605555 -608410783c000f0f -7908002038c00000 -616b555538610030 -60000f0f60a53333 -7c0004ac78840020 -394100307d20462a -392000047d20552c -7d2903a639400000 -552907fe7d265214 -408200547c09f000 -7d3c50ae7cea18ae -5527063e7d293a78 -7d2958387929fe62 -7d2728387d293850 -7d2928385529f0be -54e9e13e7ce74a14 -7d2900387d293a14 -7d293a145527c23e -7d293a145527843e -7fff4a14552906be -4200ff9c394a0001 -3b9c000439080018 -38c600047c282000 -382100604082ff6c -48001c0c7be30020 -0100000000000000 -3c4c000100000480 -7c0802a63842a19c -f821ff7148001b99 -7c7f1b783ba00000 -3880002a4bfffb35 -4bfffd297fe3fb78 -3b9d000138800054 -7fe3fb787c7e1b78 -7c63f2144bfffd15 -4182001c2c030000 -418200742c1c0020 -7f9de3787fe3fb78 -4bffffc04bfffb41 -7fe3fb787fbeeb78 -4bfffb2d3b7d0001 -3880002a3b80ffff -4bfffcd17fe3fb78 -7c7a1b7838800054 -4bfffcc17fe3fb78 -2c0300007c63d214 -2c1cffff41820010 -7f7cdb7840820008 +7ca82b787905400c +7c0004ac4200fff4 +392900187ca04f2a +7c293000394a0004 +3fe0c8004082ffcc +7bff002063ff0830 +7c60fe2a7c0004ac +4bfffe4d5463063e +7c60fe2a7c0004ac +4bfffdcd5463063e +7fe0fe2a7c0004ac +57e3063e38800017 +4bfffc2d3fe0c800 +3860000f63ff082c +7bff00204bfffe89 +7c60fe2a7c0004ac +4bfffe055463063e +7c60fe2a7c0004ac +4bfffd855463063e +7fe0fe2a7c0004ac +57e3063e38800025 +3860000f4bfffbe9 +3d40c8004bfffe49 +614a100c39200000 +7c0004ac794a0020 +3d40c8007d20572a +794a0020614a1010 +7d20572a7c0004ac +3be100303860000b +3860000f4bfffb65 +3ce0c8004bfffe09 +60e710183d60c800 +3c6033333c005555 +616b10783d800f0f +78e7002038800000 +211d000138a00000 +6063333360005555 +796b0020618c0f0f +7d203e2a7c0004ac +792900203ba00004 +38c100347fa903a6 +9d26ffff39400004 +7929c202394affff +392000044200fff4 +7d2452147d2903a6 +7c094000552907fe +7ccaf8ae40820054 +7d2932787d3e50ae +7929fe625526063e +7d2930507d290038 +5529f0be7d261838 +7cc64a147d291838 +7d29321454c9e13e +5526c23e7d296038 +5526843e7d293214 +552906be7d293214 +394a00017ca54a14 +38e700184200ff9c +7c2758003bde0004 +4082ff5438840004 +78a3002038210060 +0000000048001c48 +0000038001000000 +3842a1783c4c0001 +48001bcd7c0802a6 +7c7f1b78f821ff61 +4bfffb213b800000 +7fe3fb783880002a +388000544bfffd15 +7c7e1b783bbc0001 +4bfffd017fe3fb78 +2c0300007c63f214 +2c1d00204182001c +7fe3fb7841820090 +4bfffb2d7fbceb78 +7f9de3784bffffc0 +3b5c00047fe3fb78 +7fe3fb784bfffb19 +4bfffb0d7f5bd378 +3bc0ffff7fe3fb78 +7fe3fb784bfffb01 +3880002a4bfffaf9 +4bfffca17fe3fb78 +7c791b7838800054 +4bfffc917fe3fb78 +2c0300007c63ca14 +2c1effff41820010 +7f7edb7840820008 2c1b001f3b7b0001 7fe3fb784181001c -4bffffb84bfffae1 -3bc0ffff3ba00020 -2c1d001e4bffff9c -39200000395d0002 -213d001e41810008 -7d2952142c1cffff -7d3c4b7840820008 -7fbee2142c1effff -7fbd01947fbd0e70 -4082003857bd06fe -38637d783c62ffff -600000004bfff2cd -3bc000007fe3fb78 -386000644bfffa2d -7c1df0004bfffbe9 -3821009040820034 -7cbee05048001ab8 -7ca50e703c62ffff -7fa407b47ca50194 -7ca507b438637d88 -600000004bfff285 -7fe3fb784bffffb8 -4bfffa2d3bde0001 -4bfffb9d38600064 -000000004bffffb4 -0000068001000000 -3842a0103c4c0001 -612910003d20c800 -7c0004ac79290020 -280a000e7d404e2a -7c0802a64d820020 +4bffffb84bfffab1 +3ba0ffff3b800020 +2c1effff4bffff80 +2c1a001f4082001c +418100083bc00000 +3b9c000523da001f +2c1dffff7fdee214 +3c62ffff4082001c +4bfff2a138637dc8 +382100a060000000 +7cbdf05048001b00 +7ca50e707c9df214 +789cfee27ca50194 +7ca507b43c62ffff +38637dd87f84e378 +4bfff2693bc00008 +7fe3fb7860000000 +4bfff9d93ba00000 +4bfffb9538600064 +4082003c7c1ce800 +7fe3fb783880002a +388000544bfffbbd +7fe3fb787c7d1b78 +7c63ea144bfffbad +4182ff882c030000 +2c1e00003bdeffff +4bffff784082ffb4 +3bbd00017fe3fb78 +386000644bfff9d1 +4bffffac4bfffb41 +0100000000000000 +3c4c000100000780 +3d20c80038429fa4 +7929002061291000 +7d404e2a7c0004ac +4d820020280a000e +3940000e7c0802a6 f821ffa1f8010010 -7c0004ac3940000e -3c62ffff7d404f2a -4bfff21138637da0 -3821006060000000 -7c0803a6e8010010 -000000004e800020 -0000008001000000 -38429fa83c4c0001 -612910003d20c800 -7c0004ac79290020 -280a00017d404e2a -7c0802a64d820020 +7d404f2a7c0004ac +38637df03c62ffff +600000004bfff1a5 +e801001038210060 +4e8000207c0803a6 +0100000000000000 +3c4c000100000080 +3d20c80038429f3c +7929002061291000 +7d404e2a7c0004ac +4d820020280a0001 +394000017c0802a6 f821ffa1f8010010 -7c0004ac39400001 -3c62ffff7d404f2a -4bfff1a938637dc8 -3821006060000000 -7c0803a6e8010010 -000000004e800020 -0000008001000000 -38429f403c4c0001 -4800190d7c0802a6 -3f80c800f821ff01 -3ea2ffff3f00c800 +7d404f2a7c0004ac +38637e183c62ffff +600000004bfff13d +e801001038210060 +4e8000207c0803a6 +0100000000000000 +3c4c000100000080 +7c0802a638429ed4 +39297e403d22ffff +f821ff01480018f5 +3f00c8003f80c800 3e62ffff3e82ffff -639c08103f22ffff +639c08043f22ffff 3e42ffff63180820 3b4000013ba00000 -3ab57df03ae00000 -3a737e083a947e00 -7b9c00203b397b28 -3a527e107b180020 +3ae00000f9210060 +3a737e583a947e50 +7b9c00203b397b88 +3a527e607b180020 7fb0eb787ba307e0 -7f56e8304bfff925 +7f56e8304bfff8c5 3a2000003be00000 7fbe07b439e00000 -7fc4f3787de507b4 -39c000207ea3ab78 -600000004bfff0f5 -3b6000007fc3f378 -3880002a4bfff855 -4bfffa497fc3f378 -39ceffff38800054 -7fc3f378f8610060 -e92100604bfffa35 -7c6400347c634a14 -5484d97e20630080 -7c8407b454896026 -7e83a3787d291a14 -4bfff0997f7b4a14 +7de507b4e8610060 +39c000207fc4f378 +4bfff0813b600000 +7fc3f37860000000 +3880002a4bfff7f5 +4bfff9e97fc3f378 +7c751b7838800054 +4bfff9d97fc3f378 +7c6400347c63aa14 +7e83a37821230080 +548a60265484d97e +7d2952147c8407b4 +4bfff0317f7b4a14 7fc3f37860000000 -2c0e00004bfff849 -7e639b784082ffa4 -600000004bfff07d -4bfffc997fc3f378 -4bfff0697f23cb78 +35ceffff4bfff7f1 +7e639b784082ffac +600000004bfff015 +4bfffc557fc3f378 +4bfff0017f23cb78 7c11d84060000000 7dff7b784080000c 2c0f00077f71db78 @@ -1025,55 +1038,55 @@ e92100604bfffa35 7c0004ac7ec0e72a 7c0004ac7f40c72a 39ef00017ee0e72a -3ba000014bffff28 -7fe507b44bffff00 +3ba000014bffff30 +7fe507b44bffff08 7e4393787fc4f378 -4bfff0117bff0020 +4bffefa97bff0020 7a0307e060000000 -393f00014bfff80d +393f00014bfff7b5 420000287d2903a6 -4bfffc197fc3f378 -4bffefe97f23cb78 -2c1d000160000000 -382101004082ffb4 -7c0004ac480017b8 +4bfffbd57fc3f378 +4bffef817f23cb78 +2c1d000060000000 +382101004182ffb4 +7c0004ac480017ac 7c0004ac7ec0e72a 7c0004ac7f40c72a 4bffffc07ee0e72a 0100000000000000 3c4c000100001280 -7c0802a638429d64 +7c0802a638429cfc f821ffa1f8010010 -386000004bfffd49 -386000004bfff6fd -386000014bfff78d -386000014bfff6ed -3c62ffff4bfff77d -4bffef6938637e28 -4bfffde560000000 -382100604bfffd79 +386000004bfffd4d +386000004bfff6a5 +386000014bfff735 +386000014bfff695 +3c62ffff4bfff725 +4bffef0138637e78 +4bfffde960000000 +382100604bfffd7d e801001038600001 4e8000207c0803a6 0100000000000000 3c4c000100000080 -7c0802a638429cf4 -f821ff51480016e5 +7c0802a638429c8c 6129082c3d20c800 -792900203b000002 +480016cd79290020 +3b000002f821ff51 7f004f2a7c0004ac 3b2000033d20c800 7929002061290830 7f204f2a7c0004ac 3c62ffff3fc0c800 -38637e383c804000 -4bffeee163de0800 +38637e883c804000 +4bffee7963de0800 3b80000160000000 -7bde00204bfffc89 +7bde00204bfffc8d 7f80f72a7c0004ac 3be00000386003e8 -7c0004ac4bfff7f1 +7c0004ac4bfff799 386003e87fe0f72a -4bfff7dd3f60c800 +4bfff7853f60c800 7c0004ac7b7b0020 3f40c8007fe0df2a 7b5a0020635a0004 @@ -1087,45 +1100,84 @@ f821ff51480016e5 7af7002062f71000 7d20bf2a7c0004ac 6063c35038600000 -7c0004ac4bfff771 +7c0004ac4bfff719 7c0004ac7fe0ef2a 3920000e7fe0f72a 7d20bf2a7c0004ac -4bfff74d38602710 +4bfff6f538602710 7c0004ac39200200 7c0004ac7d20ef2a 3860000f7f00f72a -7c0004ac4bfff485 +7c0004ac4bfff42d 7c0004ac7fe0ef2a 3860000f7f20f72a -392000064bfff46d +392000064bfff415 7d20ef2a7c0004ac 7f80f72a7c0004ac -4bfff4513860000f +4bfff3f93860000f 7c0004ac39200930 7c0004ac7d20ef2a 3860000f7fe0f72a -386000c84bfff435 -392004004bfff6d9 +386000c84bfff3dd +392004004bfff681 7d20ef2a7c0004ac 7fe0f72a7c0004ac -4bfff41138600003 -4bfff6b5386000c8 -4bfffb954bfffddd +4bfff3b938600003 +4bfff65d386000c8 +4bfffb994bfffddd 3c6040003c800020 -6000000048000779 +60000000480006e9 408200242c030000 7c0004ac7c691b78 7c0004ac7f80d72a 382100b07f80df2a -480015487d2307b4 +4800153c7d2307b4 38a0000038c00000 3c6040003c800020 -600000004800055d +6000000048000471 7f80df2a7c0004ac 4bffffd039200001 0100000000000000 -2c24000000000980 +3c4c000100000980 +7c0802a638429a5c +f8010010282303ff +41810028f821ffa1 +3c62ffff7c641b78 +4bffec7938637ea8 +3821006060000000 +7c0803a6e8010010 +3d2000104e800020 +408000287c234840 +39200066786505a0 +7864b2827ca54b92 +38637eb03c62ffff +600000004bffec3d +3d2040004bffffc4 +7c23484078646502 +7863b28240800024 +7d29185078895564 +3c62ffff38a00066 +38637ec07ca92b92 +786317824bffffc8 +7865556439200066 +7c641b787ca52050 +7ca54b923c62ffff +4bffffa438637ed0 +0100000000000000 +3c4c000100000080 +7c0802a63842998c +7cc42a14fbe1fff8 +7c8523787cbf2b78 +3c62ffff7c641b78 +38637ee078c60020 +f821ff91f8010010 +600000004bffeb9d +4bfffef97fe3fb78 +38637ef03c62ffff +600000004bffeb85 +4800141038210070 +0100000000000000 +2c24000000000180 7869f84241820024 7c6300d0786307e0 5463028054630794 @@ -1133,54 +1185,15 @@ f821ff51480016e5 386300014e800020 000000004bfffff4 0000000000000000 -38429a883c4c0001 -f80100107c0802a6 -282303fff821ffa1 -7c641b7841810028 -38637e583c62ffff -600000004bffeca5 -e801001038210060 -4e8000207c0803a6 -7c2348403d200010 -786505a040800028 -7ca54b9239200066 -3c62ffff7864b282 -4bffec6938637e60 -4bffffc460000000 -786465023d204000 -408000247c234840 -788955647863b282 -38a000667d291850 -7ca92b923c62ffff -4bffffc838637e70 -3920006678631782 -7ca5205078655564 -3c62ffff7c641b78 -38637e807ca54b92 -000000004bffffa4 -0000008001000000 -384299b83c4c0001 -fbe1fff87c0802a6 -f821ff91f8010010 -7cbf2b787cc42a14 -7c641b787c852378 -78c600203c62ffff -4bffebc938637e90 -7fe3fb7860000000 -3c62ffff4bfffef9 -4bffebb138637ea0 -3821007060000000 -00000000480013e0 -0000018001000000 -384299503c4c0001 -480013557c0802a6 -3d40aaaaf821ffc1 -7c7f1b7878840764 +384298e83c4c0001 +788407647c0802a6 +7c691b783d40aaaa +48001339614aaaaa 7884f0827f832214 -7c7d1b7839040001 -7c691b787d0903a6 -42000080614aaaaa -600000004bffebc9 +39040001f821ffc1 +7d0903a67c7f1b78 +420000807c7d1b78 +600000004bffeb59 3d00aaaa7d3fe050 7feafb787929f082 3bc0000039290001 @@ -1190,12 +1203,12 @@ f821ff91f8010010 392900017feafb78 7d2903a661085555 7fffe05042000058 -600000004bffeb79 +600000004bffeb09 3d2055557bfff082 61295555395f0001 420000407d4903a6 7fc307b438210040 -91490000480012f8 +91490000480012ec 4bffff7839290004 7c094000812a0000 3bde000141820008 @@ -1206,608 +1219,607 @@ f821ff91f8010010 3bbd00043bde0001 000000004bffffac 0000048001000000 -384298403c4c0001 -480012497c0802a6 -39200001f821ffc1 -2fa50000789ff022 -7c7e1b78391f0001 -394000007d0903a6 -420000387cbd2b78 -4bffeabd7bff0020 -391f000160000000 -7d0903a62fbd0000 -3860000039200001 -4200004039400000 -7c6307b438210040 -419e00284800123c -792907e07928fc62 -7129d0087d2900d0 -792813a87d294278 -394a00017d5e412e -392900014bffffa4 -419e00384bffffec -792907e07928fc62 -7129d0087d2900d0 -792813a87d294278 -5508043e7d1e402e -418200087c085000 -394a000138630001 -392900014bffff8c -000000004bffffdc -0000038001000000 -384297583c4c0001 -7d8000267c0802a6 -918100084800113d -2e260000f821ff41 +384297d83c4c0001 +7c0802a67d600026 +2e26000091610008 +f821ff4148001211 7cba2b787c7f1b78 789cf0827cde3378 -81260004419200c4 +81260004419200c0 2c09000082e60000 -3ec2ffff40820048 +3f02ffff40820044 3b6000013ba00000 -7bf800207ff9fb78 -7c3ce8403ad67ea8 -3c62ffff4082009c -38637ea87b851028 -4bfffd357b240020 -38637b283c62ffff -600000004bffe91d -600000004bffe989 -7ffbfb782d970000 -3ac000013ba00000 -7bf500203b200000 -7fb8eb787c3de040 -2c17000040820084 -3c62ffff41820028 -38637eb87b051028 -4bfffcdd7be40020 -38637b283c62ffff -600000004bffe8c5 -7f2307b4382100c0 -7d81812081810008 -3ae00001480010ac -7b6300204bffff4c -4bfffb917f44d378 -7c7f492e7ba91764 -7c7b1b7873a97fff -7ba5102840820014 -7ec3b3787f04c378 -3bbd00014bfffc81 -7f44d3784bffff2c -4bfffb597ac30020 -7c651b78809b0000 -7c0320407c761b78 -3b3900014182003c -e99e000841920034 -418200282c2c0000 -e8de00107d8903a6 -f841001878840020 -4e8004217b630020 -2c030000e8410018 -73097fff4082ff58 -418e00184082001c +3b187ef87bf90020 +4082009c7c3ce840 +7b8510283c62ffff +7be4002038637ef8 +3c62ffff4bfffde5 +4bffe9a138637b88 +4bffea0560000000 +2d97000060000000 +3ba000007ffbfb78 +3b2000003ac00001 +7c3de0407bf50020 +408200847fb8eb78 +418200282c170000 7b0510283c62ffff -38637eb87ea4ab78 -3bbd00014bfffc01 -4bfffef43b7b0004 -0300000000000000 -3c4c000100000b80 -7c0802a638429594 -918100087d908026 -f821ff7148000f89 -7c7e1b787cdd3378 -7c9f23782e3d0000 -3c62ffff7c641b78 -7cbc2b7838637ec8 -600000004bffe79d -38637ee03c62ffff -3c62ffff4092000c -4bffe78138637ef0 -7fe3fb7860000000 -4bfffaad7bfde8c2 -38637f003c62ffff -600000004bffe765 -408200742c3c0000 -38fd00017d5602a6 -7ce903a67fc9f378 -420000843900ffff -3f8005f57d3602a6 -639ce100794a0020 -7f9fe1d279290020 -3c62ffff7d295050 -7f9c4b9238637f08 -600000004bffe715 -4bfffa457f83e378 +7be4002038637f08 +3c62ffff4bfffd8d +4bffe94938637b88 +382100c060000000 +816100087f2307b4 +4800118c7d618120 +4bffff503ae00001 +7f44d3787b630020 +7ba917644bfffdb5 +73a97fff7c7f492e +408200147c7b1b78 +7f24cb787ba51028 +4bfffd317f03c378 +4bffff2c3bbd0001 +7ac300207f44d378 +809b00004bfffd7d +7c761b787c651b78 +4182003c7c032040 +419200343b390001 +2c2c0000e99e0008 +7d8903a641820028 +78840020e8de0010 +7b630020f8410018 +e84100184e800421 +4082ff582c030000 +4082001c73187fff +3c62ffff418e0018 +7ea4ab787ba51028 +4bfffcb138637f08 +3b7b00043bbd0001 +000000004bfffef4 +00000b8003000000 +384296183c4c0001 +7c0802a67d708026 +4800106991610008 +7cdb3378f821ff71 +2e3b00003ba4ffe0 +7c9e23787c7f1b78 +7c641b787fa3ea14 38637f183c62ffff -600000004bffe6fd -38637b283c62ffff -600000004bffe6ed -600000004bffe759 -409200287f7602a6 -7d2903a6393d0001 -e93e000042400030 -4bfffff43bde0008 -39290008f9090000 -7fbaeb784bffff74 -3b80000039400000 -4082006c7c1ae000 +4bffe8197cbc2b78 +3c62ffff60000000 +4092000c38637f30 +38637f403c62ffff +600000004bffe7fd +4bfffb597fc3f378 +38637f503c62ffff +600000004bffe7e5 +408200a82c3c0000 +38df00207cf602a6 +7c26284038bd0020 +7929d9427d3fe850 +3900ffff7feafb78 +4081000839290001 +2c29000139200001 +3929fffff90a0000 +f90a0010f90a0008 +394a0020f90a0018 +7d3602a64082ffe4 +78ea00203f8005f5 +79290020639ce100 +7d2950507f9ee1d2 +38637f583c62ffff +4bffe7617f9c4b92 +7f83e37860000000 +3c62ffff4bfffabd +4bffe74938637f68 +3c62ffff60000000 +4bffe73938637b88 +4bffe79d60000000 +7f9602a660000000 +7d3fe85040920048 +3bbd0020395f0020 +7c2ae8407929d942 +4081000839290001 +2c29000139200001 +3929ffffe95f0000 +e95f0010e95f0008 +3bff0020e95f0018 +4800001c4082ffe4 +394000007bdbe8c2 +3ba000007f7adb78 +4082006c7c1dd000 3d4005f57d3602a6 -614ae1007b7b0020 -7fff51d279290020 -3c62ffff7d29d850 -7fff4b9238637f20 +614ae1007b9c0020 +7fde51d279290020 +3c62ffff7d29e050 +7fde4b9238637f70 +600000004bffe69d +4bfff9f97fc3f378 +38637f683c62ffff +600000004bffe685 +38637b883c62ffff 600000004bffe675 -4bfff9a57fe3fb78 -38637f183c62ffff -600000004bffe65d -38637b283c62ffff -600000004bffe64d -8181000838210090 -48000e4c7d908120 -794300207f8407b4 -3b9c00014bfff925 -7c6a1b787d23eb96 -7d2918507d29e9d6 -7d3e482a79291f48 +8161000838210090 +48000ed07d708120 +794300207fa407b4 +3bbd00014bfffaed +7c6a1b787d23db96 +7d2918507d29d9d6 +7d3f482a79291f48 000000004bffff68 0000068003000000 -384293b83c4c0001 -48000db57c0802a6 -3b400200f821ff71 -7c7e1b7828240200 -418100087c9f2378 -283f80007c9a2378 -4081000c7ffbfb78 -577b04203b60ffff -7fc4f3783c62ffff -4bffe5b138637f30 -7fe3fb7860000000 -3c62ffff4bfff8e1 -4bffe59938637f00 -7f44d37860000000 -4bfff9fd7fc3f378 -7f64db7838a00000 -7fc3f3787c7c1b78 -38c000004bfffaf9 +384293e03c4c0001 +282402007c0802a6 +f821ff8148000e3d +7c9f23787c7e1b78 +418100083b800200 +3c62ffff7c9c2378 +38637f807fc4f378 +600000004bffe5ed +4bfff9497fe3fb78 +38637f503c62ffff +600000004bffe5d5 +7fc3f3787f84e378 +38c000004bfffaa1 7fe4fb7838a00001 7fc3f3787c7d1b78 -7d3de2144bfffbc9 -7c7e1b787d291a14 -4182006c2c090000 -7b45f8823c62ffff -38637f407f84e378 -600000004bffe535 -7b65f0823c62ffff -38637f587fa4eb78 -600000004bffe51d +7d23ea144bfffb99 +2c0900007c7e1b78 +3c62ffff41820080 +7fa4eb787b85f882 +4bffe58938637f90 +283f800060000000 +4081000c7fe5fb78 +54a5042038a0ffff +78a5f0823c62ffff +38637fa838800000 +600000004bffe55d 7be5f0823c62ffff -38637f707fc4f378 -600000004bffe505 -38637f883c62ffff -600000004bffe4f5 -3821009038600000 -48000cf47c6307b4 -38637f983c62ffff -600000004bffe4d5 +38637fc07fc4f378 +600000004bffe545 +38637fd83c62ffff +600000004bffe535 +3821008038600000 +48000d987c6307b4 +38637fe83c62ffff +600000004bffe515 4bffffe038600001 0100000000000000 -3c4c000100000680 -6000000038429274 -6000000089228018 -2c09000039428010 -e92a00004182002c +3c4c000100000480 +60000000384292b4 +6000000089228068 +2c09000039428060 +e92a000041820030 7c0004ac39290014 712900207d204eaa -e92a00004182ffec -7c604faa7c0004ac -e92a00004e800020 -7c0004ac39290010 -712900087d204eea -5469063e4082ffec -7c0004ace94a0000 +600000004182ffec +7c0004ace9228060 +4e8000207c604faa +39290010e92a0000 +7d204eea7c0004ac +4082ffec71290008 +600000005469063e +7c0004ace9428060 4e8000207d2057ea 0000000000000000 3c4c000100000000 -7c0802a6384291f4 -fbe1fff8fbc1fff0 -f821ffd1f8010010 -8fdf00013be3ffff +7c0802a63842922c +fbc1fff0fbe1fff8 +f80100103be3ffff +8fdf0001f821ffd1 408200102c1e0000 3860000038210030 -2c1e000a48000c3c +2c1e000a48000cd0 3860000d4082000c -7fc307b44bffff45 -4bffffd04bffff3d +7fc307b44bffff3d +4bffffd04bffff35 0100000000000000 3c4c000100000280 -3d40c00038429194 -794a0020614a0020 -7d4056ea7c0004ac -794a06003d20c000 -7929002061290008 +3d20c000384291cc +7929002061290020 7d204eea7c0004ac -4182001871290020 -612900403d20c000 -7c0004ac79290020 -7929f8047d204eea -79290fc33d00c000 -7908002061082000 -f902801060000000 -610820003d00001c -418200847d4a4392 +792906003d40c000 +794a0020614a0008 +7d4056ea7c0004ac +3d40c000714a0020 +794a0020614a2000 +6000000040820040 +39400000f9428060 +9942806860000000 +614a20003d40001c +3d40c0007d295392 +794a0020614a2018 +7c0004ac3929ffff +4e8000207d2057ea +610800403d00c000 +7c0004ac79080020 +790807e37d0046ea +f942806060000000 +614a20003d40001c +4182ffa07d495392 3920000160000000 -3d00c00099228018 +3d00c00099228068 3920ff806108200c 7c0004ac79080020 -e92280107d2047aa +e92280607d2047aa 7d404faa7c0004ac -794ac202e9228010 +794ac202e9228060 7c0004ac39290004 -e92280107d404faa +e92280607d404faa 3929000c39400003 7d404faa7c0004ac -39290010e9228010 +39290010e9228060 7d404faa7c0004ac -39400007e9228010 +39400007e9228060 7c0004ac39290008 4e8000207d404faa -394affff60000000 -3d20c00099228018 -7929002061292018 -7d404fea7c0004ac -000000004e800020 0000000000000000 -3940000078a9e8c2 -7d2903a639290001 -78a9072442000028 -7d434a147ca92850 -7c844a1439050001 -392000007d0903a6 -4e80002042000018 -7d23512a7d24502a -4bffffcc394a0008 -7d0a49ae7d0448ae -4bffffdc39290001 -0000000000000000 -7c691b7800000000 -7d4918ae38600000 -4d8200202c0a0000 -4bfffff038630001 -0000000000000000 -2c24000000000000 -3881fff040820008 -f864000028050024 -4d81002038600000 -6108ffff3d00fffe -6108d9ff790883e4 -89490000e9240000 -40810028280a0020 -4182003c2c250000 -418200382c050010 -4800008838600000 -f924000039290001 -7d0a56344bffffd0 -4182ffec714a0001 -4082ffdc2c250000 -4bffffd438a0000a -2c0a003038a0000a -894900014082ffc8 -4082ffbc2c0a0078 -38a0001039290002 -4bffffacf9240000 -54e7063e38eaffd0 -4181003c28070009 -7d2a07343929ffd0 -4c8000207c0a2800 -7c6519d239080001 -f90400007d290734 -e90400007c691a14 -714900ff89480000 -4e8000204082ffc0 -54e7063e38eaff9f -4181000c28070019 -4bffffb83929ffa9 -554a063e394affbf -4d810020280a0019 -4bffffa03929ffc9 -0000000000000000 -3923ff9f00000000 -4181000828090019 -7c6307b43863ffe0 -000000004e800020 +78a9e8c200000000 +3929000139400000 +420000287d2903a6 +78a5076078a90724 +7d434a1439050001 +7c844a147d0903a6 +4200001839200000 +7d24502a4e800020 +394a00087d23512a +7d0448ae4bffffcc +392900017d0a49ae +000000004bffffdc 0000000000000000 -38428e903c4c0001 -480008897c0802a6 -7c7e1b78f821ffa1 -7ca32b787c9c2378 -38a0000a38800000 -eb3e00007cfd3b78 +386000007c691b78 +2c0a00007d4918ae +386300014d820020 +000000004bfffff0 +0000000000000000 +408200082c240000 +280500243881fff0 +38600000f8640000 +3d00fffe4d810020 +790883e46108ffff +e92400006108d9ff +280a002089490000 +2c25000040810040 +2c05001041820054 +2c0a003040820064 +894900014082006c +408200602c0a0078 +f924000039290002 +3929000148000054 +4bffffb8f9240000 +714a00017d0a5634 +2c2500004182ffec +38a0000a4082002c +2c0a00304800001c +4082001038a0000a +2c0a007889490001 +386000004182ffb8 +2c05001048000048 +38a000104082fff4 +38eaffd04bffffec +2807000954e7063e +3929ffd04181003c +7c0a28007d2a0734 +390800014c800020 +7d2907347c6519d2 +7c691a14f9040000 +89480000e9040000 +4082ffc0714900ff +38eaff9f4e800020 +2807001954e7063e +3929ffa94181000c +394affbf4bffffb8 +280a0019554a063e +3929ffc94d810020 +000000004bffffa0 +0000000000000000 +280900193923ff9f +3863ffe041810008 +4e8000207c6307b4 +0000000000000000 +3c4c000100000000 +7c0802a638428e94 +f821ffa1480008e9 +7cfd3b787c7e1b78 +7c9c23787ca32b78 +3880000038a0000a +7cdf3378eb3e0000 7d3a4b787d1b4378 -4bfffe797cdf3378 -2b9d001060000000 -7c681b7839200000 -408200242c3f0000 -408200082c090000 -7d29d21439200001 -418100547c034800 -4800087038210060 +600000004bfffe59 +394000002b9d0010 +4082005c2c3f0000 +408200082c0a0000 +7d4ad21439400001 +4081003c7c035000 +7d2948f87d235050 +3929000179290020 +e93e00007d2903a6 +7c2ae0407d594850 +9b69000040800018 +39290001e93e0000 +4200ffe0f93e0000 +4800089c38210060 7bffe102409e0010 -4bffffcc39290001 +4bffff94394a0001 4bfffff47fffeb92 -2c2a00019b690000 -e93e0000394affff -f93e000039290001 -e93e00004182ffc8 -7c28e0407d194850 -4bffffb44180ffd8 -2c0900007d294050 -794a00203949ffff -40810010394a0001 -7c0940003d008000 -394000014082ffcc -000000004bffffc4 -0000078001000000 -38428d983c4c0001 -480007997c0802a6 -3bc00000f821ffb1 -7c9c23787c7f1b78 -7cbd2b78eb630000 -4bfffd697fa3eb78 -7c3e184060000000 -e93f000040800014 -7c2ae0407d5b4850 -382100504180000c -7d5df0ae480007a4 -994900003bde0001 -39290001e93f0000 -4bffffbcf93f0000 0100000000000000 -3c4c000100000580 -7c0802a638428d1c -918100087d908026 -f821ffa148000711 -2b8600103be00000 -3d22ffff7c7c1b78 -7cbe2b78e9297fa8 -7caa2b787cdd3378 -3d22fffff9210020 -f9210028e9297fb0 -408200342c2a0000 -408200082c1f0000 -7fff07b43be00001 -7c3f20402e270000 -408100303b7fffff -8181000838210060 -480006f47d908120 -794ae102409e0010 -4bffffbc3bff0001 -4bfffff47d4aeb92 -7f5eeb927f5ed378 -7d29f0507d3ae9d2 -886900207d214a14 -5463063e41920010 -600000004bfffdad -e93c00007c3df040 -3b7bffff7c69d9ae -e93c00004081ffc8 -f93c00007d29fa14 -000000004bffff90 -0000068003000000 -38428c283c4c0001 -480005f57c0802a6 -3be00000f821fee1 -f86100607c691b79 -41820060f9210068 -418200582c240000 -3e62ffff39210040 -3ae4ffff3e22ffff -3b010020f9210070 -3a4000203ac00000 -3ba100603a737fc8 -e94100683a317fc0 -ebc1006089250000 -712a00ff7feaf050 -7c3fb8404182000c -3920000041800018 -38210120993e0000 -480005c47fe307b4 -390500012c0a0025 -38e0000040820548 -e901007089250000 -7cea3b787cb02b78 -7d2741ae8c650001 -5469063e39070001 -418200b02c090064 -4181002c28090078 -4181002c28090068 -418200982c090058 -4181008828090058 -418200882c090025 -418200802c09004f -4bffffa438e70001 -548b063e3883ff97 -4181ffec280b000f -396b75043d62ffff -7c8b22aa788415a8 -7c8903a67c845a14 -000000484e800420 +3c4c000100000780 +7c0802a638428dc4 +f821ffb148000821 +7c7f1b78eb630000 +7cbd2b787c9c2378 +7fa3eb783bc00000 +600000004bfffd71 +408000147c3e1840 +7d5b4850e93f0000 +4180000c7c2ae040 +4800082c38210050 +3bde00017d5df0ae +e93f000099490000 +f93f000039290001 +000000004bffffbc +0000058001000000 +38428d483c4c0001 +3d22ffff7c0802a6 +2b860010e9297ff8 +7caa2b787d708026 +4800078991610008 +7c7c1b78f821ffa1 +7cdd33787cbe2b78 +f92100203be00000 +e922800060000000 +2c2a0000f9210028 +2c1f000040820034 +3be0000140820008 +2e2700007fff07b4 +3b7fffff7c3f2040 +3821006040810030 +7d70812081610008 +409e00104800077c +3bff0001794ae102 +7d4aeb924bffffbc +7f5ed3784bfffff4 +7d3ae9d27f5eeb92 +7d214a147d29f050 +4192001088690020 +4bfffdd55463063e +7c3df04060000000 +7c69d9aee93c0000 +4081ffc83b7bffff +7d29fa14e93c0000 +4bffff90f93c0000 +0300000000000000 +3c4c000100000680 +7c0802a638428c54 +f821ff014800067d +f86100607c7d1b79 +4182001438600000 +3bc4ffff2c240000 +4082013c3b610040 +7c6307b438210100 +2c0a00254800069c +4082062039050001 +7cbc2b7838e00000 +7ce93b7889450000 +889c000138a50001 +394700017d47d9ae +2c0800645488063e +28080078418201cc +280800684181002c +2c0800584181002c +2808005841820130 +2c08002541810088 +2c08004f418200c0 +38e7000141820118 +3904ff974bffffa4 +280b000f550b063e +3d62ffff4181ffec +790815a8396b7488 +7d085a147d0b42aa +4e8004207d0903a6 +ffffffcc00000164 ffffffccffffffcc ffffffccffffffcc -00000048ffffffcc -ffffffcc00000048 -00000048ffffffcc -00000048ffffffcc +000000cc0000006c ffffffccffffffcc -2c09006300000048 -394a00024bffff84 -7d0807b42c090025 -7d1842147d4a07b4 -986800207d585214 -408200189aca0020 -993e0000395e0001 -38b00002f9410060 -892100414bfffeb4 -7fffb850eb860000 -3aa0002039e60008 -3929ffd23b210041 -4082000c712900fd -3b2100423aa00030 -3b4000043a800000 -56b5063e3b600000 -480001687ddc00d0 -38b900012c06004f -38e8ffa8418201dc -2804002254e4063e -3c82ffff418103ac -78e715a838847600 -7ce722147ce43aaa -4e8004207ce903a6 -0000038c00000150 -0000038c0000038c -0000038c0000038c -0000038c0000038c -0000038c0000038c -000002580000038c -0000038c0000008c -0000038c0000038c -0000008c00000370 -0000038c0000038c -0000038c0000035c -000001ac0000038c -0000038c000001fc -000002980000038c -0000008c0000038c -0000038c0000038c -0000038c00000154 -2c06007500000368 -7d4152147b6a0020 -7f8ae3789aca0020 -5747183841820038 -38e7ffff39000001 -7f8840397d083836 -3940002d41820020 -9949ffff39290001 -7b491e68f9210060 -7dca50387d53482a -7d465378e8810060 -f941007838e0000a -392000007f05c378 -7fa3eb787ea8ab78 -7c84f8507c9e2050 -e88100604bfffa79 -7a8707e0e9410078 -7c9e205038c0000a -7c84f8507d455378 -4bfffbc97fa3eb78 -891900003b390001 -710600ffe9210060 -7d5e485041820010 -4181fe847c3f5040 -4bfffe307de67b78 -7b6900203a800001 -7d214a1438e00010 -7ea8ab787c8af850 -9ac900207f05c378 -7fa3eb787b491e68 -392000007d73482a -7d665b787f8b5838 -4bfff9edf9610078 -7a8707e0e8810060 -7c9e205038c00010 -7d655b78e9610078 -7b6900204bffff74 -7d214a1438e00008 -7ea8ab787c8af850 -9ac900207f05c378 -7fa3eb787b491e68 -392000007d73482a -7d665b787f8b5838 -4bfff995f9610078 -7a8707e0e8810060 -7c9e205038c00008 -7b6900204bffffa8 +ffffffcc000000b8 +ffffffcc00000048 +00000150ffffffcc +4bffff842c080063 +7d4a07b439010020 +390000757d485214 +990a002039290002 +7d2907b439410020 +3901002048000094 +7d4852147d4a07b4 +4bffffdc3900006f +991f0000393f0001 +38bc0002f9210060 +ebe1006089250000 +7c7df850712a00ff +7c23f0404182000c +392000004180febc +4bfffea4993f0000 +7d4a07b439010020 +390000737d485214 +390100204bffff90 +7d4852147d4a07b4 +4bffff7c39000070 +7d4a07b438e10020 +392900027d475214 +990a00207d2907b4 +7d2a4a147cea3b78 +7f23f05039400000 +994900203a460008 +3ac100423a600030 +3929ffd289210041 +eb060000712900fd +5669063e40820458 +3a80000060000000 +f92100683aa00004 +3a2000003ae00000 +480001a43a028018 +7d4a07b439010020 +390000787d485214 +390100204bfffef8 +7d4852147d4a07b4 +7d2907b439290002 +7d0a4378988a0020 +2c08004f4bffff7c +418201dc38f60001 +5546063e3949ffa8 +418103b828060022 +38c676443cc2ffff +7d4652aa794a15a8 +7d4903a67d4a3214 +000001584e800420 +0000039800000398 +0000039800000398 +0000039800000398 +0000039800000398 +0000039800000398 +0000008c00000268 +0000039800000398 +0000037c00000398 +000003980000008c +0000036400000398 +0000039800000398 +00000204000001ac +0000039800000398 +00000398000002ac +000003980000008c +0000015c00000398 +000003bc00000398 +7ae900202c080075 +394000007d214a14 +994900207f1ac378 +56aa183841820044 +394affff39200001 +7f0948397d295036 +3920002d4182002c +3942801860000000 +992e00007f5800d0 +f9210060392e0001 +7d2a482a7aa91e68 +e88100607f5a4838 +7f46d37838e0000a +3920000038a10020 +386100605668063e +7c84c8507c9f2050 +e88100604bfffa25 +38c0000a7a8707e0 +7c9f20507f45d378 +386100607c84c850 +3ad600014bfffb51 +e9c1006089360000 +41820010712800ff +7c39d0407f5f7050 +7e4693784181fe7c +3a8000014bfffd7c +e90100687ae90020 7d214a1438e00010 -7c8af8507f86e378 -9ac9002039000020 -392000027f05c378 -4bfff9557fa3eb78 -7e258b78e8810060 -7c9e20507fa3eb78 -4bfffa357c84f850 +38a100207c9ac850 +9a29002038610060 +7dd0482a7aa91e68 +7f0e703839200000 +4bfff9a17dc67378 7a8707e0e8810060 -7f85e37838c00010 -4bfffec87c9e2050 -390000207b690020 -38e0000a7d214a14 -7f05c37838c00001 -7c8af8509ac90020 -7fa3eb7839200000 -e92100604bfff8f9 -392900019b890000 -4bfffe94f9210060 -38a0000a7b680020 -f9410080f9210088 -388000007d014214 -9ac800207f03c378 -600000004bfff76d -7f83e378f8610078 -600000004bfff735 -e9410080e9010078 -7c281840e9210088 -e88100604181003c -7fa3eb787f85e378 -7c84f8507c9e2050 -4bfffe2c4bfff979 -392900019a490000 -7c29184039000001 -f921006040820034 -7ce9f0504bffffcc -7ce7fa147c634050 -2c2700007d4af850 -390000007c691a14 -40820008394a0001 -2c2a000139400001 -4082ffb4394affff -4082ffc071080001 -893900014bffff8c -4182004c2c09006c -4bfffdbc3b400008 -3b40000289390001 -4082fdac2c090068 -3b4000017cb92b78 -3928ffd04bfffda0 -280900095529063e -7b6900204181fd90 -7d214a143b7b0001 -4bfffd7c99090020 -4bffffb47cb92b78 -3bde0001993e0000 -fbc100607d054378 -000000004bfffa6c -0000128001000000 -f9e1ff78f9c1ff70 -fa21ff88fa01ff80 -fa61ff98fa41ff90 -faa1ffa8fa81ffa0 -fae1ffb8fac1ffb0 -fb21ffc8fb01ffc0 -fb61ffd8fb41ffd0 -fba1ffe8fb81ffe0 -fbe1fff8fbc1fff0 -4e800020f8010010 -e9e1ff78e9c1ff70 -ea21ff88ea01ff80 -ea61ff98ea41ff90 -eaa1ffa8ea81ffa0 -eae1ffb8eac1ffb0 -eb21ffc8eb01ffc0 -eb61ffd8eb41ffd0 -e8010010eb81ffe0 -7c0803a6eba1ffe8 -ebe1fff8ebc1fff0 -ebc1fff04e800020 -ebe1fff8e8010010 -4e8000207c0803a6 +7c9f205038c00010 +4bffff7c7dc57378 +394000007ae90020 +38e000087d214a14 +5668063e7c9ac850 +6000000099490020 +394280187aa91e68 +3861006038a10020 +392000007dca482a +7dc673787f0e7038 +e88100604bfff945 +38c000087a8707e0 +4bffffa47c9f2050 +394000007ae90020 +38e000107d214a14 +390000207f06c378 +38a1002099490020 +7c9ac85039200002 +4bfff90138610060 +60000000e8810060 +38a2801038610060 +7c84c8507c9f2050 +e88100604bfff9b5 +38c000107a8707e0 +7c9f20507f05c378 +7ae900204bfffec0 +7d214a1439400000 +38e0000a39000020 +9949002038c00001 +3920000038a10020 +386100607c9ac850 +e92100604bfff89d +392900019b090000 +4bfffe88f9210060 +394000007ae90020 +38a0000a7d214a14 +3861002038800000 +4bfff6f599490020 +7c6f1b7860000000 +4bfff6bd7f03c378 +7c2f184060000000 +7d0ef85040810064 +7d08ca147f5ac850 +2c2800007c637850 +394000007c6e1a14 +3b5a000138e00020 +3b40000140820008 +3b5affff2c3a0001 +714a000140820014 +f9c1006041820024 +98ee00004800001c +3940000139ce0001 +4082ffd47c237040 +e8810060f8610060 +386100607f05c378 +7c84c8507c9f2050 +4bfffdd04bfff8a5 +3aa0000889360001 +4082fdc02c09006c +4bfffdb87cf63b78 +3aa0000289360001 +4082fda82c090068 +3aa000017cf63b78 +3949ffd04bfffd9c +280a0009554a063e +7aea00204181fd8c +7d4152143af70001 +4bfffd78992a0020 +4bfffd703aa00008 +3ac100413a600020 +993f00004bfffba4 +7d0543783bff0001 +4bfffaf4fbe10060 +0100000000000000 +f9c1ff7000001280 +fa01ff80f9e1ff78 +fa41ff90fa21ff88 +fa81ffa0fa61ff98 +fac1ffb0faa1ffa8 +fb01ffc0fae1ffb8 +fb41ffd0fb21ffc8 +fb81ffe0fb61ffd8 +fbc1fff0fba1ffe8 +f8010010fbe1fff8 +e9c1ff704e800020 +ea01ff80e9e1ff78 +ea41ff90ea21ff88 +ea81ffa0ea61ff98 +eac1ffb0eaa1ffa8 +eb01ffc0eae1ffb8 +eb41ffd0eb21ffc8 +eb81ffe0eb61ffd8 +eba1ffe8e8010010 +ebc1fff07c0803a6 +4e800020ebe1fff8 +e8010010ebc1fff0 +7c0803a6ebe1fff8 +600000004e800020 6d6f636c65570a0a 63694d206f742065 2120747461776f72 @@ -1858,17 +1870,15 @@ ebe1fff8e8010010 203a46464f204853 7479622078257830 00000000000a7365 -2d2d2d2d2d2d2d2d -0000000000000000 -4d4152446574694c -6620746c69756220 -6567694d206d6f72 -646e61207325206e -2520586574694c20 -0000000000000a73 20676e69746f6f42 415242206d6f7266 0000000a2e2e2e4d +3135636632333936 +0000000000000000 +4d4152446574694c +6620746c69756220 +6574694c206d6f72 +0000000a73252058 6620676e69797254 0a2e2e2e6873616c 0000000000000000 diff --git a/litedram/generated/arty/litedram_core.v b/litedram/generated/arty/litedram_core.v index cad0120..593bee2 100644 --- a/litedram/generated/arty/litedram_core.v +++ b/litedram/generated/arty/litedram_core.v @@ -8,8 +8,8 @@ // // Filename : litedram_core.v // Device : -// LiteX sha1 : -------- -// Date : 2022-01-14 08:32:09 +// LiteX sha1 : 6932fc51 +// Date : 2022-08-04 21:06:55 //------------------------------------------------------------------------------ @@ -69,4263 +69,4682 @@ module litedram_core ( // Signals //------------------------------------------------------------------------------ -reg main_rst = 1'd0; +reg rst_1 = 1'd0; wire sys_clk; wire sys_rst; wire sys4x_clk; wire sys4x_dqs_clk; wire iodelay_clk; wire iodelay_rst; -wire main_reset; -reg main_power_down = 1'd0; -wire main_locked; -wire main_clkin; -wire main_clkout0; -wire main_clkout_buf0; -wire main_clkout1; -wire main_clkout_buf1; -wire main_clkout2; -wire main_clkout_buf2; -wire main_clkout3; -wire main_clkout_buf3; -reg [3:0] main_reset_counter = 4'd15; -reg main_ic_reset = 1'd1; -reg main_a7ddrphy_rst_storage = 1'd0; -reg main_a7ddrphy_rst_re = 1'd0; -reg [4:0] main_a7ddrphy_half_sys8x_taps_storage = 5'd8; -reg main_a7ddrphy_half_sys8x_taps_re = 1'd0; -reg main_a7ddrphy_wlevel_en_storage = 1'd0; -reg main_a7ddrphy_wlevel_en_re = 1'd0; -reg main_a7ddrphy_wlevel_strobe_re = 1'd0; -wire main_a7ddrphy_wlevel_strobe_r; -reg main_a7ddrphy_wlevel_strobe_we = 1'd0; -reg main_a7ddrphy_wlevel_strobe_w = 1'd0; -reg [1:0] main_a7ddrphy_dly_sel_storage = 2'd0; -reg main_a7ddrphy_dly_sel_re = 1'd0; -reg main_a7ddrphy_rdly_dq_rst_re = 1'd0; -wire main_a7ddrphy_rdly_dq_rst_r; -reg main_a7ddrphy_rdly_dq_rst_we = 1'd0; -reg main_a7ddrphy_rdly_dq_rst_w = 1'd0; -reg main_a7ddrphy_rdly_dq_inc_re = 1'd0; -wire main_a7ddrphy_rdly_dq_inc_r; -reg main_a7ddrphy_rdly_dq_inc_we = 1'd0; -reg main_a7ddrphy_rdly_dq_inc_w = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_rst_re = 1'd0; -wire main_a7ddrphy_rdly_dq_bitslip_rst_r; -reg main_a7ddrphy_rdly_dq_bitslip_rst_we = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_re = 1'd0; -wire main_a7ddrphy_rdly_dq_bitslip_r; -reg main_a7ddrphy_rdly_dq_bitslip_we = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_w = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_rst_re = 1'd0; -wire main_a7ddrphy_wdly_dq_bitslip_rst_r; -reg main_a7ddrphy_wdly_dq_bitslip_rst_we = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_rst_w = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_re = 1'd0; -wire main_a7ddrphy_wdly_dq_bitslip_r; -reg main_a7ddrphy_wdly_dq_bitslip_we = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_w = 1'd0; -reg [1:0] main_a7ddrphy_rdphase_storage = 2'd2; -reg main_a7ddrphy_rdphase_re = 1'd0; -reg [1:0] main_a7ddrphy_wrphase_storage = 2'd3; -reg main_a7ddrphy_wrphase_re = 1'd0; -wire [13:0] main_a7ddrphy_dfi_p0_address; -wire [2:0] main_a7ddrphy_dfi_p0_bank; -wire main_a7ddrphy_dfi_p0_cas_n; -wire main_a7ddrphy_dfi_p0_cs_n; -wire main_a7ddrphy_dfi_p0_ras_n; -wire main_a7ddrphy_dfi_p0_we_n; -wire main_a7ddrphy_dfi_p0_cke; -wire main_a7ddrphy_dfi_p0_odt; -wire main_a7ddrphy_dfi_p0_reset_n; -wire main_a7ddrphy_dfi_p0_act_n; -wire [31:0] main_a7ddrphy_dfi_p0_wrdata; -wire main_a7ddrphy_dfi_p0_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p0_wrdata_mask; -wire main_a7ddrphy_dfi_p0_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p0_rddata = 32'd0; -wire main_a7ddrphy_dfi_p0_rddata_valid; -wire [13:0] main_a7ddrphy_dfi_p1_address; -wire [2:0] main_a7ddrphy_dfi_p1_bank; -wire main_a7ddrphy_dfi_p1_cas_n; -wire main_a7ddrphy_dfi_p1_cs_n; -wire main_a7ddrphy_dfi_p1_ras_n; -wire main_a7ddrphy_dfi_p1_we_n; -wire main_a7ddrphy_dfi_p1_cke; -wire main_a7ddrphy_dfi_p1_odt; -wire main_a7ddrphy_dfi_p1_reset_n; -wire main_a7ddrphy_dfi_p1_act_n; -wire [31:0] main_a7ddrphy_dfi_p1_wrdata; -wire main_a7ddrphy_dfi_p1_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p1_wrdata_mask; -wire main_a7ddrphy_dfi_p1_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p1_rddata = 32'd0; -wire main_a7ddrphy_dfi_p1_rddata_valid; -wire [13:0] main_a7ddrphy_dfi_p2_address; -wire [2:0] main_a7ddrphy_dfi_p2_bank; -wire main_a7ddrphy_dfi_p2_cas_n; -wire main_a7ddrphy_dfi_p2_cs_n; -wire main_a7ddrphy_dfi_p2_ras_n; -wire main_a7ddrphy_dfi_p2_we_n; -wire main_a7ddrphy_dfi_p2_cke; -wire main_a7ddrphy_dfi_p2_odt; -wire main_a7ddrphy_dfi_p2_reset_n; -wire main_a7ddrphy_dfi_p2_act_n; -wire [31:0] main_a7ddrphy_dfi_p2_wrdata; -wire main_a7ddrphy_dfi_p2_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p2_wrdata_mask; -wire main_a7ddrphy_dfi_p2_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p2_rddata = 32'd0; -wire main_a7ddrphy_dfi_p2_rddata_valid; -wire [13:0] main_a7ddrphy_dfi_p3_address; -wire [2:0] main_a7ddrphy_dfi_p3_bank; -wire main_a7ddrphy_dfi_p3_cas_n; -wire main_a7ddrphy_dfi_p3_cs_n; -wire main_a7ddrphy_dfi_p3_ras_n; -wire main_a7ddrphy_dfi_p3_we_n; -wire main_a7ddrphy_dfi_p3_cke; -wire main_a7ddrphy_dfi_p3_odt; -wire main_a7ddrphy_dfi_p3_reset_n; -wire main_a7ddrphy_dfi_p3_act_n; -wire [31:0] main_a7ddrphy_dfi_p3_wrdata; -wire main_a7ddrphy_dfi_p3_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p3_wrdata_mask; -wire main_a7ddrphy_dfi_p3_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p3_rddata = 32'd0; -wire main_a7ddrphy_dfi_p3_rddata_valid; -wire main_a7ddrphy_sd_clk_se_nodelay; -reg main_a7ddrphy_dqs_oe = 1'd0; -wire main_a7ddrphy_dqs_preamble; -wire main_a7ddrphy_dqs_postamble; -wire main_a7ddrphy_dqs_oe_delay_tappeddelayline; -reg main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; -reg main_a7ddrphy_dqspattern0 = 1'd0; -reg main_a7ddrphy_dqspattern1 = 1'd0; -reg [7:0] main_a7ddrphy_dqspattern_o0 = 8'd0; -reg [7:0] main_a7ddrphy_dqspattern_o1 = 8'd0; -wire main_a7ddrphy_dqs_o_no_delay0; -wire main_a7ddrphy_dqs_t0; -reg [7:0] main_a7ddrphy_bitslip00 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r0 = 16'd0; -wire main_a7ddrphy0; -wire main_a7ddrphy_dqs_o_no_delay1; -wire main_a7ddrphy_dqs_t1; -reg [7:0] main_a7ddrphy_bitslip10 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r0 = 16'd0; -wire main_a7ddrphy1; -reg [7:0] main_a7ddrphy_bitslip01 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r1 = 16'd0; -reg [7:0] main_a7ddrphy_bitslip11 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r1 = 16'd0; -wire main_a7ddrphy_dq_oe; -wire main_a7ddrphy_dq_oe_delay_tappeddelayline; -reg main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; -wire main_a7ddrphy_dq_o_nodelay0; -wire main_a7ddrphy_dq_i_nodelay0; -wire main_a7ddrphy_dq_i_delayed0; -wire main_a7ddrphy_dq_t0; -reg [7:0] main_a7ddrphy_bitslip02 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value2 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r2 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip03; -reg [7:0] main_a7ddrphy_bitslip04 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value3 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r3 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay1; -wire main_a7ddrphy_dq_i_nodelay1; -wire main_a7ddrphy_dq_i_delayed1; -wire main_a7ddrphy_dq_t1; -reg [7:0] main_a7ddrphy_bitslip12 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value2 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r2 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip13; -reg [7:0] main_a7ddrphy_bitslip14 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value3 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r3 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay2; -wire main_a7ddrphy_dq_i_nodelay2; -wire main_a7ddrphy_dq_i_delayed2; -wire main_a7ddrphy_dq_t2; -reg [7:0] main_a7ddrphy_bitslip20 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip2_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip2_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip21; -reg [7:0] main_a7ddrphy_bitslip22 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip2_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip2_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay3; -wire main_a7ddrphy_dq_i_nodelay3; -wire main_a7ddrphy_dq_i_delayed3; -wire main_a7ddrphy_dq_t3; -reg [7:0] main_a7ddrphy_bitslip30 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip3_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip3_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip31; -reg [7:0] main_a7ddrphy_bitslip32 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip3_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip3_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay4; -wire main_a7ddrphy_dq_i_nodelay4; -wire main_a7ddrphy_dq_i_delayed4; -wire main_a7ddrphy_dq_t4; -reg [7:0] main_a7ddrphy_bitslip40 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip4_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip4_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip41; -reg [7:0] main_a7ddrphy_bitslip42 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip4_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip4_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay5; -wire main_a7ddrphy_dq_i_nodelay5; -wire main_a7ddrphy_dq_i_delayed5; -wire main_a7ddrphy_dq_t5; -reg [7:0] main_a7ddrphy_bitslip50 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip5_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip5_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip51; -reg [7:0] main_a7ddrphy_bitslip52 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip5_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip5_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay6; -wire main_a7ddrphy_dq_i_nodelay6; -wire main_a7ddrphy_dq_i_delayed6; -wire main_a7ddrphy_dq_t6; -reg [7:0] main_a7ddrphy_bitslip60 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip6_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip6_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip61; -reg [7:0] main_a7ddrphy_bitslip62 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip6_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip6_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay7; -wire main_a7ddrphy_dq_i_nodelay7; -wire main_a7ddrphy_dq_i_delayed7; -wire main_a7ddrphy_dq_t7; -reg [7:0] main_a7ddrphy_bitslip70 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip7_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip7_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip71; -reg [7:0] main_a7ddrphy_bitslip72 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip7_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip7_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay8; -wire main_a7ddrphy_dq_i_nodelay8; -wire main_a7ddrphy_dq_i_delayed8; -wire main_a7ddrphy_dq_t8; -reg [7:0] main_a7ddrphy_bitslip80 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip8_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip8_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip81; -reg [7:0] main_a7ddrphy_bitslip82 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip8_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip8_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay9; -wire main_a7ddrphy_dq_i_nodelay9; -wire main_a7ddrphy_dq_i_delayed9; -wire main_a7ddrphy_dq_t9; -reg [7:0] main_a7ddrphy_bitslip90 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip9_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip9_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip91; -reg [7:0] main_a7ddrphy_bitslip92 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip9_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip9_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay10; -wire main_a7ddrphy_dq_i_nodelay10; -wire main_a7ddrphy_dq_i_delayed10; -wire main_a7ddrphy_dq_t10; -reg [7:0] main_a7ddrphy_bitslip100 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip10_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip10_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip101; -reg [7:0] main_a7ddrphy_bitslip102 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip10_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip10_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay11; -wire main_a7ddrphy_dq_i_nodelay11; -wire main_a7ddrphy_dq_i_delayed11; -wire main_a7ddrphy_dq_t11; -reg [7:0] main_a7ddrphy_bitslip110 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip11_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip11_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip111; -reg [7:0] main_a7ddrphy_bitslip112 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip11_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip11_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay12; -wire main_a7ddrphy_dq_i_nodelay12; -wire main_a7ddrphy_dq_i_delayed12; -wire main_a7ddrphy_dq_t12; -reg [7:0] main_a7ddrphy_bitslip120 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip12_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip12_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip121; -reg [7:0] main_a7ddrphy_bitslip122 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip12_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip12_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay13; -wire main_a7ddrphy_dq_i_nodelay13; -wire main_a7ddrphy_dq_i_delayed13; -wire main_a7ddrphy_dq_t13; -reg [7:0] main_a7ddrphy_bitslip130 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip13_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip13_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip131; -reg [7:0] main_a7ddrphy_bitslip132 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip13_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip13_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay14; -wire main_a7ddrphy_dq_i_nodelay14; -wire main_a7ddrphy_dq_i_delayed14; -wire main_a7ddrphy_dq_t14; -reg [7:0] main_a7ddrphy_bitslip140 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip14_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip14_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip141; -reg [7:0] main_a7ddrphy_bitslip142 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip14_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip14_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay15; -wire main_a7ddrphy_dq_i_nodelay15; -wire main_a7ddrphy_dq_i_delayed15; -wire main_a7ddrphy_dq_t15; -reg [7:0] main_a7ddrphy_bitslip150 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip15_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip15_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip151; -reg [7:0] main_a7ddrphy_bitslip152 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip15_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip15_r1 = 16'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline1 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline2 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline3 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline4 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline5 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline6 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline7 = 1'd0; -reg main_a7ddrphy_wrdata_en_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_wrdata_en_tappeddelayline1 = 1'd0; -reg main_a7ddrphy_wrdata_en_tappeddelayline2 = 1'd0; -wire [13:0] main_litedramcore_inti_p0_address; -wire [2:0] main_litedramcore_inti_p0_bank; -reg main_litedramcore_inti_p0_cas_n = 1'd1; -reg main_litedramcore_inti_p0_cs_n = 1'd1; -reg main_litedramcore_inti_p0_ras_n = 1'd1; -reg main_litedramcore_inti_p0_we_n = 1'd1; -wire main_litedramcore_inti_p0_cke; -wire main_litedramcore_inti_p0_odt; -wire main_litedramcore_inti_p0_reset_n; -reg main_litedramcore_inti_p0_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p0_wrdata; -wire main_litedramcore_inti_p0_wrdata_en; -wire [3:0] main_litedramcore_inti_p0_wrdata_mask; -wire main_litedramcore_inti_p0_rddata_en; -reg [31:0] main_litedramcore_inti_p0_rddata = 32'd0; -reg main_litedramcore_inti_p0_rddata_valid = 1'd0; -wire [13:0] main_litedramcore_inti_p1_address; -wire [2:0] main_litedramcore_inti_p1_bank; -reg main_litedramcore_inti_p1_cas_n = 1'd1; -reg main_litedramcore_inti_p1_cs_n = 1'd1; -reg main_litedramcore_inti_p1_ras_n = 1'd1; -reg main_litedramcore_inti_p1_we_n = 1'd1; -wire main_litedramcore_inti_p1_cke; -wire main_litedramcore_inti_p1_odt; -wire main_litedramcore_inti_p1_reset_n; -reg main_litedramcore_inti_p1_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p1_wrdata; -wire main_litedramcore_inti_p1_wrdata_en; -wire [3:0] main_litedramcore_inti_p1_wrdata_mask; -wire main_litedramcore_inti_p1_rddata_en; -reg [31:0] main_litedramcore_inti_p1_rddata = 32'd0; -reg main_litedramcore_inti_p1_rddata_valid = 1'd0; -wire [13:0] main_litedramcore_inti_p2_address; -wire [2:0] main_litedramcore_inti_p2_bank; -reg main_litedramcore_inti_p2_cas_n = 1'd1; -reg main_litedramcore_inti_p2_cs_n = 1'd1; -reg main_litedramcore_inti_p2_ras_n = 1'd1; -reg main_litedramcore_inti_p2_we_n = 1'd1; -wire main_litedramcore_inti_p2_cke; -wire main_litedramcore_inti_p2_odt; -wire main_litedramcore_inti_p2_reset_n; -reg main_litedramcore_inti_p2_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p2_wrdata; -wire main_litedramcore_inti_p2_wrdata_en; -wire [3:0] main_litedramcore_inti_p2_wrdata_mask; -wire main_litedramcore_inti_p2_rddata_en; -reg [31:0] main_litedramcore_inti_p2_rddata = 32'd0; -reg main_litedramcore_inti_p2_rddata_valid = 1'd0; -wire [13:0] main_litedramcore_inti_p3_address; -wire [2:0] main_litedramcore_inti_p3_bank; -reg main_litedramcore_inti_p3_cas_n = 1'd1; -reg main_litedramcore_inti_p3_cs_n = 1'd1; -reg main_litedramcore_inti_p3_ras_n = 1'd1; -reg main_litedramcore_inti_p3_we_n = 1'd1; -wire main_litedramcore_inti_p3_cke; -wire main_litedramcore_inti_p3_odt; -wire main_litedramcore_inti_p3_reset_n; -reg main_litedramcore_inti_p3_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p3_wrdata; -wire main_litedramcore_inti_p3_wrdata_en; -wire [3:0] main_litedramcore_inti_p3_wrdata_mask; -wire main_litedramcore_inti_p3_rddata_en; -reg [31:0] main_litedramcore_inti_p3_rddata = 32'd0; -reg main_litedramcore_inti_p3_rddata_valid = 1'd0; -wire [13:0] main_litedramcore_slave_p0_address; -wire [2:0] main_litedramcore_slave_p0_bank; -wire main_litedramcore_slave_p0_cas_n; -wire main_litedramcore_slave_p0_cs_n; -wire main_litedramcore_slave_p0_ras_n; -wire main_litedramcore_slave_p0_we_n; -wire main_litedramcore_slave_p0_cke; -wire main_litedramcore_slave_p0_odt; -wire main_litedramcore_slave_p0_reset_n; -wire main_litedramcore_slave_p0_act_n; -wire [31:0] main_litedramcore_slave_p0_wrdata; -wire main_litedramcore_slave_p0_wrdata_en; -wire [3:0] main_litedramcore_slave_p0_wrdata_mask; -wire main_litedramcore_slave_p0_rddata_en; -reg [31:0] main_litedramcore_slave_p0_rddata = 32'd0; -reg main_litedramcore_slave_p0_rddata_valid = 1'd0; -wire [13:0] main_litedramcore_slave_p1_address; -wire [2:0] main_litedramcore_slave_p1_bank; -wire main_litedramcore_slave_p1_cas_n; -wire main_litedramcore_slave_p1_cs_n; -wire main_litedramcore_slave_p1_ras_n; -wire main_litedramcore_slave_p1_we_n; -wire main_litedramcore_slave_p1_cke; -wire main_litedramcore_slave_p1_odt; -wire main_litedramcore_slave_p1_reset_n; -wire main_litedramcore_slave_p1_act_n; -wire [31:0] main_litedramcore_slave_p1_wrdata; -wire main_litedramcore_slave_p1_wrdata_en; -wire [3:0] main_litedramcore_slave_p1_wrdata_mask; -wire main_litedramcore_slave_p1_rddata_en; -reg [31:0] main_litedramcore_slave_p1_rddata = 32'd0; -reg main_litedramcore_slave_p1_rddata_valid = 1'd0; -wire [13:0] main_litedramcore_slave_p2_address; -wire [2:0] main_litedramcore_slave_p2_bank; -wire main_litedramcore_slave_p2_cas_n; -wire main_litedramcore_slave_p2_cs_n; -wire main_litedramcore_slave_p2_ras_n; -wire main_litedramcore_slave_p2_we_n; -wire main_litedramcore_slave_p2_cke; -wire main_litedramcore_slave_p2_odt; -wire main_litedramcore_slave_p2_reset_n; -wire main_litedramcore_slave_p2_act_n; -wire [31:0] main_litedramcore_slave_p2_wrdata; -wire main_litedramcore_slave_p2_wrdata_en; -wire [3:0] main_litedramcore_slave_p2_wrdata_mask; -wire main_litedramcore_slave_p2_rddata_en; -reg [31:0] main_litedramcore_slave_p2_rddata = 32'd0; -reg main_litedramcore_slave_p2_rddata_valid = 1'd0; -wire [13:0] main_litedramcore_slave_p3_address; -wire [2:0] main_litedramcore_slave_p3_bank; -wire main_litedramcore_slave_p3_cas_n; -wire main_litedramcore_slave_p3_cs_n; -wire main_litedramcore_slave_p3_ras_n; -wire main_litedramcore_slave_p3_we_n; -wire main_litedramcore_slave_p3_cke; -wire main_litedramcore_slave_p3_odt; -wire main_litedramcore_slave_p3_reset_n; -wire main_litedramcore_slave_p3_act_n; -wire [31:0] main_litedramcore_slave_p3_wrdata; -wire main_litedramcore_slave_p3_wrdata_en; -wire [3:0] main_litedramcore_slave_p3_wrdata_mask; -wire main_litedramcore_slave_p3_rddata_en; -reg [31:0] main_litedramcore_slave_p3_rddata = 32'd0; -reg main_litedramcore_slave_p3_rddata_valid = 1'd0; -reg [13:0] main_litedramcore_master_p0_address = 14'd0; -reg [2:0] main_litedramcore_master_p0_bank = 3'd0; -reg main_litedramcore_master_p0_cas_n = 1'd1; -reg main_litedramcore_master_p0_cs_n = 1'd1; -reg main_litedramcore_master_p0_ras_n = 1'd1; -reg main_litedramcore_master_p0_we_n = 1'd1; -reg main_litedramcore_master_p0_cke = 1'd0; -reg main_litedramcore_master_p0_odt = 1'd0; -reg main_litedramcore_master_p0_reset_n = 1'd0; -reg main_litedramcore_master_p0_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p0_wrdata = 32'd0; -reg main_litedramcore_master_p0_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p0_wrdata_mask = 4'd0; -reg main_litedramcore_master_p0_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p0_rddata; -wire main_litedramcore_master_p0_rddata_valid; -reg [13:0] main_litedramcore_master_p1_address = 14'd0; -reg [2:0] main_litedramcore_master_p1_bank = 3'd0; -reg main_litedramcore_master_p1_cas_n = 1'd1; -reg main_litedramcore_master_p1_cs_n = 1'd1; -reg main_litedramcore_master_p1_ras_n = 1'd1; -reg main_litedramcore_master_p1_we_n = 1'd1; -reg main_litedramcore_master_p1_cke = 1'd0; -reg main_litedramcore_master_p1_odt = 1'd0; -reg main_litedramcore_master_p1_reset_n = 1'd0; -reg main_litedramcore_master_p1_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p1_wrdata = 32'd0; -reg main_litedramcore_master_p1_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p1_wrdata_mask = 4'd0; -reg main_litedramcore_master_p1_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p1_rddata; -wire main_litedramcore_master_p1_rddata_valid; -reg [13:0] main_litedramcore_master_p2_address = 14'd0; -reg [2:0] main_litedramcore_master_p2_bank = 3'd0; -reg main_litedramcore_master_p2_cas_n = 1'd1; -reg main_litedramcore_master_p2_cs_n = 1'd1; -reg main_litedramcore_master_p2_ras_n = 1'd1; -reg main_litedramcore_master_p2_we_n = 1'd1; -reg main_litedramcore_master_p2_cke = 1'd0; -reg main_litedramcore_master_p2_odt = 1'd0; -reg main_litedramcore_master_p2_reset_n = 1'd0; -reg main_litedramcore_master_p2_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p2_wrdata = 32'd0; -reg main_litedramcore_master_p2_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p2_wrdata_mask = 4'd0; -reg main_litedramcore_master_p2_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p2_rddata; -wire main_litedramcore_master_p2_rddata_valid; -reg [13:0] main_litedramcore_master_p3_address = 14'd0; -reg [2:0] main_litedramcore_master_p3_bank = 3'd0; -reg main_litedramcore_master_p3_cas_n = 1'd1; -reg main_litedramcore_master_p3_cs_n = 1'd1; -reg main_litedramcore_master_p3_ras_n = 1'd1; -reg main_litedramcore_master_p3_we_n = 1'd1; -reg main_litedramcore_master_p3_cke = 1'd0; -reg main_litedramcore_master_p3_odt = 1'd0; -reg main_litedramcore_master_p3_reset_n = 1'd0; -reg main_litedramcore_master_p3_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p3_wrdata = 32'd0; -reg main_litedramcore_master_p3_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p3_wrdata_mask = 4'd0; -reg main_litedramcore_master_p3_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p3_rddata; -wire main_litedramcore_master_p3_rddata_valid; -wire main_litedramcore_sel; -wire main_litedramcore_cke; -wire main_litedramcore_odt; -wire main_litedramcore_reset_n; -reg [3:0] main_litedramcore_storage = 4'd1; -reg main_litedramcore_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector0_command_storage = 6'd0; -reg main_litedramcore_phaseinjector0_command_re = 1'd0; -reg main_litedramcore_phaseinjector0_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector0_command_issue_r; -reg main_litedramcore_phaseinjector0_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector0_command_issue_w = 1'd0; -reg [13:0] main_litedramcore_phaseinjector0_address_storage = 14'd0; -reg main_litedramcore_phaseinjector0_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector0_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector0_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector0_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector0_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector0_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector0_rddata_we; -reg main_litedramcore_phaseinjector0_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector1_command_storage = 6'd0; -reg main_litedramcore_phaseinjector1_command_re = 1'd0; -reg main_litedramcore_phaseinjector1_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector1_command_issue_r; -reg main_litedramcore_phaseinjector1_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector1_command_issue_w = 1'd0; -reg [13:0] main_litedramcore_phaseinjector1_address_storage = 14'd0; -reg main_litedramcore_phaseinjector1_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector1_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector1_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector1_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector1_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector1_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector1_rddata_we; -reg main_litedramcore_phaseinjector1_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector2_command_storage = 6'd0; -reg main_litedramcore_phaseinjector2_command_re = 1'd0; -reg main_litedramcore_phaseinjector2_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector2_command_issue_r; -reg main_litedramcore_phaseinjector2_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector2_command_issue_w = 1'd0; -reg [13:0] main_litedramcore_phaseinjector2_address_storage = 14'd0; -reg main_litedramcore_phaseinjector2_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector2_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector2_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector2_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector2_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector2_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector2_rddata_we; -reg main_litedramcore_phaseinjector2_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector3_command_storage = 6'd0; -reg main_litedramcore_phaseinjector3_command_re = 1'd0; -reg main_litedramcore_phaseinjector3_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector3_command_issue_r; -reg main_litedramcore_phaseinjector3_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector3_command_issue_w = 1'd0; -reg [13:0] main_litedramcore_phaseinjector3_address_storage = 14'd0; -reg main_litedramcore_phaseinjector3_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector3_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector3_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector3_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector3_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector3_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector3_rddata_we; -reg main_litedramcore_phaseinjector3_rddata_re = 1'd0; -wire main_litedramcore_interface_bank0_valid; -wire main_litedramcore_interface_bank0_ready; -wire main_litedramcore_interface_bank0_we; -wire [20:0] main_litedramcore_interface_bank0_addr; -wire main_litedramcore_interface_bank0_lock; -wire main_litedramcore_interface_bank0_wdata_ready; -wire main_litedramcore_interface_bank0_rdata_valid; -wire main_litedramcore_interface_bank1_valid; -wire main_litedramcore_interface_bank1_ready; -wire main_litedramcore_interface_bank1_we; -wire [20:0] main_litedramcore_interface_bank1_addr; -wire main_litedramcore_interface_bank1_lock; -wire main_litedramcore_interface_bank1_wdata_ready; -wire main_litedramcore_interface_bank1_rdata_valid; -wire main_litedramcore_interface_bank2_valid; -wire main_litedramcore_interface_bank2_ready; -wire main_litedramcore_interface_bank2_we; -wire [20:0] main_litedramcore_interface_bank2_addr; -wire main_litedramcore_interface_bank2_lock; -wire main_litedramcore_interface_bank2_wdata_ready; -wire main_litedramcore_interface_bank2_rdata_valid; -wire main_litedramcore_interface_bank3_valid; -wire main_litedramcore_interface_bank3_ready; -wire main_litedramcore_interface_bank3_we; -wire [20:0] main_litedramcore_interface_bank3_addr; -wire main_litedramcore_interface_bank3_lock; -wire main_litedramcore_interface_bank3_wdata_ready; -wire main_litedramcore_interface_bank3_rdata_valid; -wire main_litedramcore_interface_bank4_valid; -wire main_litedramcore_interface_bank4_ready; -wire main_litedramcore_interface_bank4_we; -wire [20:0] main_litedramcore_interface_bank4_addr; -wire main_litedramcore_interface_bank4_lock; -wire main_litedramcore_interface_bank4_wdata_ready; -wire main_litedramcore_interface_bank4_rdata_valid; -wire main_litedramcore_interface_bank5_valid; -wire main_litedramcore_interface_bank5_ready; -wire main_litedramcore_interface_bank5_we; -wire [20:0] main_litedramcore_interface_bank5_addr; -wire main_litedramcore_interface_bank5_lock; -wire main_litedramcore_interface_bank5_wdata_ready; -wire main_litedramcore_interface_bank5_rdata_valid; -wire main_litedramcore_interface_bank6_valid; -wire main_litedramcore_interface_bank6_ready; -wire main_litedramcore_interface_bank6_we; -wire [20:0] main_litedramcore_interface_bank6_addr; -wire main_litedramcore_interface_bank6_lock; -wire main_litedramcore_interface_bank6_wdata_ready; -wire main_litedramcore_interface_bank6_rdata_valid; -wire main_litedramcore_interface_bank7_valid; -wire main_litedramcore_interface_bank7_ready; -wire main_litedramcore_interface_bank7_we; -wire [20:0] main_litedramcore_interface_bank7_addr; -wire main_litedramcore_interface_bank7_lock; -wire main_litedramcore_interface_bank7_wdata_ready; -wire main_litedramcore_interface_bank7_rdata_valid; -reg [127:0] main_litedramcore_interface_wdata = 128'd0; -reg [15:0] main_litedramcore_interface_wdata_we = 16'd0; -wire [127:0] main_litedramcore_interface_rdata; -reg [13:0] main_litedramcore_dfi_p0_address = 14'd0; -reg [2:0] main_litedramcore_dfi_p0_bank = 3'd0; -reg main_litedramcore_dfi_p0_cas_n = 1'd1; -reg main_litedramcore_dfi_p0_cs_n = 1'd1; -reg main_litedramcore_dfi_p0_ras_n = 1'd1; -reg main_litedramcore_dfi_p0_we_n = 1'd1; -wire main_litedramcore_dfi_p0_cke; -wire main_litedramcore_dfi_p0_odt; -wire main_litedramcore_dfi_p0_reset_n; -reg main_litedramcore_dfi_p0_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p0_wrdata; -reg main_litedramcore_dfi_p0_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p0_wrdata_mask; -reg main_litedramcore_dfi_p0_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p0_rddata; -wire main_litedramcore_dfi_p0_rddata_valid; -reg [13:0] main_litedramcore_dfi_p1_address = 14'd0; -reg [2:0] main_litedramcore_dfi_p1_bank = 3'd0; -reg main_litedramcore_dfi_p1_cas_n = 1'd1; -reg main_litedramcore_dfi_p1_cs_n = 1'd1; -reg main_litedramcore_dfi_p1_ras_n = 1'd1; -reg main_litedramcore_dfi_p1_we_n = 1'd1; -wire main_litedramcore_dfi_p1_cke; -wire main_litedramcore_dfi_p1_odt; -wire main_litedramcore_dfi_p1_reset_n; -reg main_litedramcore_dfi_p1_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p1_wrdata; -reg main_litedramcore_dfi_p1_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p1_wrdata_mask; -reg main_litedramcore_dfi_p1_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p1_rddata; -wire main_litedramcore_dfi_p1_rddata_valid; -reg [13:0] main_litedramcore_dfi_p2_address = 14'd0; -reg [2:0] main_litedramcore_dfi_p2_bank = 3'd0; -reg main_litedramcore_dfi_p2_cas_n = 1'd1; -reg main_litedramcore_dfi_p2_cs_n = 1'd1; -reg main_litedramcore_dfi_p2_ras_n = 1'd1; -reg main_litedramcore_dfi_p2_we_n = 1'd1; -wire main_litedramcore_dfi_p2_cke; -wire main_litedramcore_dfi_p2_odt; -wire main_litedramcore_dfi_p2_reset_n; -reg main_litedramcore_dfi_p2_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p2_wrdata; -reg main_litedramcore_dfi_p2_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p2_wrdata_mask; -reg main_litedramcore_dfi_p2_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p2_rddata; -wire main_litedramcore_dfi_p2_rddata_valid; -reg [13:0] main_litedramcore_dfi_p3_address = 14'd0; -reg [2:0] main_litedramcore_dfi_p3_bank = 3'd0; -reg main_litedramcore_dfi_p3_cas_n = 1'd1; -reg main_litedramcore_dfi_p3_cs_n = 1'd1; -reg main_litedramcore_dfi_p3_ras_n = 1'd1; -reg main_litedramcore_dfi_p3_we_n = 1'd1; -wire main_litedramcore_dfi_p3_cke; -wire main_litedramcore_dfi_p3_odt; -wire main_litedramcore_dfi_p3_reset_n; -reg main_litedramcore_dfi_p3_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p3_wrdata; -reg main_litedramcore_dfi_p3_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p3_wrdata_mask; -reg main_litedramcore_dfi_p3_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p3_rddata; -wire main_litedramcore_dfi_p3_rddata_valid; -reg main_litedramcore_cmd_valid = 1'd0; -reg main_litedramcore_cmd_ready = 1'd0; -reg main_litedramcore_cmd_last = 1'd0; -reg [13:0] main_litedramcore_cmd_payload_a = 14'd0; -reg [2:0] main_litedramcore_cmd_payload_ba = 3'd0; -reg main_litedramcore_cmd_payload_cas = 1'd0; -reg main_litedramcore_cmd_payload_ras = 1'd0; -reg main_litedramcore_cmd_payload_we = 1'd0; -reg main_litedramcore_cmd_payload_is_read = 1'd0; -reg main_litedramcore_cmd_payload_is_write = 1'd0; -wire main_litedramcore_wants_refresh; -wire main_litedramcore_wants_zqcs; -wire main_litedramcore_timer_wait; -wire main_litedramcore_timer_done0; -wire [9:0] main_litedramcore_timer_count0; -wire main_litedramcore_timer_done1; -reg [9:0] main_litedramcore_timer_count1 = 10'd781; -wire main_litedramcore_postponer_req_i; -reg main_litedramcore_postponer_req_o = 1'd0; -reg main_litedramcore_postponer_count = 1'd0; -reg main_litedramcore_sequencer_start0 = 1'd0; -wire main_litedramcore_sequencer_done0; -wire main_litedramcore_sequencer_start1; -reg main_litedramcore_sequencer_done1 = 1'd0; -reg [5:0] main_litedramcore_sequencer_counter = 6'd0; -reg main_litedramcore_sequencer_count = 1'd0; -wire main_litedramcore_zqcs_timer_wait; -wire main_litedramcore_zqcs_timer_done0; -wire [26:0] main_litedramcore_zqcs_timer_count0; -wire main_litedramcore_zqcs_timer_done1; -reg [26:0] main_litedramcore_zqcs_timer_count1 = 27'd99999999; -reg main_litedramcore_zqcs_executer_start = 1'd0; -reg main_litedramcore_zqcs_executer_done = 1'd0; -reg [4:0] main_litedramcore_zqcs_executer_counter = 5'd0; -wire main_litedramcore_bankmachine0_req_valid; -wire main_litedramcore_bankmachine0_req_ready; -wire main_litedramcore_bankmachine0_req_we; -wire [20:0] main_litedramcore_bankmachine0_req_addr; -wire main_litedramcore_bankmachine0_req_lock; -reg main_litedramcore_bankmachine0_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine0_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine0_refresh_req; -reg main_litedramcore_bankmachine0_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine0_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine0_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine0_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine0_cmd_payload_ba; -reg main_litedramcore_bankmachine0_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine0_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; -wire [23:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; -wire [23:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -reg [4:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine0_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine0_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine0_row = 14'd0; -reg main_litedramcore_bankmachine0_row_opened = 1'd0; -wire main_litedramcore_bankmachine0_row_hit; -reg main_litedramcore_bankmachine0_row_open = 1'd0; -reg main_litedramcore_bankmachine0_row_close = 1'd0; -reg main_litedramcore_bankmachine0_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine0_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine0_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_trccon_count = 3'd0; -wire main_litedramcore_bankmachine0_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_trascon_count = 3'd0; -wire main_litedramcore_bankmachine1_req_valid; -wire main_litedramcore_bankmachine1_req_ready; -wire main_litedramcore_bankmachine1_req_we; -wire [20:0] main_litedramcore_bankmachine1_req_addr; -wire main_litedramcore_bankmachine1_req_lock; -reg main_litedramcore_bankmachine1_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine1_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine1_refresh_req; -reg main_litedramcore_bankmachine1_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine1_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine1_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine1_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine1_cmd_payload_ba; -reg main_litedramcore_bankmachine1_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine1_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; -wire [23:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; -wire [23:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -reg [4:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine1_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine1_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine1_row = 14'd0; -reg main_litedramcore_bankmachine1_row_opened = 1'd0; -wire main_litedramcore_bankmachine1_row_hit; -reg main_litedramcore_bankmachine1_row_open = 1'd0; -reg main_litedramcore_bankmachine1_row_close = 1'd0; -reg main_litedramcore_bankmachine1_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine1_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine1_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_trccon_count = 3'd0; -wire main_litedramcore_bankmachine1_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_trascon_count = 3'd0; -wire main_litedramcore_bankmachine2_req_valid; -wire main_litedramcore_bankmachine2_req_ready; -wire main_litedramcore_bankmachine2_req_we; -wire [20:0] main_litedramcore_bankmachine2_req_addr; -wire main_litedramcore_bankmachine2_req_lock; -reg main_litedramcore_bankmachine2_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine2_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine2_refresh_req; -reg main_litedramcore_bankmachine2_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine2_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine2_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine2_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine2_cmd_payload_ba; -reg main_litedramcore_bankmachine2_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine2_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; -wire [23:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; -wire [23:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -reg [4:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine2_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine2_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine2_row = 14'd0; -reg main_litedramcore_bankmachine2_row_opened = 1'd0; -wire main_litedramcore_bankmachine2_row_hit; -reg main_litedramcore_bankmachine2_row_open = 1'd0; -reg main_litedramcore_bankmachine2_row_close = 1'd0; -reg main_litedramcore_bankmachine2_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine2_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine2_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_trccon_count = 3'd0; -wire main_litedramcore_bankmachine2_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_trascon_count = 3'd0; -wire main_litedramcore_bankmachine3_req_valid; -wire main_litedramcore_bankmachine3_req_ready; -wire main_litedramcore_bankmachine3_req_we; -wire [20:0] main_litedramcore_bankmachine3_req_addr; -wire main_litedramcore_bankmachine3_req_lock; -reg main_litedramcore_bankmachine3_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine3_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine3_refresh_req; -reg main_litedramcore_bankmachine3_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine3_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine3_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine3_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine3_cmd_payload_ba; -reg main_litedramcore_bankmachine3_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine3_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; -wire [23:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; -wire [23:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -reg [4:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine3_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine3_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine3_row = 14'd0; -reg main_litedramcore_bankmachine3_row_opened = 1'd0; -wire main_litedramcore_bankmachine3_row_hit; -reg main_litedramcore_bankmachine3_row_open = 1'd0; -reg main_litedramcore_bankmachine3_row_close = 1'd0; -reg main_litedramcore_bankmachine3_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine3_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine3_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_trccon_count = 3'd0; -wire main_litedramcore_bankmachine3_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_trascon_count = 3'd0; -wire main_litedramcore_bankmachine4_req_valid; -wire main_litedramcore_bankmachine4_req_ready; -wire main_litedramcore_bankmachine4_req_we; -wire [20:0] main_litedramcore_bankmachine4_req_addr; -wire main_litedramcore_bankmachine4_req_lock; -reg main_litedramcore_bankmachine4_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine4_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine4_refresh_req; -reg main_litedramcore_bankmachine4_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine4_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine4_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine4_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine4_cmd_payload_ba; -reg main_litedramcore_bankmachine4_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine4_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; -wire [23:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; -wire [23:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -reg [4:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine4_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine4_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine4_row = 14'd0; -reg main_litedramcore_bankmachine4_row_opened = 1'd0; -wire main_litedramcore_bankmachine4_row_hit; -reg main_litedramcore_bankmachine4_row_open = 1'd0; -reg main_litedramcore_bankmachine4_row_close = 1'd0; -reg main_litedramcore_bankmachine4_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine4_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine4_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_trccon_count = 3'd0; -wire main_litedramcore_bankmachine4_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_trascon_count = 3'd0; -wire main_litedramcore_bankmachine5_req_valid; -wire main_litedramcore_bankmachine5_req_ready; -wire main_litedramcore_bankmachine5_req_we; -wire [20:0] main_litedramcore_bankmachine5_req_addr; -wire main_litedramcore_bankmachine5_req_lock; -reg main_litedramcore_bankmachine5_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine5_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine5_refresh_req; -reg main_litedramcore_bankmachine5_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine5_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine5_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine5_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine5_cmd_payload_ba; -reg main_litedramcore_bankmachine5_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine5_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; -wire [23:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; -wire [23:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -reg [4:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine5_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine5_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine5_row = 14'd0; -reg main_litedramcore_bankmachine5_row_opened = 1'd0; -wire main_litedramcore_bankmachine5_row_hit; -reg main_litedramcore_bankmachine5_row_open = 1'd0; -reg main_litedramcore_bankmachine5_row_close = 1'd0; -reg main_litedramcore_bankmachine5_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine5_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine5_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_trccon_count = 3'd0; -wire main_litedramcore_bankmachine5_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_trascon_count = 3'd0; -wire main_litedramcore_bankmachine6_req_valid; -wire main_litedramcore_bankmachine6_req_ready; -wire main_litedramcore_bankmachine6_req_we; -wire [20:0] main_litedramcore_bankmachine6_req_addr; -wire main_litedramcore_bankmachine6_req_lock; -reg main_litedramcore_bankmachine6_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine6_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine6_refresh_req; -reg main_litedramcore_bankmachine6_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine6_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine6_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine6_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine6_cmd_payload_ba; -reg main_litedramcore_bankmachine6_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine6_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; -wire [23:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; -wire [23:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -reg [4:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine6_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine6_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine6_row = 14'd0; -reg main_litedramcore_bankmachine6_row_opened = 1'd0; -wire main_litedramcore_bankmachine6_row_hit; -reg main_litedramcore_bankmachine6_row_open = 1'd0; -reg main_litedramcore_bankmachine6_row_close = 1'd0; -reg main_litedramcore_bankmachine6_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine6_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine6_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_trccon_count = 3'd0; -wire main_litedramcore_bankmachine6_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_trascon_count = 3'd0; -wire main_litedramcore_bankmachine7_req_valid; -wire main_litedramcore_bankmachine7_req_ready; -wire main_litedramcore_bankmachine7_req_we; -wire [20:0] main_litedramcore_bankmachine7_req_addr; -wire main_litedramcore_bankmachine7_req_lock; -reg main_litedramcore_bankmachine7_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine7_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine7_refresh_req; -reg main_litedramcore_bankmachine7_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine7_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine7_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine7_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine7_cmd_payload_ba; -reg main_litedramcore_bankmachine7_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine7_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; -wire [23:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; -wire [23:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -reg [4:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine7_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine7_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine7_row = 14'd0; -reg main_litedramcore_bankmachine7_row_opened = 1'd0; -wire main_litedramcore_bankmachine7_row_hit; -reg main_litedramcore_bankmachine7_row_open = 1'd0; -reg main_litedramcore_bankmachine7_row_close = 1'd0; -reg main_litedramcore_bankmachine7_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine7_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine7_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_trccon_count = 3'd0; -wire main_litedramcore_bankmachine7_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_trascon_count = 3'd0; -wire main_litedramcore_ras_allowed; -wire main_litedramcore_cas_allowed; -wire [1:0] main_litedramcore_rdcmdphase; -wire [1:0] main_litedramcore_wrcmdphase; -reg main_litedramcore_choose_cmd_want_reads = 1'd0; -reg main_litedramcore_choose_cmd_want_writes = 1'd0; -reg main_litedramcore_choose_cmd_want_cmds = 1'd0; -reg main_litedramcore_choose_cmd_want_activates = 1'd0; -wire main_litedramcore_choose_cmd_cmd_valid; -reg main_litedramcore_choose_cmd_cmd_ready = 1'd0; -wire [13:0] main_litedramcore_choose_cmd_cmd_payload_a; -wire [2:0] main_litedramcore_choose_cmd_cmd_payload_ba; -reg main_litedramcore_choose_cmd_cmd_payload_cas = 1'd0; -reg main_litedramcore_choose_cmd_cmd_payload_ras = 1'd0; -reg main_litedramcore_choose_cmd_cmd_payload_we = 1'd0; -wire main_litedramcore_choose_cmd_cmd_payload_is_cmd; -wire main_litedramcore_choose_cmd_cmd_payload_is_read; -wire main_litedramcore_choose_cmd_cmd_payload_is_write; -reg [7:0] main_litedramcore_choose_cmd_valids = 8'd0; -wire [7:0] main_litedramcore_choose_cmd_request; -reg [2:0] main_litedramcore_choose_cmd_grant = 3'd0; -wire main_litedramcore_choose_cmd_ce; -reg main_litedramcore_choose_req_want_reads = 1'd0; -reg main_litedramcore_choose_req_want_writes = 1'd0; -reg main_litedramcore_choose_req_want_cmds = 1'd0; -reg main_litedramcore_choose_req_want_activates = 1'd0; -wire main_litedramcore_choose_req_cmd_valid; -reg main_litedramcore_choose_req_cmd_ready = 1'd0; -wire [13:0] main_litedramcore_choose_req_cmd_payload_a; -wire [2:0] main_litedramcore_choose_req_cmd_payload_ba; -reg main_litedramcore_choose_req_cmd_payload_cas = 1'd0; -reg main_litedramcore_choose_req_cmd_payload_ras = 1'd0; -reg main_litedramcore_choose_req_cmd_payload_we = 1'd0; -wire main_litedramcore_choose_req_cmd_payload_is_cmd; -wire main_litedramcore_choose_req_cmd_payload_is_read; -wire main_litedramcore_choose_req_cmd_payload_is_write; -reg [7:0] main_litedramcore_choose_req_valids = 8'd0; -wire [7:0] main_litedramcore_choose_req_request; -reg [2:0] main_litedramcore_choose_req_grant = 3'd0; -wire main_litedramcore_choose_req_ce; -reg [13:0] main_litedramcore_nop_a = 14'd0; -reg [2:0] main_litedramcore_nop_ba = 3'd0; -reg [1:0] main_litedramcore_steerer_sel0 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel1 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel2 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel3 = 2'd0; -reg main_litedramcore_steerer0 = 1'd1; -reg main_litedramcore_steerer1 = 1'd1; -reg main_litedramcore_steerer2 = 1'd1; -reg main_litedramcore_steerer3 = 1'd1; -reg main_litedramcore_steerer4 = 1'd1; -reg main_litedramcore_steerer5 = 1'd1; -reg main_litedramcore_steerer6 = 1'd1; -reg main_litedramcore_steerer7 = 1'd1; -wire main_litedramcore_trrdcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_trrdcon_ready = 1'd0; -reg main_litedramcore_trrdcon_count = 1'd0; -wire main_litedramcore_tfawcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_tfawcon_ready = 1'd1; -wire [2:0] main_litedramcore_tfawcon_count; -reg [4:0] main_litedramcore_tfawcon_window = 5'd0; -wire main_litedramcore_tccdcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_tccdcon_ready = 1'd0; -reg main_litedramcore_tccdcon_count = 1'd0; -wire main_litedramcore_twtrcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_twtrcon_ready = 1'd0; -reg [2:0] main_litedramcore_twtrcon_count = 3'd0; -wire main_litedramcore_read_available; -wire main_litedramcore_write_available; -reg main_litedramcore_en0 = 1'd0; -wire main_litedramcore_max_time0; -reg [4:0] main_litedramcore_time0 = 5'd0; -reg main_litedramcore_en1 = 1'd0; -wire main_litedramcore_max_time1; -reg [3:0] main_litedramcore_time1 = 4'd0; -wire main_litedramcore_go_to_refresh; -reg main_init_done_storage = 1'd0; -reg main_init_done_re = 1'd0; -reg main_init_error_storage = 1'd0; -reg main_init_error_re = 1'd0; -wire [29:0] main_wb_bus_adr; -wire [31:0] main_wb_bus_dat_w; -wire [31:0] main_wb_bus_dat_r; -wire [3:0] main_wb_bus_sel; -wire main_wb_bus_cyc; -wire main_wb_bus_stb; -wire main_wb_bus_ack; -wire main_wb_bus_we; -wire [2:0] main_wb_bus_cti; -wire [1:0] main_wb_bus_bte; -wire main_wb_bus_err; -wire main_user_enable; -wire main_user_port_cmd_valid; -wire main_user_port_cmd_ready; -wire main_user_port_cmd_payload_we; -wire [23:0] main_user_port_cmd_payload_addr; -wire main_user_port_wdata_valid; -wire main_user_port_wdata_ready; -wire [127:0] main_user_port_wdata_payload_data; -wire [15:0] main_user_port_wdata_payload_we; -wire main_user_port_rdata_valid; -wire main_user_port_rdata_ready; -wire [127:0] main_user_port_rdata_payload_data; -wire builder_reset0; -wire builder_reset1; -wire builder_reset2; -wire builder_reset3; -wire builder_reset4; -wire builder_reset5; -wire builder_reset6; -wire builder_reset7; -wire builder_pll_fb; -reg [1:0] builder_refresher_state = 2'd0; -reg [1:0] builder_refresher_next_state = 2'd0; -reg [3:0] builder_bankmachine0_state = 4'd0; -reg [3:0] builder_bankmachine0_next_state = 4'd0; -reg [3:0] builder_bankmachine1_state = 4'd0; -reg [3:0] builder_bankmachine1_next_state = 4'd0; -reg [3:0] builder_bankmachine2_state = 4'd0; -reg [3:0] builder_bankmachine2_next_state = 4'd0; -reg [3:0] builder_bankmachine3_state = 4'd0; -reg [3:0] builder_bankmachine3_next_state = 4'd0; -reg [3:0] builder_bankmachine4_state = 4'd0; -reg [3:0] builder_bankmachine4_next_state = 4'd0; -reg [3:0] builder_bankmachine5_state = 4'd0; -reg [3:0] builder_bankmachine5_next_state = 4'd0; -reg [3:0] builder_bankmachine6_state = 4'd0; -reg [3:0] builder_bankmachine6_next_state = 4'd0; -reg [3:0] builder_bankmachine7_state = 4'd0; -reg [3:0] builder_bankmachine7_next_state = 4'd0; -reg [3:0] builder_multiplexer_state = 4'd0; -reg [3:0] builder_multiplexer_next_state = 4'd0; -wire builder_roundrobin0_request; -wire builder_roundrobin0_grant; -wire builder_roundrobin0_ce; -wire builder_roundrobin1_request; -wire builder_roundrobin1_grant; -wire builder_roundrobin1_ce; -wire builder_roundrobin2_request; -wire builder_roundrobin2_grant; -wire builder_roundrobin2_ce; -wire builder_roundrobin3_request; -wire builder_roundrobin3_grant; -wire builder_roundrobin3_ce; -wire builder_roundrobin4_request; -wire builder_roundrobin4_grant; -wire builder_roundrobin4_ce; -wire builder_roundrobin5_request; -wire builder_roundrobin5_grant; -wire builder_roundrobin5_ce; -wire builder_roundrobin6_request; -wire builder_roundrobin6_grant; -wire builder_roundrobin6_ce; -wire builder_roundrobin7_request; -wire builder_roundrobin7_grant; -wire builder_roundrobin7_ce; -reg builder_locked0 = 1'd0; -reg builder_locked1 = 1'd0; -reg builder_locked2 = 1'd0; -reg builder_locked3 = 1'd0; -reg builder_locked4 = 1'd0; -reg builder_locked5 = 1'd0; -reg builder_locked6 = 1'd0; -reg builder_locked7 = 1'd0; -reg builder_new_master_wdata_ready0 = 1'd0; -reg builder_new_master_wdata_ready1 = 1'd0; -reg builder_new_master_rdata_valid0 = 1'd0; -reg builder_new_master_rdata_valid1 = 1'd0; -reg builder_new_master_rdata_valid2 = 1'd0; -reg builder_new_master_rdata_valid3 = 1'd0; -reg builder_new_master_rdata_valid4 = 1'd0; -reg builder_new_master_rdata_valid5 = 1'd0; -reg builder_new_master_rdata_valid6 = 1'd0; -reg builder_new_master_rdata_valid7 = 1'd0; -reg builder_new_master_rdata_valid8 = 1'd0; -reg [13:0] builder_litedramcore_adr = 14'd0; -reg builder_litedramcore_we = 1'd0; -reg [31:0] builder_litedramcore_dat_w = 32'd0; -wire [31:0] builder_litedramcore_dat_r; -wire [29:0] builder_litedramcore_wishbone_adr; -wire [31:0] builder_litedramcore_wishbone_dat_w; -reg [31:0] builder_litedramcore_wishbone_dat_r = 32'd0; -wire [3:0] builder_litedramcore_wishbone_sel; -wire builder_litedramcore_wishbone_cyc; -wire builder_litedramcore_wishbone_stb; -reg builder_litedramcore_wishbone_ack = 1'd0; -wire builder_litedramcore_wishbone_we; -wire [2:0] builder_litedramcore_wishbone_cti; -wire [1:0] builder_litedramcore_wishbone_bte; -reg builder_litedramcore_wishbone_err = 1'd0; -wire [13:0] builder_interface0_bank_bus_adr; -wire builder_interface0_bank_bus_we; -wire [31:0] builder_interface0_bank_bus_dat_w; -reg [31:0] builder_interface0_bank_bus_dat_r = 32'd0; -reg builder_csrbank0_init_done0_re = 1'd0; -wire builder_csrbank0_init_done0_r; -reg builder_csrbank0_init_done0_we = 1'd0; -wire builder_csrbank0_init_done0_w; -reg builder_csrbank0_init_error0_re = 1'd0; -wire builder_csrbank0_init_error0_r; -reg builder_csrbank0_init_error0_we = 1'd0; -wire builder_csrbank0_init_error0_w; -wire builder_csrbank0_sel; -wire [13:0] builder_interface1_bank_bus_adr; -wire builder_interface1_bank_bus_we; -wire [31:0] builder_interface1_bank_bus_dat_w; -reg [31:0] builder_interface1_bank_bus_dat_r = 32'd0; -reg builder_csrbank1_rst0_re = 1'd0; -wire builder_csrbank1_rst0_r; -reg builder_csrbank1_rst0_we = 1'd0; -wire builder_csrbank1_rst0_w; -reg builder_csrbank1_half_sys8x_taps0_re = 1'd0; -wire [4:0] builder_csrbank1_half_sys8x_taps0_r; -reg builder_csrbank1_half_sys8x_taps0_we = 1'd0; -wire [4:0] builder_csrbank1_half_sys8x_taps0_w; -reg builder_csrbank1_wlevel_en0_re = 1'd0; -wire builder_csrbank1_wlevel_en0_r; -reg builder_csrbank1_wlevel_en0_we = 1'd0; -wire builder_csrbank1_wlevel_en0_w; -reg builder_csrbank1_dly_sel0_re = 1'd0; -wire [1:0] builder_csrbank1_dly_sel0_r; -reg builder_csrbank1_dly_sel0_we = 1'd0; -wire [1:0] builder_csrbank1_dly_sel0_w; -reg builder_csrbank1_rdphase0_re = 1'd0; -wire [1:0] builder_csrbank1_rdphase0_r; -reg builder_csrbank1_rdphase0_we = 1'd0; -wire [1:0] builder_csrbank1_rdphase0_w; -reg builder_csrbank1_wrphase0_re = 1'd0; -wire [1:0] builder_csrbank1_wrphase0_r; -reg builder_csrbank1_wrphase0_we = 1'd0; -wire [1:0] builder_csrbank1_wrphase0_w; -wire builder_csrbank1_sel; -wire [13:0] builder_interface2_bank_bus_adr; -wire builder_interface2_bank_bus_we; -wire [31:0] builder_interface2_bank_bus_dat_w; -reg [31:0] builder_interface2_bank_bus_dat_r = 32'd0; -reg builder_csrbank2_dfii_control0_re = 1'd0; -wire [3:0] builder_csrbank2_dfii_control0_r; -reg builder_csrbank2_dfii_control0_we = 1'd0; -wire [3:0] builder_csrbank2_dfii_control0_w; -reg builder_csrbank2_dfii_pi0_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi0_command0_r; -reg builder_csrbank2_dfii_pi0_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi0_command0_w; -reg builder_csrbank2_dfii_pi0_address0_re = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi0_address0_r; -reg builder_csrbank2_dfii_pi0_address0_we = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi0_address0_w; -reg builder_csrbank2_dfii_pi0_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi0_baddress0_r; -reg builder_csrbank2_dfii_pi0_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi0_baddress0_w; -reg builder_csrbank2_dfii_pi0_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_wrdata0_r; -reg builder_csrbank2_dfii_pi0_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_wrdata0_w; -reg builder_csrbank2_dfii_pi0_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_rddata_r; -reg builder_csrbank2_dfii_pi0_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_rddata_w; -reg builder_csrbank2_dfii_pi1_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi1_command0_r; -reg builder_csrbank2_dfii_pi1_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi1_command0_w; -reg builder_csrbank2_dfii_pi1_address0_re = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi1_address0_r; -reg builder_csrbank2_dfii_pi1_address0_we = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi1_address0_w; -reg builder_csrbank2_dfii_pi1_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi1_baddress0_r; -reg builder_csrbank2_dfii_pi1_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi1_baddress0_w; -reg builder_csrbank2_dfii_pi1_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_wrdata0_r; -reg builder_csrbank2_dfii_pi1_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_wrdata0_w; -reg builder_csrbank2_dfii_pi1_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_rddata_r; -reg builder_csrbank2_dfii_pi1_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_rddata_w; -reg builder_csrbank2_dfii_pi2_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi2_command0_r; -reg builder_csrbank2_dfii_pi2_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi2_command0_w; -reg builder_csrbank2_dfii_pi2_address0_re = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi2_address0_r; -reg builder_csrbank2_dfii_pi2_address0_we = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi2_address0_w; -reg builder_csrbank2_dfii_pi2_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi2_baddress0_r; -reg builder_csrbank2_dfii_pi2_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi2_baddress0_w; -reg builder_csrbank2_dfii_pi2_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_wrdata0_r; -reg builder_csrbank2_dfii_pi2_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_wrdata0_w; -reg builder_csrbank2_dfii_pi2_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_rddata_r; -reg builder_csrbank2_dfii_pi2_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_rddata_w; -reg builder_csrbank2_dfii_pi3_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi3_command0_r; -reg builder_csrbank2_dfii_pi3_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi3_command0_w; -reg builder_csrbank2_dfii_pi3_address0_re = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi3_address0_r; -reg builder_csrbank2_dfii_pi3_address0_we = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi3_address0_w; -reg builder_csrbank2_dfii_pi3_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi3_baddress0_r; -reg builder_csrbank2_dfii_pi3_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi3_baddress0_w; -reg builder_csrbank2_dfii_pi3_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_wrdata0_r; -reg builder_csrbank2_dfii_pi3_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_wrdata0_w; -reg builder_csrbank2_dfii_pi3_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_rddata_r; -reg builder_csrbank2_dfii_pi3_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_rddata_w; -wire builder_csrbank2_sel; -wire [13:0] builder_csr_interconnect_adr; -wire builder_csr_interconnect_we; -wire [31:0] builder_csr_interconnect_dat_w; -wire [31:0] builder_csr_interconnect_dat_r; -reg [1:0] builder_state = 2'd0; -reg [1:0] builder_next_state = 2'd0; -reg [31:0] builder_litedramcore_dat_w_next_value0 = 32'd0; -reg builder_litedramcore_dat_w_next_value_ce0 = 1'd0; -reg [13:0] builder_litedramcore_adr_next_value1 = 14'd0; -reg builder_litedramcore_adr_next_value_ce1 = 1'd0; -reg builder_litedramcore_we_next_value2 = 1'd0; -reg builder_litedramcore_we_next_value_ce2 = 1'd0; -reg builder_rhs_array_muxed0 = 1'd0; -reg [13:0] builder_rhs_array_muxed1 = 14'd0; -reg [2:0] builder_rhs_array_muxed2 = 3'd0; -reg builder_rhs_array_muxed3 = 1'd0; -reg builder_rhs_array_muxed4 = 1'd0; -reg builder_rhs_array_muxed5 = 1'd0; -reg builder_t_array_muxed0 = 1'd0; -reg builder_t_array_muxed1 = 1'd0; -reg builder_t_array_muxed2 = 1'd0; -reg builder_rhs_array_muxed6 = 1'd0; -reg [13:0] builder_rhs_array_muxed7 = 14'd0; -reg [2:0] builder_rhs_array_muxed8 = 3'd0; -reg builder_rhs_array_muxed9 = 1'd0; -reg builder_rhs_array_muxed10 = 1'd0; -reg builder_rhs_array_muxed11 = 1'd0; -reg builder_t_array_muxed3 = 1'd0; -reg builder_t_array_muxed4 = 1'd0; -reg builder_t_array_muxed5 = 1'd0; -reg [20:0] builder_rhs_array_muxed12 = 21'd0; -reg builder_rhs_array_muxed13 = 1'd0; -reg builder_rhs_array_muxed14 = 1'd0; -reg [20:0] builder_rhs_array_muxed15 = 21'd0; -reg builder_rhs_array_muxed16 = 1'd0; -reg builder_rhs_array_muxed17 = 1'd0; -reg [20:0] builder_rhs_array_muxed18 = 21'd0; -reg builder_rhs_array_muxed19 = 1'd0; -reg builder_rhs_array_muxed20 = 1'd0; -reg [20:0] builder_rhs_array_muxed21 = 21'd0; -reg builder_rhs_array_muxed22 = 1'd0; -reg builder_rhs_array_muxed23 = 1'd0; -reg [20:0] builder_rhs_array_muxed24 = 21'd0; -reg builder_rhs_array_muxed25 = 1'd0; -reg builder_rhs_array_muxed26 = 1'd0; -reg [20:0] builder_rhs_array_muxed27 = 21'd0; -reg builder_rhs_array_muxed28 = 1'd0; -reg builder_rhs_array_muxed29 = 1'd0; -reg [20:0] builder_rhs_array_muxed30 = 21'd0; -reg builder_rhs_array_muxed31 = 1'd0; -reg builder_rhs_array_muxed32 = 1'd0; -reg [20:0] builder_rhs_array_muxed33 = 21'd0; -reg builder_rhs_array_muxed34 = 1'd0; -reg builder_rhs_array_muxed35 = 1'd0; -reg [2:0] builder_array_muxed0 = 3'd0; -reg [13:0] builder_array_muxed1 = 14'd0; -reg builder_array_muxed2 = 1'd0; -reg builder_array_muxed3 = 1'd0; -reg builder_array_muxed4 = 1'd0; -reg builder_array_muxed5 = 1'd0; -reg builder_array_muxed6 = 1'd0; -reg [2:0] builder_array_muxed7 = 3'd0; -reg [13:0] builder_array_muxed8 = 14'd0; -reg builder_array_muxed9 = 1'd0; -reg builder_array_muxed10 = 1'd0; -reg builder_array_muxed11 = 1'd0; -reg builder_array_muxed12 = 1'd0; -reg builder_array_muxed13 = 1'd0; -reg [2:0] builder_array_muxed14 = 3'd0; -reg [13:0] builder_array_muxed15 = 14'd0; -reg builder_array_muxed16 = 1'd0; -reg builder_array_muxed17 = 1'd0; -reg builder_array_muxed18 = 1'd0; -reg builder_array_muxed19 = 1'd0; -reg builder_array_muxed20 = 1'd0; -reg [2:0] builder_array_muxed21 = 3'd0; -reg [13:0] builder_array_muxed22 = 14'd0; -reg builder_array_muxed23 = 1'd0; -reg builder_array_muxed24 = 1'd0; -reg builder_array_muxed25 = 1'd0; -reg builder_array_muxed26 = 1'd0; -reg builder_array_muxed27 = 1'd0; -wire builder_xilinxasyncresetsynchronizerimpl0; -wire builder_xilinxasyncresetsynchronizerimpl0_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl1; -wire builder_xilinxasyncresetsynchronizerimpl1_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl2; -wire builder_xilinxasyncresetsynchronizerimpl2_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl2_expr; -wire builder_xilinxasyncresetsynchronizerimpl3; -wire builder_xilinxasyncresetsynchronizerimpl3_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl3_expr; +wire reset; +reg power_down = 1'd0; +wire locked; +wire clkin; +wire clkout0; +wire clkout_buf0; +wire clkout1; +wire clkout_buf1; +wire clkout2; +wire clkout_buf2; +wire clkout3; +wire clkout_buf3; +reg [3:0] reset_counter = 4'd15; +reg ic_reset = 1'd1; +reg a7ddrphy_rst_storage = 1'd0; +reg a7ddrphy_rst_re = 1'd0; +reg [1:0] a7ddrphy_dly_sel_storage = 2'd0; +reg a7ddrphy_dly_sel_re = 1'd0; +reg [4:0] a7ddrphy_half_sys8x_taps_storage = 5'd8; +reg a7ddrphy_half_sys8x_taps_re = 1'd0; +reg a7ddrphy_wlevel_en_storage = 1'd0; +reg a7ddrphy_wlevel_en_re = 1'd0; +reg a7ddrphy_wlevel_strobe_re = 1'd0; +wire a7ddrphy_wlevel_strobe_r; +reg a7ddrphy_wlevel_strobe_we = 1'd0; +reg a7ddrphy_wlevel_strobe_w = 1'd0; +reg a7ddrphy_rdly_dq_rst_re = 1'd0; +wire a7ddrphy_rdly_dq_rst_r; +reg a7ddrphy_rdly_dq_rst_we = 1'd0; +reg a7ddrphy_rdly_dq_rst_w = 1'd0; +reg a7ddrphy_rdly_dq_inc_re = 1'd0; +wire a7ddrphy_rdly_dq_inc_r; +reg a7ddrphy_rdly_dq_inc_we = 1'd0; +reg a7ddrphy_rdly_dq_inc_w = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_rst_re = 1'd0; +wire a7ddrphy_rdly_dq_bitslip_rst_r; +reg a7ddrphy_rdly_dq_bitslip_rst_we = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_re = 1'd0; +wire a7ddrphy_rdly_dq_bitslip_r; +reg a7ddrphy_rdly_dq_bitslip_we = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_w = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_rst_re = 1'd0; +wire a7ddrphy_wdly_dq_bitslip_rst_r; +reg a7ddrphy_wdly_dq_bitslip_rst_we = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_rst_w = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_re = 1'd0; +wire a7ddrphy_wdly_dq_bitslip_r; +reg a7ddrphy_wdly_dq_bitslip_we = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_w = 1'd0; +reg [1:0] a7ddrphy_rdphase_storage = 2'd2; +reg a7ddrphy_rdphase_re = 1'd0; +reg [1:0] a7ddrphy_wrphase_storage = 2'd3; +reg a7ddrphy_wrphase_re = 1'd0; +wire [13:0] a7ddrphy_dfi_p0_address; +wire [2:0] a7ddrphy_dfi_p0_bank; +wire a7ddrphy_dfi_p0_cas_n; +wire a7ddrphy_dfi_p0_cs_n; +wire a7ddrphy_dfi_p0_ras_n; +wire a7ddrphy_dfi_p0_we_n; +wire a7ddrphy_dfi_p0_cke; +wire a7ddrphy_dfi_p0_odt; +wire a7ddrphy_dfi_p0_reset_n; +wire a7ddrphy_dfi_p0_act_n; +wire [31:0] a7ddrphy_dfi_p0_wrdata; +wire a7ddrphy_dfi_p0_wrdata_en; +wire [3:0] a7ddrphy_dfi_p0_wrdata_mask; +wire a7ddrphy_dfi_p0_rddata_en; +reg [31:0] a7ddrphy_dfi_p0_rddata = 32'd0; +wire a7ddrphy_dfi_p0_rddata_valid; +wire [13:0] a7ddrphy_dfi_p1_address; +wire [2:0] a7ddrphy_dfi_p1_bank; +wire a7ddrphy_dfi_p1_cas_n; +wire a7ddrphy_dfi_p1_cs_n; +wire a7ddrphy_dfi_p1_ras_n; +wire a7ddrphy_dfi_p1_we_n; +wire a7ddrphy_dfi_p1_cke; +wire a7ddrphy_dfi_p1_odt; +wire a7ddrphy_dfi_p1_reset_n; +wire a7ddrphy_dfi_p1_act_n; +wire [31:0] a7ddrphy_dfi_p1_wrdata; +wire a7ddrphy_dfi_p1_wrdata_en; +wire [3:0] a7ddrphy_dfi_p1_wrdata_mask; +wire a7ddrphy_dfi_p1_rddata_en; +reg [31:0] a7ddrphy_dfi_p1_rddata = 32'd0; +wire a7ddrphy_dfi_p1_rddata_valid; +wire [13:0] a7ddrphy_dfi_p2_address; +wire [2:0] a7ddrphy_dfi_p2_bank; +wire a7ddrphy_dfi_p2_cas_n; +wire a7ddrphy_dfi_p2_cs_n; +wire a7ddrphy_dfi_p2_ras_n; +wire a7ddrphy_dfi_p2_we_n; +wire a7ddrphy_dfi_p2_cke; +wire a7ddrphy_dfi_p2_odt; +wire a7ddrphy_dfi_p2_reset_n; +wire a7ddrphy_dfi_p2_act_n; +wire [31:0] a7ddrphy_dfi_p2_wrdata; +wire a7ddrphy_dfi_p2_wrdata_en; +wire [3:0] a7ddrphy_dfi_p2_wrdata_mask; +wire a7ddrphy_dfi_p2_rddata_en; +reg [31:0] a7ddrphy_dfi_p2_rddata = 32'd0; +wire a7ddrphy_dfi_p2_rddata_valid; +wire [13:0] a7ddrphy_dfi_p3_address; +wire [2:0] a7ddrphy_dfi_p3_bank; +wire a7ddrphy_dfi_p3_cas_n; +wire a7ddrphy_dfi_p3_cs_n; +wire a7ddrphy_dfi_p3_ras_n; +wire a7ddrphy_dfi_p3_we_n; +wire a7ddrphy_dfi_p3_cke; +wire a7ddrphy_dfi_p3_odt; +wire a7ddrphy_dfi_p3_reset_n; +wire a7ddrphy_dfi_p3_act_n; +wire [31:0] a7ddrphy_dfi_p3_wrdata; +wire a7ddrphy_dfi_p3_wrdata_en; +wire [3:0] a7ddrphy_dfi_p3_wrdata_mask; +wire a7ddrphy_dfi_p3_rddata_en; +reg [31:0] a7ddrphy_dfi_p3_rddata = 32'd0; +wire a7ddrphy_dfi_p3_rddata_valid; +wire a7ddrphy_sd_clk_se_nodelay; +wire [2:0] a7ddrphy_pads_ba; +reg a7ddrphy_dqs_oe = 1'd0; +wire a7ddrphy_dqs_preamble; +wire a7ddrphy_dqs_postamble; +wire a7ddrphy_dqs_oe_delay_tappeddelayline; +reg a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; +reg a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; +reg a7ddrphy_dqspattern0 = 1'd0; +reg a7ddrphy_dqspattern1 = 1'd0; +reg [7:0] a7ddrphy_dqspattern_o0 = 8'd0; +reg [7:0] a7ddrphy_dqspattern_o1 = 8'd0; +wire a7ddrphy_dqs_o_no_delay0; +wire a7ddrphy_dqs_t0; +reg [7:0] a7ddrphy_bitslip00 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r0 = 16'd0; +wire a7ddrphy0; +wire a7ddrphy_dqs_o_no_delay1; +wire a7ddrphy_dqs_t1; +reg [7:0] a7ddrphy_bitslip10 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r0 = 16'd0; +wire a7ddrphy1; +reg [7:0] a7ddrphy_bitslip01 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r1 = 16'd0; +reg [7:0] a7ddrphy_bitslip11 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r1 = 16'd0; +wire a7ddrphy_dq_oe; +wire a7ddrphy_dq_oe_delay_tappeddelayline; +reg a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; +reg a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; +wire a7ddrphy_dq_o_nodelay0; +wire a7ddrphy_dq_i_nodelay0; +wire a7ddrphy_dq_i_delayed0; +wire a7ddrphy_dq_t0; +reg [7:0] a7ddrphy_bitslip02 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value2 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r2 = 16'd0; +wire [7:0] a7ddrphy_bitslip03; +reg [7:0] a7ddrphy_bitslip04 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value3 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r3 = 16'd0; +wire a7ddrphy_dq_o_nodelay1; +wire a7ddrphy_dq_i_nodelay1; +wire a7ddrphy_dq_i_delayed1; +wire a7ddrphy_dq_t1; +reg [7:0] a7ddrphy_bitslip12 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value2 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r2 = 16'd0; +wire [7:0] a7ddrphy_bitslip13; +reg [7:0] a7ddrphy_bitslip14 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value3 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r3 = 16'd0; +wire a7ddrphy_dq_o_nodelay2; +wire a7ddrphy_dq_i_nodelay2; +wire a7ddrphy_dq_i_delayed2; +wire a7ddrphy_dq_t2; +reg [7:0] a7ddrphy_bitslip20 = 8'd0; +reg [2:0] a7ddrphy_bitslip2_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip2_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip21; +reg [7:0] a7ddrphy_bitslip22 = 8'd0; +reg [2:0] a7ddrphy_bitslip2_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip2_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay3; +wire a7ddrphy_dq_i_nodelay3; +wire a7ddrphy_dq_i_delayed3; +wire a7ddrphy_dq_t3; +reg [7:0] a7ddrphy_bitslip30 = 8'd0; +reg [2:0] a7ddrphy_bitslip3_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip3_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip31; +reg [7:0] a7ddrphy_bitslip32 = 8'd0; +reg [2:0] a7ddrphy_bitslip3_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip3_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay4; +wire a7ddrphy_dq_i_nodelay4; +wire a7ddrphy_dq_i_delayed4; +wire a7ddrphy_dq_t4; +reg [7:0] a7ddrphy_bitslip40 = 8'd0; +reg [2:0] a7ddrphy_bitslip4_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip4_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip41; +reg [7:0] a7ddrphy_bitslip42 = 8'd0; +reg [2:0] a7ddrphy_bitslip4_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip4_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay5; +wire a7ddrphy_dq_i_nodelay5; +wire a7ddrphy_dq_i_delayed5; +wire a7ddrphy_dq_t5; +reg [7:0] a7ddrphy_bitslip50 = 8'd0; +reg [2:0] a7ddrphy_bitslip5_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip5_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip51; +reg [7:0] a7ddrphy_bitslip52 = 8'd0; +reg [2:0] a7ddrphy_bitslip5_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip5_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay6; +wire a7ddrphy_dq_i_nodelay6; +wire a7ddrphy_dq_i_delayed6; +wire a7ddrphy_dq_t6; +reg [7:0] a7ddrphy_bitslip60 = 8'd0; +reg [2:0] a7ddrphy_bitslip6_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip6_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip61; +reg [7:0] a7ddrphy_bitslip62 = 8'd0; +reg [2:0] a7ddrphy_bitslip6_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip6_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay7; +wire a7ddrphy_dq_i_nodelay7; +wire a7ddrphy_dq_i_delayed7; +wire a7ddrphy_dq_t7; +reg [7:0] a7ddrphy_bitslip70 = 8'd0; +reg [2:0] a7ddrphy_bitslip7_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip7_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip71; +reg [7:0] a7ddrphy_bitslip72 = 8'd0; +reg [2:0] a7ddrphy_bitslip7_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip7_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay8; +wire a7ddrphy_dq_i_nodelay8; +wire a7ddrphy_dq_i_delayed8; +wire a7ddrphy_dq_t8; +reg [7:0] a7ddrphy_bitslip80 = 8'd0; +reg [2:0] a7ddrphy_bitslip8_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip8_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip81; +reg [7:0] a7ddrphy_bitslip82 = 8'd0; +reg [2:0] a7ddrphy_bitslip8_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip8_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay9; +wire a7ddrphy_dq_i_nodelay9; +wire a7ddrphy_dq_i_delayed9; +wire a7ddrphy_dq_t9; +reg [7:0] a7ddrphy_bitslip90 = 8'd0; +reg [2:0] a7ddrphy_bitslip9_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip9_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip91; +reg [7:0] a7ddrphy_bitslip92 = 8'd0; +reg [2:0] a7ddrphy_bitslip9_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip9_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay10; +wire a7ddrphy_dq_i_nodelay10; +wire a7ddrphy_dq_i_delayed10; +wire a7ddrphy_dq_t10; +reg [7:0] a7ddrphy_bitslip100 = 8'd0; +reg [2:0] a7ddrphy_bitslip10_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip10_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip101; +reg [7:0] a7ddrphy_bitslip102 = 8'd0; +reg [2:0] a7ddrphy_bitslip10_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip10_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay11; +wire a7ddrphy_dq_i_nodelay11; +wire a7ddrphy_dq_i_delayed11; +wire a7ddrphy_dq_t11; +reg [7:0] a7ddrphy_bitslip110 = 8'd0; +reg [2:0] a7ddrphy_bitslip11_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip11_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip111; +reg [7:0] a7ddrphy_bitslip112 = 8'd0; +reg [2:0] a7ddrphy_bitslip11_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip11_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay12; +wire a7ddrphy_dq_i_nodelay12; +wire a7ddrphy_dq_i_delayed12; +wire a7ddrphy_dq_t12; +reg [7:0] a7ddrphy_bitslip120 = 8'd0; +reg [2:0] a7ddrphy_bitslip12_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip12_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip121; +reg [7:0] a7ddrphy_bitslip122 = 8'd0; +reg [2:0] a7ddrphy_bitslip12_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip12_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay13; +wire a7ddrphy_dq_i_nodelay13; +wire a7ddrphy_dq_i_delayed13; +wire a7ddrphy_dq_t13; +reg [7:0] a7ddrphy_bitslip130 = 8'd0; +reg [2:0] a7ddrphy_bitslip13_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip13_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip131; +reg [7:0] a7ddrphy_bitslip132 = 8'd0; +reg [2:0] a7ddrphy_bitslip13_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip13_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay14; +wire a7ddrphy_dq_i_nodelay14; +wire a7ddrphy_dq_i_delayed14; +wire a7ddrphy_dq_t14; +reg [7:0] a7ddrphy_bitslip140 = 8'd0; +reg [2:0] a7ddrphy_bitslip14_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip14_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip141; +reg [7:0] a7ddrphy_bitslip142 = 8'd0; +reg [2:0] a7ddrphy_bitslip14_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip14_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay15; +wire a7ddrphy_dq_i_nodelay15; +wire a7ddrphy_dq_i_delayed15; +wire a7ddrphy_dq_t15; +reg [7:0] a7ddrphy_bitslip150 = 8'd0; +reg [2:0] a7ddrphy_bitslip15_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip15_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip151; +reg [7:0] a7ddrphy_bitslip152 = 8'd0; +reg [2:0] a7ddrphy_bitslip15_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip15_r1 = 16'd0; +reg a7ddrphy_rddata_en_tappeddelayline0 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline1 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline2 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline3 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline4 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline5 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline6 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline7 = 1'd0; +reg a7ddrphy_wrdata_en_tappeddelayline0 = 1'd0; +reg a7ddrphy_wrdata_en_tappeddelayline1 = 1'd0; +reg a7ddrphy_wrdata_en_tappeddelayline2 = 1'd0; +wire [13:0] litedramcore_slave_p0_address; +wire [2:0] litedramcore_slave_p0_bank; +wire litedramcore_slave_p0_cas_n; +wire litedramcore_slave_p0_cs_n; +wire litedramcore_slave_p0_ras_n; +wire litedramcore_slave_p0_we_n; +wire litedramcore_slave_p0_cke; +wire litedramcore_slave_p0_odt; +wire litedramcore_slave_p0_reset_n; +wire litedramcore_slave_p0_act_n; +wire [31:0] litedramcore_slave_p0_wrdata; +wire litedramcore_slave_p0_wrdata_en; +wire [3:0] litedramcore_slave_p0_wrdata_mask; +wire litedramcore_slave_p0_rddata_en; +reg [31:0] litedramcore_slave_p0_rddata = 32'd0; +reg litedramcore_slave_p0_rddata_valid = 1'd0; +wire [13:0] litedramcore_slave_p1_address; +wire [2:0] litedramcore_slave_p1_bank; +wire litedramcore_slave_p1_cas_n; +wire litedramcore_slave_p1_cs_n; +wire litedramcore_slave_p1_ras_n; +wire litedramcore_slave_p1_we_n; +wire litedramcore_slave_p1_cke; +wire litedramcore_slave_p1_odt; +wire litedramcore_slave_p1_reset_n; +wire litedramcore_slave_p1_act_n; +wire [31:0] litedramcore_slave_p1_wrdata; +wire litedramcore_slave_p1_wrdata_en; +wire [3:0] litedramcore_slave_p1_wrdata_mask; +wire litedramcore_slave_p1_rddata_en; +reg [31:0] litedramcore_slave_p1_rddata = 32'd0; +reg litedramcore_slave_p1_rddata_valid = 1'd0; +wire [13:0] litedramcore_slave_p2_address; +wire [2:0] litedramcore_slave_p2_bank; +wire litedramcore_slave_p2_cas_n; +wire litedramcore_slave_p2_cs_n; +wire litedramcore_slave_p2_ras_n; +wire litedramcore_slave_p2_we_n; +wire litedramcore_slave_p2_cke; +wire litedramcore_slave_p2_odt; +wire litedramcore_slave_p2_reset_n; +wire litedramcore_slave_p2_act_n; +wire [31:0] litedramcore_slave_p2_wrdata; +wire litedramcore_slave_p2_wrdata_en; +wire [3:0] litedramcore_slave_p2_wrdata_mask; +wire litedramcore_slave_p2_rddata_en; +reg [31:0] litedramcore_slave_p2_rddata = 32'd0; +reg litedramcore_slave_p2_rddata_valid = 1'd0; +wire [13:0] litedramcore_slave_p3_address; +wire [2:0] litedramcore_slave_p3_bank; +wire litedramcore_slave_p3_cas_n; +wire litedramcore_slave_p3_cs_n; +wire litedramcore_slave_p3_ras_n; +wire litedramcore_slave_p3_we_n; +wire litedramcore_slave_p3_cke; +wire litedramcore_slave_p3_odt; +wire litedramcore_slave_p3_reset_n; +wire litedramcore_slave_p3_act_n; +wire [31:0] litedramcore_slave_p3_wrdata; +wire litedramcore_slave_p3_wrdata_en; +wire [3:0] litedramcore_slave_p3_wrdata_mask; +wire litedramcore_slave_p3_rddata_en; +reg [31:0] litedramcore_slave_p3_rddata = 32'd0; +reg litedramcore_slave_p3_rddata_valid = 1'd0; +reg [13:0] litedramcore_master_p0_address = 14'd0; +reg [2:0] litedramcore_master_p0_bank = 3'd0; +reg litedramcore_master_p0_cas_n = 1'd1; +reg litedramcore_master_p0_cs_n = 1'd1; +reg litedramcore_master_p0_ras_n = 1'd1; +reg litedramcore_master_p0_we_n = 1'd1; +reg litedramcore_master_p0_cke = 1'd0; +reg litedramcore_master_p0_odt = 1'd0; +reg litedramcore_master_p0_reset_n = 1'd0; +reg litedramcore_master_p0_act_n = 1'd1; +reg [31:0] litedramcore_master_p0_wrdata = 32'd0; +reg litedramcore_master_p0_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p0_wrdata_mask = 4'd0; +reg litedramcore_master_p0_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p0_rddata; +wire litedramcore_master_p0_rddata_valid; +reg [13:0] litedramcore_master_p1_address = 14'd0; +reg [2:0] litedramcore_master_p1_bank = 3'd0; +reg litedramcore_master_p1_cas_n = 1'd1; +reg litedramcore_master_p1_cs_n = 1'd1; +reg litedramcore_master_p1_ras_n = 1'd1; +reg litedramcore_master_p1_we_n = 1'd1; +reg litedramcore_master_p1_cke = 1'd0; +reg litedramcore_master_p1_odt = 1'd0; +reg litedramcore_master_p1_reset_n = 1'd0; +reg litedramcore_master_p1_act_n = 1'd1; +reg [31:0] litedramcore_master_p1_wrdata = 32'd0; +reg litedramcore_master_p1_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p1_wrdata_mask = 4'd0; +reg litedramcore_master_p1_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p1_rddata; +wire litedramcore_master_p1_rddata_valid; +reg [13:0] litedramcore_master_p2_address = 14'd0; +reg [2:0] litedramcore_master_p2_bank = 3'd0; +reg litedramcore_master_p2_cas_n = 1'd1; +reg litedramcore_master_p2_cs_n = 1'd1; +reg litedramcore_master_p2_ras_n = 1'd1; +reg litedramcore_master_p2_we_n = 1'd1; +reg litedramcore_master_p2_cke = 1'd0; +reg litedramcore_master_p2_odt = 1'd0; +reg litedramcore_master_p2_reset_n = 1'd0; +reg litedramcore_master_p2_act_n = 1'd1; +reg [31:0] litedramcore_master_p2_wrdata = 32'd0; +reg litedramcore_master_p2_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p2_wrdata_mask = 4'd0; +reg litedramcore_master_p2_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p2_rddata; +wire litedramcore_master_p2_rddata_valid; +reg [13:0] litedramcore_master_p3_address = 14'd0; +reg [2:0] litedramcore_master_p3_bank = 3'd0; +reg litedramcore_master_p3_cas_n = 1'd1; +reg litedramcore_master_p3_cs_n = 1'd1; +reg litedramcore_master_p3_ras_n = 1'd1; +reg litedramcore_master_p3_we_n = 1'd1; +reg litedramcore_master_p3_cke = 1'd0; +reg litedramcore_master_p3_odt = 1'd0; +reg litedramcore_master_p3_reset_n = 1'd0; +reg litedramcore_master_p3_act_n = 1'd1; +reg [31:0] litedramcore_master_p3_wrdata = 32'd0; +reg litedramcore_master_p3_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p3_wrdata_mask = 4'd0; +reg litedramcore_master_p3_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p3_rddata; +wire litedramcore_master_p3_rddata_valid; +wire [13:0] litedramcore_csr_dfi_p0_address; +wire [2:0] litedramcore_csr_dfi_p0_bank; +reg litedramcore_csr_dfi_p0_cas_n = 1'd1; +reg litedramcore_csr_dfi_p0_cs_n = 1'd1; +reg litedramcore_csr_dfi_p0_ras_n = 1'd1; +reg litedramcore_csr_dfi_p0_we_n = 1'd1; +wire litedramcore_csr_dfi_p0_cke; +wire litedramcore_csr_dfi_p0_odt; +wire litedramcore_csr_dfi_p0_reset_n; +reg litedramcore_csr_dfi_p0_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p0_wrdata; +wire litedramcore_csr_dfi_p0_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p0_wrdata_mask; +wire litedramcore_csr_dfi_p0_rddata_en; +reg [31:0] litedramcore_csr_dfi_p0_rddata = 32'd0; +reg litedramcore_csr_dfi_p0_rddata_valid = 1'd0; +wire [13:0] litedramcore_csr_dfi_p1_address; +wire [2:0] litedramcore_csr_dfi_p1_bank; +reg litedramcore_csr_dfi_p1_cas_n = 1'd1; +reg litedramcore_csr_dfi_p1_cs_n = 1'd1; +reg litedramcore_csr_dfi_p1_ras_n = 1'd1; +reg litedramcore_csr_dfi_p1_we_n = 1'd1; +wire litedramcore_csr_dfi_p1_cke; +wire litedramcore_csr_dfi_p1_odt; +wire litedramcore_csr_dfi_p1_reset_n; +reg litedramcore_csr_dfi_p1_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p1_wrdata; +wire litedramcore_csr_dfi_p1_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p1_wrdata_mask; +wire litedramcore_csr_dfi_p1_rddata_en; +reg [31:0] litedramcore_csr_dfi_p1_rddata = 32'd0; +reg litedramcore_csr_dfi_p1_rddata_valid = 1'd0; +wire [13:0] litedramcore_csr_dfi_p2_address; +wire [2:0] litedramcore_csr_dfi_p2_bank; +reg litedramcore_csr_dfi_p2_cas_n = 1'd1; +reg litedramcore_csr_dfi_p2_cs_n = 1'd1; +reg litedramcore_csr_dfi_p2_ras_n = 1'd1; +reg litedramcore_csr_dfi_p2_we_n = 1'd1; +wire litedramcore_csr_dfi_p2_cke; +wire litedramcore_csr_dfi_p2_odt; +wire litedramcore_csr_dfi_p2_reset_n; +reg litedramcore_csr_dfi_p2_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p2_wrdata; +wire litedramcore_csr_dfi_p2_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p2_wrdata_mask; +wire litedramcore_csr_dfi_p2_rddata_en; +reg [31:0] litedramcore_csr_dfi_p2_rddata = 32'd0; +reg litedramcore_csr_dfi_p2_rddata_valid = 1'd0; +wire [13:0] litedramcore_csr_dfi_p3_address; +wire [2:0] litedramcore_csr_dfi_p3_bank; +reg litedramcore_csr_dfi_p3_cas_n = 1'd1; +reg litedramcore_csr_dfi_p3_cs_n = 1'd1; +reg litedramcore_csr_dfi_p3_ras_n = 1'd1; +reg litedramcore_csr_dfi_p3_we_n = 1'd1; +wire litedramcore_csr_dfi_p3_cke; +wire litedramcore_csr_dfi_p3_odt; +wire litedramcore_csr_dfi_p3_reset_n; +reg litedramcore_csr_dfi_p3_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p3_wrdata; +wire litedramcore_csr_dfi_p3_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p3_wrdata_mask; +wire litedramcore_csr_dfi_p3_rddata_en; +reg [31:0] litedramcore_csr_dfi_p3_rddata = 32'd0; +reg litedramcore_csr_dfi_p3_rddata_valid = 1'd0; +reg [13:0] litedramcore_ext_dfi_p0_address = 14'd0; +reg [2:0] litedramcore_ext_dfi_p0_bank = 3'd0; +reg litedramcore_ext_dfi_p0_cas_n = 1'd1; +reg litedramcore_ext_dfi_p0_cs_n = 1'd1; +reg litedramcore_ext_dfi_p0_ras_n = 1'd1; +reg litedramcore_ext_dfi_p0_we_n = 1'd1; +reg litedramcore_ext_dfi_p0_cke = 1'd0; +reg litedramcore_ext_dfi_p0_odt = 1'd0; +reg litedramcore_ext_dfi_p0_reset_n = 1'd0; +reg litedramcore_ext_dfi_p0_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p0_wrdata = 32'd0; +reg litedramcore_ext_dfi_p0_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p0_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p0_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p0_rddata = 32'd0; +reg litedramcore_ext_dfi_p0_rddata_valid = 1'd0; +reg [13:0] litedramcore_ext_dfi_p1_address = 14'd0; +reg [2:0] litedramcore_ext_dfi_p1_bank = 3'd0; +reg litedramcore_ext_dfi_p1_cas_n = 1'd1; +reg litedramcore_ext_dfi_p1_cs_n = 1'd1; +reg litedramcore_ext_dfi_p1_ras_n = 1'd1; +reg litedramcore_ext_dfi_p1_we_n = 1'd1; +reg litedramcore_ext_dfi_p1_cke = 1'd0; +reg litedramcore_ext_dfi_p1_odt = 1'd0; +reg litedramcore_ext_dfi_p1_reset_n = 1'd0; +reg litedramcore_ext_dfi_p1_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p1_wrdata = 32'd0; +reg litedramcore_ext_dfi_p1_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p1_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p1_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p1_rddata = 32'd0; +reg litedramcore_ext_dfi_p1_rddata_valid = 1'd0; +reg [13:0] litedramcore_ext_dfi_p2_address = 14'd0; +reg [2:0] litedramcore_ext_dfi_p2_bank = 3'd0; +reg litedramcore_ext_dfi_p2_cas_n = 1'd1; +reg litedramcore_ext_dfi_p2_cs_n = 1'd1; +reg litedramcore_ext_dfi_p2_ras_n = 1'd1; +reg litedramcore_ext_dfi_p2_we_n = 1'd1; +reg litedramcore_ext_dfi_p2_cke = 1'd0; +reg litedramcore_ext_dfi_p2_odt = 1'd0; +reg litedramcore_ext_dfi_p2_reset_n = 1'd0; +reg litedramcore_ext_dfi_p2_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p2_wrdata = 32'd0; +reg litedramcore_ext_dfi_p2_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p2_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p2_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p2_rddata = 32'd0; +reg litedramcore_ext_dfi_p2_rddata_valid = 1'd0; +reg [13:0] litedramcore_ext_dfi_p3_address = 14'd0; +reg [2:0] litedramcore_ext_dfi_p3_bank = 3'd0; +reg litedramcore_ext_dfi_p3_cas_n = 1'd1; +reg litedramcore_ext_dfi_p3_cs_n = 1'd1; +reg litedramcore_ext_dfi_p3_ras_n = 1'd1; +reg litedramcore_ext_dfi_p3_we_n = 1'd1; +reg litedramcore_ext_dfi_p3_cke = 1'd0; +reg litedramcore_ext_dfi_p3_odt = 1'd0; +reg litedramcore_ext_dfi_p3_reset_n = 1'd0; +reg litedramcore_ext_dfi_p3_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p3_wrdata = 32'd0; +reg litedramcore_ext_dfi_p3_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p3_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p3_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p3_rddata = 32'd0; +reg litedramcore_ext_dfi_p3_rddata_valid = 1'd0; +reg litedramcore_ext_dfi_sel = 1'd0; +wire litedramcore_sel; +wire litedramcore_cke; +wire litedramcore_odt; +wire litedramcore_reset_n; +reg [3:0] litedramcore_storage = 4'd1; +reg litedramcore_re = 1'd0; +wire litedramcore_phaseinjector0_csrfield_cs; +wire litedramcore_phaseinjector0_csrfield_we; +wire litedramcore_phaseinjector0_csrfield_cas; +wire litedramcore_phaseinjector0_csrfield_ras; +wire litedramcore_phaseinjector0_csrfield_wren; +wire litedramcore_phaseinjector0_csrfield_rden; +reg [5:0] litedramcore_phaseinjector0_command_storage = 6'd0; +reg litedramcore_phaseinjector0_command_re = 1'd0; +reg litedramcore_phaseinjector0_command_issue_re = 1'd0; +wire litedramcore_phaseinjector0_command_issue_r; +reg litedramcore_phaseinjector0_command_issue_we = 1'd0; +reg litedramcore_phaseinjector0_command_issue_w = 1'd0; +reg [13:0] litedramcore_phaseinjector0_address_storage = 14'd0; +reg litedramcore_phaseinjector0_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector0_baddress_storage = 3'd0; +reg litedramcore_phaseinjector0_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector0_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector0_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector0_rddata_status = 32'd0; +wire litedramcore_phaseinjector0_rddata_we; +reg litedramcore_phaseinjector0_rddata_re = 1'd0; +wire litedramcore_phaseinjector1_csrfield_cs; +wire litedramcore_phaseinjector1_csrfield_we; +wire litedramcore_phaseinjector1_csrfield_cas; +wire litedramcore_phaseinjector1_csrfield_ras; +wire litedramcore_phaseinjector1_csrfield_wren; +wire litedramcore_phaseinjector1_csrfield_rden; +reg [5:0] litedramcore_phaseinjector1_command_storage = 6'd0; +reg litedramcore_phaseinjector1_command_re = 1'd0; +reg litedramcore_phaseinjector1_command_issue_re = 1'd0; +wire litedramcore_phaseinjector1_command_issue_r; +reg litedramcore_phaseinjector1_command_issue_we = 1'd0; +reg litedramcore_phaseinjector1_command_issue_w = 1'd0; +reg [13:0] litedramcore_phaseinjector1_address_storage = 14'd0; +reg litedramcore_phaseinjector1_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector1_baddress_storage = 3'd0; +reg litedramcore_phaseinjector1_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector1_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector1_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector1_rddata_status = 32'd0; +wire litedramcore_phaseinjector1_rddata_we; +reg litedramcore_phaseinjector1_rddata_re = 1'd0; +wire litedramcore_phaseinjector2_csrfield_cs; +wire litedramcore_phaseinjector2_csrfield_we; +wire litedramcore_phaseinjector2_csrfield_cas; +wire litedramcore_phaseinjector2_csrfield_ras; +wire litedramcore_phaseinjector2_csrfield_wren; +wire litedramcore_phaseinjector2_csrfield_rden; +reg [5:0] litedramcore_phaseinjector2_command_storage = 6'd0; +reg litedramcore_phaseinjector2_command_re = 1'd0; +reg litedramcore_phaseinjector2_command_issue_re = 1'd0; +wire litedramcore_phaseinjector2_command_issue_r; +reg litedramcore_phaseinjector2_command_issue_we = 1'd0; +reg litedramcore_phaseinjector2_command_issue_w = 1'd0; +reg [13:0] litedramcore_phaseinjector2_address_storage = 14'd0; +reg litedramcore_phaseinjector2_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector2_baddress_storage = 3'd0; +reg litedramcore_phaseinjector2_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector2_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector2_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector2_rddata_status = 32'd0; +wire litedramcore_phaseinjector2_rddata_we; +reg litedramcore_phaseinjector2_rddata_re = 1'd0; +wire litedramcore_phaseinjector3_csrfield_cs; +wire litedramcore_phaseinjector3_csrfield_we; +wire litedramcore_phaseinjector3_csrfield_cas; +wire litedramcore_phaseinjector3_csrfield_ras; +wire litedramcore_phaseinjector3_csrfield_wren; +wire litedramcore_phaseinjector3_csrfield_rden; +reg [5:0] litedramcore_phaseinjector3_command_storage = 6'd0; +reg litedramcore_phaseinjector3_command_re = 1'd0; +reg litedramcore_phaseinjector3_command_issue_re = 1'd0; +wire litedramcore_phaseinjector3_command_issue_r; +reg litedramcore_phaseinjector3_command_issue_we = 1'd0; +reg litedramcore_phaseinjector3_command_issue_w = 1'd0; +reg [13:0] litedramcore_phaseinjector3_address_storage = 14'd0; +reg litedramcore_phaseinjector3_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector3_baddress_storage = 3'd0; +reg litedramcore_phaseinjector3_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector3_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector3_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector3_rddata_status = 32'd0; +wire litedramcore_phaseinjector3_rddata_we; +reg litedramcore_phaseinjector3_rddata_re = 1'd0; +wire litedramcore_interface_bank0_valid; +wire litedramcore_interface_bank0_ready; +wire litedramcore_interface_bank0_we; +wire [20:0] litedramcore_interface_bank0_addr; +wire litedramcore_interface_bank0_lock; +wire litedramcore_interface_bank0_wdata_ready; +wire litedramcore_interface_bank0_rdata_valid; +wire litedramcore_interface_bank1_valid; +wire litedramcore_interface_bank1_ready; +wire litedramcore_interface_bank1_we; +wire [20:0] litedramcore_interface_bank1_addr; +wire litedramcore_interface_bank1_lock; +wire litedramcore_interface_bank1_wdata_ready; +wire litedramcore_interface_bank1_rdata_valid; +wire litedramcore_interface_bank2_valid; +wire litedramcore_interface_bank2_ready; +wire litedramcore_interface_bank2_we; +wire [20:0] litedramcore_interface_bank2_addr; +wire litedramcore_interface_bank2_lock; +wire litedramcore_interface_bank2_wdata_ready; +wire litedramcore_interface_bank2_rdata_valid; +wire litedramcore_interface_bank3_valid; +wire litedramcore_interface_bank3_ready; +wire litedramcore_interface_bank3_we; +wire [20:0] litedramcore_interface_bank3_addr; +wire litedramcore_interface_bank3_lock; +wire litedramcore_interface_bank3_wdata_ready; +wire litedramcore_interface_bank3_rdata_valid; +wire litedramcore_interface_bank4_valid; +wire litedramcore_interface_bank4_ready; +wire litedramcore_interface_bank4_we; +wire [20:0] litedramcore_interface_bank4_addr; +wire litedramcore_interface_bank4_lock; +wire litedramcore_interface_bank4_wdata_ready; +wire litedramcore_interface_bank4_rdata_valid; +wire litedramcore_interface_bank5_valid; +wire litedramcore_interface_bank5_ready; +wire litedramcore_interface_bank5_we; +wire [20:0] litedramcore_interface_bank5_addr; +wire litedramcore_interface_bank5_lock; +wire litedramcore_interface_bank5_wdata_ready; +wire litedramcore_interface_bank5_rdata_valid; +wire litedramcore_interface_bank6_valid; +wire litedramcore_interface_bank6_ready; +wire litedramcore_interface_bank6_we; +wire [20:0] litedramcore_interface_bank6_addr; +wire litedramcore_interface_bank6_lock; +wire litedramcore_interface_bank6_wdata_ready; +wire litedramcore_interface_bank6_rdata_valid; +wire litedramcore_interface_bank7_valid; +wire litedramcore_interface_bank7_ready; +wire litedramcore_interface_bank7_we; +wire [20:0] litedramcore_interface_bank7_addr; +wire litedramcore_interface_bank7_lock; +wire litedramcore_interface_bank7_wdata_ready; +wire litedramcore_interface_bank7_rdata_valid; +reg [127:0] litedramcore_interface_wdata = 128'd0; +reg [15:0] litedramcore_interface_wdata_we = 16'd0; +wire [127:0] litedramcore_interface_rdata; +reg [13:0] litedramcore_dfi_p0_address = 14'd0; +reg [2:0] litedramcore_dfi_p0_bank = 3'd0; +reg litedramcore_dfi_p0_cas_n = 1'd1; +reg litedramcore_dfi_p0_cs_n = 1'd1; +reg litedramcore_dfi_p0_ras_n = 1'd1; +reg litedramcore_dfi_p0_we_n = 1'd1; +wire litedramcore_dfi_p0_cke; +wire litedramcore_dfi_p0_odt; +wire litedramcore_dfi_p0_reset_n; +reg litedramcore_dfi_p0_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p0_wrdata; +reg litedramcore_dfi_p0_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p0_wrdata_mask; +reg litedramcore_dfi_p0_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p0_rddata; +wire litedramcore_dfi_p0_rddata_valid; +reg [13:0] litedramcore_dfi_p1_address = 14'd0; +reg [2:0] litedramcore_dfi_p1_bank = 3'd0; +reg litedramcore_dfi_p1_cas_n = 1'd1; +reg litedramcore_dfi_p1_cs_n = 1'd1; +reg litedramcore_dfi_p1_ras_n = 1'd1; +reg litedramcore_dfi_p1_we_n = 1'd1; +wire litedramcore_dfi_p1_cke; +wire litedramcore_dfi_p1_odt; +wire litedramcore_dfi_p1_reset_n; +reg litedramcore_dfi_p1_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p1_wrdata; +reg litedramcore_dfi_p1_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p1_wrdata_mask; +reg litedramcore_dfi_p1_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p1_rddata; +wire litedramcore_dfi_p1_rddata_valid; +reg [13:0] litedramcore_dfi_p2_address = 14'd0; +reg [2:0] litedramcore_dfi_p2_bank = 3'd0; +reg litedramcore_dfi_p2_cas_n = 1'd1; +reg litedramcore_dfi_p2_cs_n = 1'd1; +reg litedramcore_dfi_p2_ras_n = 1'd1; +reg litedramcore_dfi_p2_we_n = 1'd1; +wire litedramcore_dfi_p2_cke; +wire litedramcore_dfi_p2_odt; +wire litedramcore_dfi_p2_reset_n; +reg litedramcore_dfi_p2_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p2_wrdata; +reg litedramcore_dfi_p2_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p2_wrdata_mask; +reg litedramcore_dfi_p2_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p2_rddata; +wire litedramcore_dfi_p2_rddata_valid; +reg [13:0] litedramcore_dfi_p3_address = 14'd0; +reg [2:0] litedramcore_dfi_p3_bank = 3'd0; +reg litedramcore_dfi_p3_cas_n = 1'd1; +reg litedramcore_dfi_p3_cs_n = 1'd1; +reg litedramcore_dfi_p3_ras_n = 1'd1; +reg litedramcore_dfi_p3_we_n = 1'd1; +wire litedramcore_dfi_p3_cke; +wire litedramcore_dfi_p3_odt; +wire litedramcore_dfi_p3_reset_n; +reg litedramcore_dfi_p3_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p3_wrdata; +reg litedramcore_dfi_p3_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p3_wrdata_mask; +reg litedramcore_dfi_p3_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p3_rddata; +wire litedramcore_dfi_p3_rddata_valid; +reg litedramcore_cmd_valid = 1'd0; +reg litedramcore_cmd_ready = 1'd0; +reg litedramcore_cmd_last = 1'd0; +reg [13:0] litedramcore_cmd_payload_a = 14'd0; +reg [2:0] litedramcore_cmd_payload_ba = 3'd0; +reg litedramcore_cmd_payload_cas = 1'd0; +reg litedramcore_cmd_payload_ras = 1'd0; +reg litedramcore_cmd_payload_we = 1'd0; +reg litedramcore_cmd_payload_is_read = 1'd0; +reg litedramcore_cmd_payload_is_write = 1'd0; +wire litedramcore_wants_refresh; +wire litedramcore_wants_zqcs; +wire litedramcore_timer_wait; +wire litedramcore_timer_done0; +wire [9:0] litedramcore_timer_count0; +wire litedramcore_timer_done1; +reg [9:0] litedramcore_timer_count1 = 10'd781; +wire litedramcore_postponer_req_i; +reg litedramcore_postponer_req_o = 1'd0; +reg litedramcore_postponer_count = 1'd0; +reg litedramcore_sequencer_start0 = 1'd0; +wire litedramcore_sequencer_done0; +wire litedramcore_sequencer_start1; +reg litedramcore_sequencer_done1 = 1'd0; +reg [5:0] litedramcore_sequencer_counter = 6'd0; +reg litedramcore_sequencer_count = 1'd0; +wire litedramcore_zqcs_timer_wait; +wire litedramcore_zqcs_timer_done0; +wire [26:0] litedramcore_zqcs_timer_count0; +wire litedramcore_zqcs_timer_done1; +reg [26:0] litedramcore_zqcs_timer_count1 = 27'd99999999; +reg litedramcore_zqcs_executer_start = 1'd0; +reg litedramcore_zqcs_executer_done = 1'd0; +reg [4:0] litedramcore_zqcs_executer_counter = 5'd0; +wire litedramcore_bankmachine0_req_valid; +wire litedramcore_bankmachine0_req_ready; +wire litedramcore_bankmachine0_req_we; +wire [20:0] litedramcore_bankmachine0_req_addr; +wire litedramcore_bankmachine0_req_lock; +reg litedramcore_bankmachine0_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine0_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine0_refresh_req; +reg litedramcore_bankmachine0_refresh_gnt = 1'd0; +reg litedramcore_bankmachine0_cmd_valid = 1'd0; +reg litedramcore_bankmachine0_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine0_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine0_cmd_payload_ba; +reg litedramcore_bankmachine0_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine0_auto_precharge = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; +wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; +wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +reg [4:0] litedramcore_bankmachine0_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine0_cmd_buffer_sink_valid; +wire litedramcore_bankmachine0_cmd_buffer_sink_ready; +wire litedramcore_bankmachine0_cmd_buffer_sink_first; +wire litedramcore_bankmachine0_cmd_buffer_sink_last; +wire litedramcore_bankmachine0_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine0_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_source_ready; +reg litedramcore_bankmachine0_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine0_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine0_row = 14'd0; +reg litedramcore_bankmachine0_row_opened = 1'd0; +wire litedramcore_bankmachine0_row_hit; +reg litedramcore_bankmachine0_row_open = 1'd0; +reg litedramcore_bankmachine0_row_close = 1'd0; +reg litedramcore_bankmachine0_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine0_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_twtpcon_count = 3'd0; +wire litedramcore_bankmachine0_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_trccon_count = 3'd0; +wire litedramcore_bankmachine0_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_trascon_count = 3'd0; +wire litedramcore_bankmachine1_req_valid; +wire litedramcore_bankmachine1_req_ready; +wire litedramcore_bankmachine1_req_we; +wire [20:0] litedramcore_bankmachine1_req_addr; +wire litedramcore_bankmachine1_req_lock; +reg litedramcore_bankmachine1_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine1_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine1_refresh_req; +reg litedramcore_bankmachine1_refresh_gnt = 1'd0; +reg litedramcore_bankmachine1_cmd_valid = 1'd0; +reg litedramcore_bankmachine1_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine1_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine1_cmd_payload_ba; +reg litedramcore_bankmachine1_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine1_auto_precharge = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; +wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; +wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +reg [4:0] litedramcore_bankmachine1_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine1_cmd_buffer_sink_valid; +wire litedramcore_bankmachine1_cmd_buffer_sink_ready; +wire litedramcore_bankmachine1_cmd_buffer_sink_first; +wire litedramcore_bankmachine1_cmd_buffer_sink_last; +wire litedramcore_bankmachine1_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine1_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_source_ready; +reg litedramcore_bankmachine1_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine1_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine1_row = 14'd0; +reg litedramcore_bankmachine1_row_opened = 1'd0; +wire litedramcore_bankmachine1_row_hit; +reg litedramcore_bankmachine1_row_open = 1'd0; +reg litedramcore_bankmachine1_row_close = 1'd0; +reg litedramcore_bankmachine1_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine1_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_twtpcon_count = 3'd0; +wire litedramcore_bankmachine1_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_trccon_count = 3'd0; +wire litedramcore_bankmachine1_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_trascon_count = 3'd0; +wire litedramcore_bankmachine2_req_valid; +wire litedramcore_bankmachine2_req_ready; +wire litedramcore_bankmachine2_req_we; +wire [20:0] litedramcore_bankmachine2_req_addr; +wire litedramcore_bankmachine2_req_lock; +reg litedramcore_bankmachine2_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine2_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine2_refresh_req; +reg litedramcore_bankmachine2_refresh_gnt = 1'd0; +reg litedramcore_bankmachine2_cmd_valid = 1'd0; +reg litedramcore_bankmachine2_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine2_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine2_cmd_payload_ba; +reg litedramcore_bankmachine2_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine2_auto_precharge = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; +wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; +wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +reg [4:0] litedramcore_bankmachine2_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine2_cmd_buffer_sink_valid; +wire litedramcore_bankmachine2_cmd_buffer_sink_ready; +wire litedramcore_bankmachine2_cmd_buffer_sink_first; +wire litedramcore_bankmachine2_cmd_buffer_sink_last; +wire litedramcore_bankmachine2_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine2_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_source_ready; +reg litedramcore_bankmachine2_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine2_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine2_row = 14'd0; +reg litedramcore_bankmachine2_row_opened = 1'd0; +wire litedramcore_bankmachine2_row_hit; +reg litedramcore_bankmachine2_row_open = 1'd0; +reg litedramcore_bankmachine2_row_close = 1'd0; +reg litedramcore_bankmachine2_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine2_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_twtpcon_count = 3'd0; +wire litedramcore_bankmachine2_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_trccon_count = 3'd0; +wire litedramcore_bankmachine2_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_trascon_count = 3'd0; +wire litedramcore_bankmachine3_req_valid; +wire litedramcore_bankmachine3_req_ready; +wire litedramcore_bankmachine3_req_we; +wire [20:0] litedramcore_bankmachine3_req_addr; +wire litedramcore_bankmachine3_req_lock; +reg litedramcore_bankmachine3_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine3_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine3_refresh_req; +reg litedramcore_bankmachine3_refresh_gnt = 1'd0; +reg litedramcore_bankmachine3_cmd_valid = 1'd0; +reg litedramcore_bankmachine3_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine3_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine3_cmd_payload_ba; +reg litedramcore_bankmachine3_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine3_auto_precharge = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; +wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; +wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +reg [4:0] litedramcore_bankmachine3_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine3_cmd_buffer_sink_valid; +wire litedramcore_bankmachine3_cmd_buffer_sink_ready; +wire litedramcore_bankmachine3_cmd_buffer_sink_first; +wire litedramcore_bankmachine3_cmd_buffer_sink_last; +wire litedramcore_bankmachine3_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine3_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_source_ready; +reg litedramcore_bankmachine3_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine3_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine3_row = 14'd0; +reg litedramcore_bankmachine3_row_opened = 1'd0; +wire litedramcore_bankmachine3_row_hit; +reg litedramcore_bankmachine3_row_open = 1'd0; +reg litedramcore_bankmachine3_row_close = 1'd0; +reg litedramcore_bankmachine3_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine3_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_twtpcon_count = 3'd0; +wire litedramcore_bankmachine3_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_trccon_count = 3'd0; +wire litedramcore_bankmachine3_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_trascon_count = 3'd0; +wire litedramcore_bankmachine4_req_valid; +wire litedramcore_bankmachine4_req_ready; +wire litedramcore_bankmachine4_req_we; +wire [20:0] litedramcore_bankmachine4_req_addr; +wire litedramcore_bankmachine4_req_lock; +reg litedramcore_bankmachine4_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine4_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine4_refresh_req; +reg litedramcore_bankmachine4_refresh_gnt = 1'd0; +reg litedramcore_bankmachine4_cmd_valid = 1'd0; +reg litedramcore_bankmachine4_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine4_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine4_cmd_payload_ba; +reg litedramcore_bankmachine4_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine4_auto_precharge = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; +wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; +wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +reg [4:0] litedramcore_bankmachine4_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine4_cmd_buffer_sink_valid; +wire litedramcore_bankmachine4_cmd_buffer_sink_ready; +wire litedramcore_bankmachine4_cmd_buffer_sink_first; +wire litedramcore_bankmachine4_cmd_buffer_sink_last; +wire litedramcore_bankmachine4_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine4_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_source_ready; +reg litedramcore_bankmachine4_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine4_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine4_row = 14'd0; +reg litedramcore_bankmachine4_row_opened = 1'd0; +wire litedramcore_bankmachine4_row_hit; +reg litedramcore_bankmachine4_row_open = 1'd0; +reg litedramcore_bankmachine4_row_close = 1'd0; +reg litedramcore_bankmachine4_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine4_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_twtpcon_count = 3'd0; +wire litedramcore_bankmachine4_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_trccon_count = 3'd0; +wire litedramcore_bankmachine4_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_trascon_count = 3'd0; +wire litedramcore_bankmachine5_req_valid; +wire litedramcore_bankmachine5_req_ready; +wire litedramcore_bankmachine5_req_we; +wire [20:0] litedramcore_bankmachine5_req_addr; +wire litedramcore_bankmachine5_req_lock; +reg litedramcore_bankmachine5_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine5_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine5_refresh_req; +reg litedramcore_bankmachine5_refresh_gnt = 1'd0; +reg litedramcore_bankmachine5_cmd_valid = 1'd0; +reg litedramcore_bankmachine5_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine5_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine5_cmd_payload_ba; +reg litedramcore_bankmachine5_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine5_auto_precharge = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; +wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; +wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +reg [4:0] litedramcore_bankmachine5_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine5_cmd_buffer_sink_valid; +wire litedramcore_bankmachine5_cmd_buffer_sink_ready; +wire litedramcore_bankmachine5_cmd_buffer_sink_first; +wire litedramcore_bankmachine5_cmd_buffer_sink_last; +wire litedramcore_bankmachine5_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine5_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_source_ready; +reg litedramcore_bankmachine5_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine5_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine5_row = 14'd0; +reg litedramcore_bankmachine5_row_opened = 1'd0; +wire litedramcore_bankmachine5_row_hit; +reg litedramcore_bankmachine5_row_open = 1'd0; +reg litedramcore_bankmachine5_row_close = 1'd0; +reg litedramcore_bankmachine5_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine5_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_twtpcon_count = 3'd0; +wire litedramcore_bankmachine5_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_trccon_count = 3'd0; +wire litedramcore_bankmachine5_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_trascon_count = 3'd0; +wire litedramcore_bankmachine6_req_valid; +wire litedramcore_bankmachine6_req_ready; +wire litedramcore_bankmachine6_req_we; +wire [20:0] litedramcore_bankmachine6_req_addr; +wire litedramcore_bankmachine6_req_lock; +reg litedramcore_bankmachine6_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine6_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine6_refresh_req; +reg litedramcore_bankmachine6_refresh_gnt = 1'd0; +reg litedramcore_bankmachine6_cmd_valid = 1'd0; +reg litedramcore_bankmachine6_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine6_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine6_cmd_payload_ba; +reg litedramcore_bankmachine6_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine6_auto_precharge = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; +wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; +wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +reg [4:0] litedramcore_bankmachine6_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine6_cmd_buffer_sink_valid; +wire litedramcore_bankmachine6_cmd_buffer_sink_ready; +wire litedramcore_bankmachine6_cmd_buffer_sink_first; +wire litedramcore_bankmachine6_cmd_buffer_sink_last; +wire litedramcore_bankmachine6_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine6_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_source_ready; +reg litedramcore_bankmachine6_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine6_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine6_row = 14'd0; +reg litedramcore_bankmachine6_row_opened = 1'd0; +wire litedramcore_bankmachine6_row_hit; +reg litedramcore_bankmachine6_row_open = 1'd0; +reg litedramcore_bankmachine6_row_close = 1'd0; +reg litedramcore_bankmachine6_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine6_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_twtpcon_count = 3'd0; +wire litedramcore_bankmachine6_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_trccon_count = 3'd0; +wire litedramcore_bankmachine6_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_trascon_count = 3'd0; +wire litedramcore_bankmachine7_req_valid; +wire litedramcore_bankmachine7_req_ready; +wire litedramcore_bankmachine7_req_we; +wire [20:0] litedramcore_bankmachine7_req_addr; +wire litedramcore_bankmachine7_req_lock; +reg litedramcore_bankmachine7_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine7_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine7_refresh_req; +reg litedramcore_bankmachine7_refresh_gnt = 1'd0; +reg litedramcore_bankmachine7_cmd_valid = 1'd0; +reg litedramcore_bankmachine7_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine7_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine7_cmd_payload_ba; +reg litedramcore_bankmachine7_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine7_auto_precharge = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; +wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; +wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +reg [4:0] litedramcore_bankmachine7_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine7_cmd_buffer_sink_valid; +wire litedramcore_bankmachine7_cmd_buffer_sink_ready; +wire litedramcore_bankmachine7_cmd_buffer_sink_first; +wire litedramcore_bankmachine7_cmd_buffer_sink_last; +wire litedramcore_bankmachine7_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine7_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_source_ready; +reg litedramcore_bankmachine7_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine7_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine7_row = 14'd0; +reg litedramcore_bankmachine7_row_opened = 1'd0; +wire litedramcore_bankmachine7_row_hit; +reg litedramcore_bankmachine7_row_open = 1'd0; +reg litedramcore_bankmachine7_row_close = 1'd0; +reg litedramcore_bankmachine7_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine7_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_twtpcon_count = 3'd0; +wire litedramcore_bankmachine7_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_trccon_count = 3'd0; +wire litedramcore_bankmachine7_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_trascon_count = 3'd0; +wire litedramcore_ras_allowed; +wire litedramcore_cas_allowed; +wire [1:0] litedramcore_rdcmdphase; +wire [1:0] litedramcore_wrcmdphase; +reg litedramcore_choose_cmd_want_reads = 1'd0; +reg litedramcore_choose_cmd_want_writes = 1'd0; +reg litedramcore_choose_cmd_want_cmds = 1'd0; +reg litedramcore_choose_cmd_want_activates = 1'd0; +wire litedramcore_choose_cmd_cmd_valid; +reg litedramcore_choose_cmd_cmd_ready = 1'd0; +wire [13:0] litedramcore_choose_cmd_cmd_payload_a; +wire [2:0] litedramcore_choose_cmd_cmd_payload_ba; +reg litedramcore_choose_cmd_cmd_payload_cas = 1'd0; +reg litedramcore_choose_cmd_cmd_payload_ras = 1'd0; +reg litedramcore_choose_cmd_cmd_payload_we = 1'd0; +wire litedramcore_choose_cmd_cmd_payload_is_cmd; +wire litedramcore_choose_cmd_cmd_payload_is_read; +wire litedramcore_choose_cmd_cmd_payload_is_write; +reg [7:0] litedramcore_choose_cmd_valids = 8'd0; +wire [7:0] litedramcore_choose_cmd_request; +reg [2:0] litedramcore_choose_cmd_grant = 3'd0; +wire litedramcore_choose_cmd_ce; +reg litedramcore_choose_req_want_reads = 1'd0; +reg litedramcore_choose_req_want_writes = 1'd0; +reg litedramcore_choose_req_want_cmds = 1'd0; +reg litedramcore_choose_req_want_activates = 1'd0; +wire litedramcore_choose_req_cmd_valid; +reg litedramcore_choose_req_cmd_ready = 1'd0; +wire [13:0] litedramcore_choose_req_cmd_payload_a; +wire [2:0] litedramcore_choose_req_cmd_payload_ba; +reg litedramcore_choose_req_cmd_payload_cas = 1'd0; +reg litedramcore_choose_req_cmd_payload_ras = 1'd0; +reg litedramcore_choose_req_cmd_payload_we = 1'd0; +wire litedramcore_choose_req_cmd_payload_is_cmd; +wire litedramcore_choose_req_cmd_payload_is_read; +wire litedramcore_choose_req_cmd_payload_is_write; +reg [7:0] litedramcore_choose_req_valids = 8'd0; +wire [7:0] litedramcore_choose_req_request; +reg [2:0] litedramcore_choose_req_grant = 3'd0; +wire litedramcore_choose_req_ce; +reg [13:0] litedramcore_nop_a = 14'd0; +reg [2:0] litedramcore_nop_ba = 3'd0; +reg [1:0] litedramcore_steerer_sel0 = 2'd0; +reg [1:0] litedramcore_steerer_sel1 = 2'd0; +reg [1:0] litedramcore_steerer_sel2 = 2'd0; +reg [1:0] litedramcore_steerer_sel3 = 2'd0; +reg litedramcore_steerer0 = 1'd1; +reg litedramcore_steerer1 = 1'd1; +reg litedramcore_steerer2 = 1'd1; +reg litedramcore_steerer3 = 1'd1; +reg litedramcore_steerer4 = 1'd1; +reg litedramcore_steerer5 = 1'd1; +reg litedramcore_steerer6 = 1'd1; +reg litedramcore_steerer7 = 1'd1; +wire litedramcore_trrdcon_valid; +(* dont_touch = "true" *) reg litedramcore_trrdcon_ready = 1'd0; +reg litedramcore_trrdcon_count = 1'd0; +wire litedramcore_tfawcon_valid; +(* dont_touch = "true" *) reg litedramcore_tfawcon_ready = 1'd1; +wire [2:0] litedramcore_tfawcon_count; +reg [4:0] litedramcore_tfawcon_window = 5'd0; +wire litedramcore_tccdcon_valid; +(* dont_touch = "true" *) reg litedramcore_tccdcon_ready = 1'd0; +reg litedramcore_tccdcon_count = 1'd0; +wire litedramcore_twtrcon_valid; +(* dont_touch = "true" *) reg litedramcore_twtrcon_ready = 1'd0; +reg [2:0] litedramcore_twtrcon_count = 3'd0; +wire litedramcore_read_available; +wire litedramcore_write_available; +reg litedramcore_en0 = 1'd0; +wire litedramcore_max_time0; +reg [4:0] litedramcore_time0 = 5'd0; +reg litedramcore_en1 = 1'd0; +wire litedramcore_max_time1; +reg [3:0] litedramcore_time1 = 4'd0; +wire litedramcore_go_to_refresh; +reg init_done_storage = 1'd0; +reg init_done_re = 1'd0; +reg init_error_storage = 1'd0; +reg init_error_re = 1'd0; +wire [29:0] wb_bus_adr; +wire [31:0] wb_bus_dat_w; +wire [31:0] wb_bus_dat_r; +wire [3:0] wb_bus_sel; +wire wb_bus_cyc; +wire wb_bus_stb; +wire wb_bus_ack; +wire wb_bus_we; +wire [2:0] wb_bus_cti; +wire [1:0] wb_bus_bte; +wire wb_bus_err; +wire user_enable; +wire user_port_cmd_valid; +wire user_port_cmd_ready; +wire user_port_cmd_payload_we; +wire [23:0] user_port_cmd_payload_addr; +wire user_port_wdata_valid; +wire user_port_wdata_ready; +wire [127:0] user_port_wdata_payload_data; +wire [15:0] user_port_wdata_payload_we; +wire user_port_rdata_valid; +wire user_port_rdata_ready; +wire [127:0] user_port_rdata_payload_data; +reg [13:0] litedramcore_adr = 14'd0; +reg litedramcore_we = 1'd0; +reg [31:0] litedramcore_dat_w = 32'd0; +wire [31:0] litedramcore_dat_r; +wire [29:0] litedramcore_wishbone_adr; +wire [31:0] litedramcore_wishbone_dat_w; +reg [31:0] litedramcore_wishbone_dat_r = 32'd0; +wire [3:0] litedramcore_wishbone_sel; +wire litedramcore_wishbone_cyc; +wire litedramcore_wishbone_stb; +reg litedramcore_wishbone_ack = 1'd0; +wire litedramcore_wishbone_we; +wire [2:0] litedramcore_wishbone_cti; +wire [1:0] litedramcore_wishbone_bte; +reg litedramcore_wishbone_err = 1'd0; +wire [13:0] interface0_bank_bus_adr; +wire interface0_bank_bus_we; +wire [31:0] interface0_bank_bus_dat_w; +reg [31:0] interface0_bank_bus_dat_r = 32'd0; +reg csrbank0_init_done0_re = 1'd0; +wire csrbank0_init_done0_r; +reg csrbank0_init_done0_we = 1'd0; +wire csrbank0_init_done0_w; +reg csrbank0_init_error0_re = 1'd0; +wire csrbank0_init_error0_r; +reg csrbank0_init_error0_we = 1'd0; +wire csrbank0_init_error0_w; +wire csrbank0_sel; +wire [13:0] interface1_bank_bus_adr; +wire interface1_bank_bus_we; +wire [31:0] interface1_bank_bus_dat_w; +reg [31:0] interface1_bank_bus_dat_r = 32'd0; +reg csrbank1_rst0_re = 1'd0; +wire csrbank1_rst0_r; +reg csrbank1_rst0_we = 1'd0; +wire csrbank1_rst0_w; +reg csrbank1_dly_sel0_re = 1'd0; +wire [1:0] csrbank1_dly_sel0_r; +reg csrbank1_dly_sel0_we = 1'd0; +wire [1:0] csrbank1_dly_sel0_w; +reg csrbank1_half_sys8x_taps0_re = 1'd0; +wire [4:0] csrbank1_half_sys8x_taps0_r; +reg csrbank1_half_sys8x_taps0_we = 1'd0; +wire [4:0] csrbank1_half_sys8x_taps0_w; +reg csrbank1_wlevel_en0_re = 1'd0; +wire csrbank1_wlevel_en0_r; +reg csrbank1_wlevel_en0_we = 1'd0; +wire csrbank1_wlevel_en0_w; +reg csrbank1_rdphase0_re = 1'd0; +wire [1:0] csrbank1_rdphase0_r; +reg csrbank1_rdphase0_we = 1'd0; +wire [1:0] csrbank1_rdphase0_w; +reg csrbank1_wrphase0_re = 1'd0; +wire [1:0] csrbank1_wrphase0_r; +reg csrbank1_wrphase0_we = 1'd0; +wire [1:0] csrbank1_wrphase0_w; +wire csrbank1_sel; +wire [13:0] interface2_bank_bus_adr; +wire interface2_bank_bus_we; +wire [31:0] interface2_bank_bus_dat_w; +reg [31:0] interface2_bank_bus_dat_r = 32'd0; +reg csrbank2_dfii_control0_re = 1'd0; +wire [3:0] csrbank2_dfii_control0_r; +reg csrbank2_dfii_control0_we = 1'd0; +wire [3:0] csrbank2_dfii_control0_w; +reg csrbank2_dfii_pi0_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi0_command0_r; +reg csrbank2_dfii_pi0_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi0_command0_w; +reg csrbank2_dfii_pi0_address0_re = 1'd0; +wire [13:0] csrbank2_dfii_pi0_address0_r; +reg csrbank2_dfii_pi0_address0_we = 1'd0; +wire [13:0] csrbank2_dfii_pi0_address0_w; +reg csrbank2_dfii_pi0_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi0_baddress0_r; +reg csrbank2_dfii_pi0_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi0_baddress0_w; +reg csrbank2_dfii_pi0_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi0_wrdata0_r; +reg csrbank2_dfii_pi0_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi0_wrdata0_w; +reg csrbank2_dfii_pi0_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi0_rddata_r; +reg csrbank2_dfii_pi0_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi0_rddata_w; +reg csrbank2_dfii_pi1_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi1_command0_r; +reg csrbank2_dfii_pi1_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi1_command0_w; +reg csrbank2_dfii_pi1_address0_re = 1'd0; +wire [13:0] csrbank2_dfii_pi1_address0_r; +reg csrbank2_dfii_pi1_address0_we = 1'd0; +wire [13:0] csrbank2_dfii_pi1_address0_w; +reg csrbank2_dfii_pi1_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi1_baddress0_r; +reg csrbank2_dfii_pi1_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi1_baddress0_w; +reg csrbank2_dfii_pi1_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi1_wrdata0_r; +reg csrbank2_dfii_pi1_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi1_wrdata0_w; +reg csrbank2_dfii_pi1_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi1_rddata_r; +reg csrbank2_dfii_pi1_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi1_rddata_w; +reg csrbank2_dfii_pi2_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi2_command0_r; +reg csrbank2_dfii_pi2_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi2_command0_w; +reg csrbank2_dfii_pi2_address0_re = 1'd0; +wire [13:0] csrbank2_dfii_pi2_address0_r; +reg csrbank2_dfii_pi2_address0_we = 1'd0; +wire [13:0] csrbank2_dfii_pi2_address0_w; +reg csrbank2_dfii_pi2_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi2_baddress0_r; +reg csrbank2_dfii_pi2_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi2_baddress0_w; +reg csrbank2_dfii_pi2_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi2_wrdata0_r; +reg csrbank2_dfii_pi2_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi2_wrdata0_w; +reg csrbank2_dfii_pi2_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi2_rddata_r; +reg csrbank2_dfii_pi2_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi2_rddata_w; +reg csrbank2_dfii_pi3_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi3_command0_r; +reg csrbank2_dfii_pi3_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi3_command0_w; +reg csrbank2_dfii_pi3_address0_re = 1'd0; +wire [13:0] csrbank2_dfii_pi3_address0_r; +reg csrbank2_dfii_pi3_address0_we = 1'd0; +wire [13:0] csrbank2_dfii_pi3_address0_w; +reg csrbank2_dfii_pi3_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi3_baddress0_r; +reg csrbank2_dfii_pi3_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi3_baddress0_w; +reg csrbank2_dfii_pi3_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi3_wrdata0_r; +reg csrbank2_dfii_pi3_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi3_wrdata0_w; +reg csrbank2_dfii_pi3_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi3_rddata_r; +reg csrbank2_dfii_pi3_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi3_rddata_w; +wire csrbank2_sel; +wire [13:0] csr_interconnect_adr; +wire csr_interconnect_we; +wire [31:0] csr_interconnect_dat_w; +wire [31:0] csr_interconnect_dat_r; +wire litedramcore_reset0; +wire litedramcore_reset1; +wire litedramcore_reset2; +wire litedramcore_reset3; +wire litedramcore_reset4; +wire litedramcore_reset5; +wire litedramcore_reset6; +wire litedramcore_reset7; +wire litedramcore_pll_fb; +reg [1:0] litedramcore_refresher_state = 2'd0; +reg [1:0] litedramcore_refresher_next_state = 2'd0; +reg [3:0] litedramcore_bankmachine0_state = 4'd0; +reg [3:0] litedramcore_bankmachine0_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine1_state = 4'd0; +reg [3:0] litedramcore_bankmachine1_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine2_state = 4'd0; +reg [3:0] litedramcore_bankmachine2_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine3_state = 4'd0; +reg [3:0] litedramcore_bankmachine3_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine4_state = 4'd0; +reg [3:0] litedramcore_bankmachine4_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine5_state = 4'd0; +reg [3:0] litedramcore_bankmachine5_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine6_state = 4'd0; +reg [3:0] litedramcore_bankmachine6_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine7_state = 4'd0; +reg [3:0] litedramcore_bankmachine7_next_state = 4'd0; +reg [3:0] litedramcore_multiplexer_state = 4'd0; +reg [3:0] litedramcore_multiplexer_next_state = 4'd0; +wire litedramcore_roundrobin0_request; +wire litedramcore_roundrobin0_grant; +wire litedramcore_roundrobin0_ce; +wire litedramcore_roundrobin1_request; +wire litedramcore_roundrobin1_grant; +wire litedramcore_roundrobin1_ce; +wire litedramcore_roundrobin2_request; +wire litedramcore_roundrobin2_grant; +wire litedramcore_roundrobin2_ce; +wire litedramcore_roundrobin3_request; +wire litedramcore_roundrobin3_grant; +wire litedramcore_roundrobin3_ce; +wire litedramcore_roundrobin4_request; +wire litedramcore_roundrobin4_grant; +wire litedramcore_roundrobin4_ce; +wire litedramcore_roundrobin5_request; +wire litedramcore_roundrobin5_grant; +wire litedramcore_roundrobin5_ce; +wire litedramcore_roundrobin6_request; +wire litedramcore_roundrobin6_grant; +wire litedramcore_roundrobin6_ce; +wire litedramcore_roundrobin7_request; +wire litedramcore_roundrobin7_grant; +wire litedramcore_roundrobin7_ce; +reg litedramcore_locked0 = 1'd0; +reg litedramcore_locked1 = 1'd0; +reg litedramcore_locked2 = 1'd0; +reg litedramcore_locked3 = 1'd0; +reg litedramcore_locked4 = 1'd0; +reg litedramcore_locked5 = 1'd0; +reg litedramcore_locked6 = 1'd0; +reg litedramcore_locked7 = 1'd0; +reg litedramcore_new_master_wdata_ready0 = 1'd0; +reg litedramcore_new_master_wdata_ready1 = 1'd0; +reg litedramcore_new_master_rdata_valid0 = 1'd0; +reg litedramcore_new_master_rdata_valid1 = 1'd0; +reg litedramcore_new_master_rdata_valid2 = 1'd0; +reg litedramcore_new_master_rdata_valid3 = 1'd0; +reg litedramcore_new_master_rdata_valid4 = 1'd0; +reg litedramcore_new_master_rdata_valid5 = 1'd0; +reg litedramcore_new_master_rdata_valid6 = 1'd0; +reg litedramcore_new_master_rdata_valid7 = 1'd0; +reg litedramcore_new_master_rdata_valid8 = 1'd0; +reg [1:0] litedramcore_state = 2'd0; +reg [1:0] litedramcore_next_state = 2'd0; +reg [31:0] litedramcore_dat_w_next_value0 = 32'd0; +reg litedramcore_dat_w_next_value_ce0 = 1'd0; +reg [13:0] litedramcore_adr_next_value1 = 14'd0; +reg litedramcore_adr_next_value_ce1 = 1'd0; +reg litedramcore_we_next_value2 = 1'd0; +reg litedramcore_we_next_value_ce2 = 1'd0; +reg rhs_array_muxed0 = 1'd0; +reg [13:0] rhs_array_muxed1 = 14'd0; +reg [2:0] rhs_array_muxed2 = 3'd0; +reg rhs_array_muxed3 = 1'd0; +reg rhs_array_muxed4 = 1'd0; +reg rhs_array_muxed5 = 1'd0; +reg t_array_muxed0 = 1'd0; +reg t_array_muxed1 = 1'd0; +reg t_array_muxed2 = 1'd0; +reg rhs_array_muxed6 = 1'd0; +reg [13:0] rhs_array_muxed7 = 14'd0; +reg [2:0] rhs_array_muxed8 = 3'd0; +reg rhs_array_muxed9 = 1'd0; +reg rhs_array_muxed10 = 1'd0; +reg rhs_array_muxed11 = 1'd0; +reg t_array_muxed3 = 1'd0; +reg t_array_muxed4 = 1'd0; +reg t_array_muxed5 = 1'd0; +reg [20:0] rhs_array_muxed12 = 21'd0; +reg rhs_array_muxed13 = 1'd0; +reg rhs_array_muxed14 = 1'd0; +reg [20:0] rhs_array_muxed15 = 21'd0; +reg rhs_array_muxed16 = 1'd0; +reg rhs_array_muxed17 = 1'd0; +reg [20:0] rhs_array_muxed18 = 21'd0; +reg rhs_array_muxed19 = 1'd0; +reg rhs_array_muxed20 = 1'd0; +reg [20:0] rhs_array_muxed21 = 21'd0; +reg rhs_array_muxed22 = 1'd0; +reg rhs_array_muxed23 = 1'd0; +reg [20:0] rhs_array_muxed24 = 21'd0; +reg rhs_array_muxed25 = 1'd0; +reg rhs_array_muxed26 = 1'd0; +reg [20:0] rhs_array_muxed27 = 21'd0; +reg rhs_array_muxed28 = 1'd0; +reg rhs_array_muxed29 = 1'd0; +reg [20:0] rhs_array_muxed30 = 21'd0; +reg rhs_array_muxed31 = 1'd0; +reg rhs_array_muxed32 = 1'd0; +reg [20:0] rhs_array_muxed33 = 21'd0; +reg rhs_array_muxed34 = 1'd0; +reg rhs_array_muxed35 = 1'd0; +reg [2:0] array_muxed0 = 3'd0; +reg [13:0] array_muxed1 = 14'd0; +reg array_muxed2 = 1'd0; +reg array_muxed3 = 1'd0; +reg array_muxed4 = 1'd0; +reg array_muxed5 = 1'd0; +reg array_muxed6 = 1'd0; +reg [2:0] array_muxed7 = 3'd0; +reg [13:0] array_muxed8 = 14'd0; +reg array_muxed9 = 1'd0; +reg array_muxed10 = 1'd0; +reg array_muxed11 = 1'd0; +reg array_muxed12 = 1'd0; +reg array_muxed13 = 1'd0; +reg [2:0] array_muxed14 = 3'd0; +reg [13:0] array_muxed15 = 14'd0; +reg array_muxed16 = 1'd0; +reg array_muxed17 = 1'd0; +reg array_muxed18 = 1'd0; +reg array_muxed19 = 1'd0; +reg array_muxed20 = 1'd0; +reg [2:0] array_muxed21 = 3'd0; +reg [13:0] array_muxed22 = 14'd0; +reg array_muxed23 = 1'd0; +reg array_muxed24 = 1'd0; +reg array_muxed25 = 1'd0; +reg array_muxed26 = 1'd0; +reg array_muxed27 = 1'd0; +wire xilinxasyncresetsynchronizerimpl0; +wire xilinxasyncresetsynchronizerimpl0_rst_meta; +wire xilinxasyncresetsynchronizerimpl1; +wire xilinxasyncresetsynchronizerimpl1_rst_meta; +wire xilinxasyncresetsynchronizerimpl2; +wire xilinxasyncresetsynchronizerimpl2_rst_meta; +wire xilinxasyncresetsynchronizerimpl2_expr; +wire xilinxasyncresetsynchronizerimpl3; +wire xilinxasyncresetsynchronizerimpl3_rst_meta; +wire xilinxasyncresetsynchronizerimpl3_expr; //------------------------------------------------------------------------------ // Combinatorial Logic //------------------------------------------------------------------------------ -assign init_done = main_init_done_storage; -assign init_error = main_init_error_storage; -assign main_wb_bus_adr = wb_ctrl_adr; -assign main_wb_bus_dat_w = wb_ctrl_dat_w; -assign wb_ctrl_dat_r = main_wb_bus_dat_r; -assign main_wb_bus_sel = wb_ctrl_sel; -assign main_wb_bus_cyc = wb_ctrl_cyc; -assign main_wb_bus_stb = wb_ctrl_stb; -assign wb_ctrl_ack = main_wb_bus_ack; -assign main_wb_bus_we = wb_ctrl_we; -assign main_wb_bus_cti = wb_ctrl_cti; -assign main_wb_bus_bte = wb_ctrl_bte; -assign wb_ctrl_err = main_wb_bus_err; +assign init_done = init_done_storage; +assign init_error = init_error_storage; +assign wb_bus_adr = wb_ctrl_adr; +assign wb_bus_dat_w = wb_ctrl_dat_w; +assign wb_ctrl_dat_r = wb_bus_dat_r; +assign wb_bus_sel = wb_ctrl_sel; +assign wb_bus_cyc = wb_ctrl_cyc; +assign wb_bus_stb = wb_ctrl_stb; +assign wb_ctrl_ack = wb_bus_ack; +assign wb_bus_we = wb_ctrl_we; +assign wb_bus_cti = wb_ctrl_cti; +assign wb_bus_bte = wb_ctrl_bte; +assign wb_ctrl_err = wb_bus_err; assign user_clk = sys_clk; assign user_rst = sys_rst; -assign main_user_enable = 1'd1; -assign main_user_port_cmd_valid = (user_port_native_0_cmd_valid & main_user_enable); -assign user_port_native_0_cmd_ready = (main_user_port_cmd_ready & main_user_enable); -assign main_user_port_cmd_payload_we = user_port_native_0_cmd_we; -assign main_user_port_cmd_payload_addr = user_port_native_0_cmd_addr; -assign main_user_port_wdata_valid = (user_port_native_0_wdata_valid & main_user_enable); -assign user_port_native_0_wdata_ready = (main_user_port_wdata_ready & main_user_enable); -assign main_user_port_wdata_payload_we = user_port_native_0_wdata_we; -assign main_user_port_wdata_payload_data = user_port_native_0_wdata_data; -assign user_port_native_0_rdata_valid = (main_user_port_rdata_valid & main_user_enable); -assign main_user_port_rdata_ready = (user_port_native_0_rdata_ready & main_user_enable); -assign user_port_native_0_rdata_data = main_user_port_rdata_payload_data; -assign main_reset = (rst | main_rst); -assign pll_locked = main_locked; -assign main_clkin = clk; -assign iodelay_clk = main_clkout_buf0; -assign sys_clk = main_clkout_buf1; -assign sys4x_clk = main_clkout_buf2; -assign sys4x_dqs_clk = main_clkout_buf3; -assign main_a7ddrphy_dqs_oe_delay_tappeddelayline = ((main_a7ddrphy_dqs_preamble | main_a7ddrphy_dqs_oe) | main_a7ddrphy_dqs_postamble); -assign main_a7ddrphy_dq_oe_delay_tappeddelayline = ((main_a7ddrphy_dqs_preamble | main_a7ddrphy_dq_oe) | main_a7ddrphy_dqs_postamble); -always @(*) begin - main_a7ddrphy_dfi_p0_rddata <= 32'd0; - main_a7ddrphy_dfi_p0_rddata[0] <= main_a7ddrphy_bitslip04[0]; - main_a7ddrphy_dfi_p0_rddata[16] <= main_a7ddrphy_bitslip04[1]; - main_a7ddrphy_dfi_p0_rddata[1] <= main_a7ddrphy_bitslip14[0]; - main_a7ddrphy_dfi_p0_rddata[17] <= main_a7ddrphy_bitslip14[1]; - main_a7ddrphy_dfi_p0_rddata[2] <= main_a7ddrphy_bitslip22[0]; - main_a7ddrphy_dfi_p0_rddata[18] <= main_a7ddrphy_bitslip22[1]; - main_a7ddrphy_dfi_p0_rddata[3] <= main_a7ddrphy_bitslip32[0]; - main_a7ddrphy_dfi_p0_rddata[19] <= main_a7ddrphy_bitslip32[1]; - main_a7ddrphy_dfi_p0_rddata[4] <= main_a7ddrphy_bitslip42[0]; - main_a7ddrphy_dfi_p0_rddata[20] <= main_a7ddrphy_bitslip42[1]; - main_a7ddrphy_dfi_p0_rddata[5] <= main_a7ddrphy_bitslip52[0]; - main_a7ddrphy_dfi_p0_rddata[21] <= main_a7ddrphy_bitslip52[1]; - main_a7ddrphy_dfi_p0_rddata[6] <= main_a7ddrphy_bitslip62[0]; - main_a7ddrphy_dfi_p0_rddata[22] <= main_a7ddrphy_bitslip62[1]; - main_a7ddrphy_dfi_p0_rddata[7] <= main_a7ddrphy_bitslip72[0]; - main_a7ddrphy_dfi_p0_rddata[23] <= main_a7ddrphy_bitslip72[1]; - main_a7ddrphy_dfi_p0_rddata[8] <= main_a7ddrphy_bitslip82[0]; - main_a7ddrphy_dfi_p0_rddata[24] <= main_a7ddrphy_bitslip82[1]; - main_a7ddrphy_dfi_p0_rddata[9] <= main_a7ddrphy_bitslip92[0]; - main_a7ddrphy_dfi_p0_rddata[25] <= main_a7ddrphy_bitslip92[1]; - main_a7ddrphy_dfi_p0_rddata[10] <= main_a7ddrphy_bitslip102[0]; - main_a7ddrphy_dfi_p0_rddata[26] <= main_a7ddrphy_bitslip102[1]; - main_a7ddrphy_dfi_p0_rddata[11] <= main_a7ddrphy_bitslip112[0]; - main_a7ddrphy_dfi_p0_rddata[27] <= main_a7ddrphy_bitslip112[1]; - main_a7ddrphy_dfi_p0_rddata[12] <= main_a7ddrphy_bitslip122[0]; - main_a7ddrphy_dfi_p0_rddata[28] <= main_a7ddrphy_bitslip122[1]; - main_a7ddrphy_dfi_p0_rddata[13] <= main_a7ddrphy_bitslip132[0]; - main_a7ddrphy_dfi_p0_rddata[29] <= main_a7ddrphy_bitslip132[1]; - main_a7ddrphy_dfi_p0_rddata[14] <= main_a7ddrphy_bitslip142[0]; - main_a7ddrphy_dfi_p0_rddata[30] <= main_a7ddrphy_bitslip142[1]; - main_a7ddrphy_dfi_p0_rddata[15] <= main_a7ddrphy_bitslip152[0]; - main_a7ddrphy_dfi_p0_rddata[31] <= main_a7ddrphy_bitslip152[1]; -end -always @(*) begin - main_a7ddrphy_dfi_p1_rddata <= 32'd0; - main_a7ddrphy_dfi_p1_rddata[0] <= main_a7ddrphy_bitslip04[2]; - main_a7ddrphy_dfi_p1_rddata[16] <= main_a7ddrphy_bitslip04[3]; - main_a7ddrphy_dfi_p1_rddata[1] <= main_a7ddrphy_bitslip14[2]; - main_a7ddrphy_dfi_p1_rddata[17] <= main_a7ddrphy_bitslip14[3]; - main_a7ddrphy_dfi_p1_rddata[2] <= main_a7ddrphy_bitslip22[2]; - main_a7ddrphy_dfi_p1_rddata[18] <= main_a7ddrphy_bitslip22[3]; - main_a7ddrphy_dfi_p1_rddata[3] <= main_a7ddrphy_bitslip32[2]; - main_a7ddrphy_dfi_p1_rddata[19] <= main_a7ddrphy_bitslip32[3]; - main_a7ddrphy_dfi_p1_rddata[4] <= main_a7ddrphy_bitslip42[2]; - main_a7ddrphy_dfi_p1_rddata[20] <= main_a7ddrphy_bitslip42[3]; - main_a7ddrphy_dfi_p1_rddata[5] <= main_a7ddrphy_bitslip52[2]; - main_a7ddrphy_dfi_p1_rddata[21] <= main_a7ddrphy_bitslip52[3]; - main_a7ddrphy_dfi_p1_rddata[6] <= main_a7ddrphy_bitslip62[2]; - main_a7ddrphy_dfi_p1_rddata[22] <= main_a7ddrphy_bitslip62[3]; - main_a7ddrphy_dfi_p1_rddata[7] <= main_a7ddrphy_bitslip72[2]; - main_a7ddrphy_dfi_p1_rddata[23] <= main_a7ddrphy_bitslip72[3]; - main_a7ddrphy_dfi_p1_rddata[8] <= main_a7ddrphy_bitslip82[2]; - main_a7ddrphy_dfi_p1_rddata[24] <= main_a7ddrphy_bitslip82[3]; - main_a7ddrphy_dfi_p1_rddata[9] <= main_a7ddrphy_bitslip92[2]; - main_a7ddrphy_dfi_p1_rddata[25] <= main_a7ddrphy_bitslip92[3]; - main_a7ddrphy_dfi_p1_rddata[10] <= main_a7ddrphy_bitslip102[2]; - main_a7ddrphy_dfi_p1_rddata[26] <= main_a7ddrphy_bitslip102[3]; - main_a7ddrphy_dfi_p1_rddata[11] <= main_a7ddrphy_bitslip112[2]; - main_a7ddrphy_dfi_p1_rddata[27] <= main_a7ddrphy_bitslip112[3]; - main_a7ddrphy_dfi_p1_rddata[12] <= main_a7ddrphy_bitslip122[2]; - main_a7ddrphy_dfi_p1_rddata[28] <= main_a7ddrphy_bitslip122[3]; - main_a7ddrphy_dfi_p1_rddata[13] <= main_a7ddrphy_bitslip132[2]; - main_a7ddrphy_dfi_p1_rddata[29] <= main_a7ddrphy_bitslip132[3]; - main_a7ddrphy_dfi_p1_rddata[14] <= main_a7ddrphy_bitslip142[2]; - main_a7ddrphy_dfi_p1_rddata[30] <= main_a7ddrphy_bitslip142[3]; - main_a7ddrphy_dfi_p1_rddata[15] <= main_a7ddrphy_bitslip152[2]; - main_a7ddrphy_dfi_p1_rddata[31] <= main_a7ddrphy_bitslip152[3]; -end -always @(*) begin - main_a7ddrphy_dfi_p2_rddata <= 32'd0; - main_a7ddrphy_dfi_p2_rddata[0] <= main_a7ddrphy_bitslip04[4]; - main_a7ddrphy_dfi_p2_rddata[16] <= main_a7ddrphy_bitslip04[5]; - main_a7ddrphy_dfi_p2_rddata[1] <= main_a7ddrphy_bitslip14[4]; - main_a7ddrphy_dfi_p2_rddata[17] <= main_a7ddrphy_bitslip14[5]; - main_a7ddrphy_dfi_p2_rddata[2] <= main_a7ddrphy_bitslip22[4]; - main_a7ddrphy_dfi_p2_rddata[18] <= main_a7ddrphy_bitslip22[5]; - main_a7ddrphy_dfi_p2_rddata[3] <= main_a7ddrphy_bitslip32[4]; - main_a7ddrphy_dfi_p2_rddata[19] <= main_a7ddrphy_bitslip32[5]; - main_a7ddrphy_dfi_p2_rddata[4] <= main_a7ddrphy_bitslip42[4]; - main_a7ddrphy_dfi_p2_rddata[20] <= main_a7ddrphy_bitslip42[5]; - main_a7ddrphy_dfi_p2_rddata[5] <= main_a7ddrphy_bitslip52[4]; - main_a7ddrphy_dfi_p2_rddata[21] <= main_a7ddrphy_bitslip52[5]; - main_a7ddrphy_dfi_p2_rddata[6] <= main_a7ddrphy_bitslip62[4]; - main_a7ddrphy_dfi_p2_rddata[22] <= main_a7ddrphy_bitslip62[5]; - main_a7ddrphy_dfi_p2_rddata[7] <= main_a7ddrphy_bitslip72[4]; - main_a7ddrphy_dfi_p2_rddata[23] <= main_a7ddrphy_bitslip72[5]; - main_a7ddrphy_dfi_p2_rddata[8] <= main_a7ddrphy_bitslip82[4]; - main_a7ddrphy_dfi_p2_rddata[24] <= main_a7ddrphy_bitslip82[5]; - main_a7ddrphy_dfi_p2_rddata[9] <= main_a7ddrphy_bitslip92[4]; - main_a7ddrphy_dfi_p2_rddata[25] <= main_a7ddrphy_bitslip92[5]; - main_a7ddrphy_dfi_p2_rddata[10] <= main_a7ddrphy_bitslip102[4]; - main_a7ddrphy_dfi_p2_rddata[26] <= main_a7ddrphy_bitslip102[5]; - main_a7ddrphy_dfi_p2_rddata[11] <= main_a7ddrphy_bitslip112[4]; - main_a7ddrphy_dfi_p2_rddata[27] <= main_a7ddrphy_bitslip112[5]; - main_a7ddrphy_dfi_p2_rddata[12] <= main_a7ddrphy_bitslip122[4]; - main_a7ddrphy_dfi_p2_rddata[28] <= main_a7ddrphy_bitslip122[5]; - main_a7ddrphy_dfi_p2_rddata[13] <= main_a7ddrphy_bitslip132[4]; - main_a7ddrphy_dfi_p2_rddata[29] <= main_a7ddrphy_bitslip132[5]; - main_a7ddrphy_dfi_p2_rddata[14] <= main_a7ddrphy_bitslip142[4]; - main_a7ddrphy_dfi_p2_rddata[30] <= main_a7ddrphy_bitslip142[5]; - main_a7ddrphy_dfi_p2_rddata[15] <= main_a7ddrphy_bitslip152[4]; - main_a7ddrphy_dfi_p2_rddata[31] <= main_a7ddrphy_bitslip152[5]; -end -always @(*) begin - main_a7ddrphy_dfi_p3_rddata <= 32'd0; - main_a7ddrphy_dfi_p3_rddata[0] <= main_a7ddrphy_bitslip04[6]; - main_a7ddrphy_dfi_p3_rddata[16] <= main_a7ddrphy_bitslip04[7]; - main_a7ddrphy_dfi_p3_rddata[1] <= main_a7ddrphy_bitslip14[6]; - main_a7ddrphy_dfi_p3_rddata[17] <= main_a7ddrphy_bitslip14[7]; - main_a7ddrphy_dfi_p3_rddata[2] <= main_a7ddrphy_bitslip22[6]; - main_a7ddrphy_dfi_p3_rddata[18] <= main_a7ddrphy_bitslip22[7]; - main_a7ddrphy_dfi_p3_rddata[3] <= main_a7ddrphy_bitslip32[6]; - main_a7ddrphy_dfi_p3_rddata[19] <= main_a7ddrphy_bitslip32[7]; - main_a7ddrphy_dfi_p3_rddata[4] <= main_a7ddrphy_bitslip42[6]; - main_a7ddrphy_dfi_p3_rddata[20] <= main_a7ddrphy_bitslip42[7]; - main_a7ddrphy_dfi_p3_rddata[5] <= main_a7ddrphy_bitslip52[6]; - main_a7ddrphy_dfi_p3_rddata[21] <= main_a7ddrphy_bitslip52[7]; - main_a7ddrphy_dfi_p3_rddata[6] <= main_a7ddrphy_bitslip62[6]; - main_a7ddrphy_dfi_p3_rddata[22] <= main_a7ddrphy_bitslip62[7]; - main_a7ddrphy_dfi_p3_rddata[7] <= main_a7ddrphy_bitslip72[6]; - main_a7ddrphy_dfi_p3_rddata[23] <= main_a7ddrphy_bitslip72[7]; - main_a7ddrphy_dfi_p3_rddata[8] <= main_a7ddrphy_bitslip82[6]; - main_a7ddrphy_dfi_p3_rddata[24] <= main_a7ddrphy_bitslip82[7]; - main_a7ddrphy_dfi_p3_rddata[9] <= main_a7ddrphy_bitslip92[6]; - main_a7ddrphy_dfi_p3_rddata[25] <= main_a7ddrphy_bitslip92[7]; - main_a7ddrphy_dfi_p3_rddata[10] <= main_a7ddrphy_bitslip102[6]; - main_a7ddrphy_dfi_p3_rddata[26] <= main_a7ddrphy_bitslip102[7]; - main_a7ddrphy_dfi_p3_rddata[11] <= main_a7ddrphy_bitslip112[6]; - main_a7ddrphy_dfi_p3_rddata[27] <= main_a7ddrphy_bitslip112[7]; - main_a7ddrphy_dfi_p3_rddata[12] <= main_a7ddrphy_bitslip122[6]; - main_a7ddrphy_dfi_p3_rddata[28] <= main_a7ddrphy_bitslip122[7]; - main_a7ddrphy_dfi_p3_rddata[13] <= main_a7ddrphy_bitslip132[6]; - main_a7ddrphy_dfi_p3_rddata[29] <= main_a7ddrphy_bitslip132[7]; - main_a7ddrphy_dfi_p3_rddata[14] <= main_a7ddrphy_bitslip142[6]; - main_a7ddrphy_dfi_p3_rddata[30] <= main_a7ddrphy_bitslip142[7]; - main_a7ddrphy_dfi_p3_rddata[15] <= main_a7ddrphy_bitslip152[6]; - main_a7ddrphy_dfi_p3_rddata[31] <= main_a7ddrphy_bitslip152[7]; -end -assign main_a7ddrphy_dfi_p0_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dfi_p1_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dfi_p2_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dfi_p3_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dq_oe = main_a7ddrphy_wrdata_en_tappeddelayline1; -always @(*) begin - main_a7ddrphy_dqs_oe <= 1'd0; - if (main_a7ddrphy_wlevel_en_storage) begin - main_a7ddrphy_dqs_oe <= 1'd1; - end else begin - main_a7ddrphy_dqs_oe <= main_a7ddrphy_dq_oe; - end -end -assign main_a7ddrphy_dqs_preamble = (main_a7ddrphy_wrdata_en_tappeddelayline0 & (~main_a7ddrphy_wrdata_en_tappeddelayline1)); -assign main_a7ddrphy_dqs_postamble = (main_a7ddrphy_wrdata_en_tappeddelayline2 & (~main_a7ddrphy_wrdata_en_tappeddelayline1)); -always @(*) begin - main_a7ddrphy_dqspattern_o0 <= 8'd0; - main_a7ddrphy_dqspattern_o0 <= 7'd85; - if (main_a7ddrphy_dqspattern0) begin - main_a7ddrphy_dqspattern_o0 <= 5'd21; - end - if (main_a7ddrphy_dqspattern1) begin - main_a7ddrphy_dqspattern_o0 <= 7'd84; - end - if (main_a7ddrphy_wlevel_en_storage) begin - main_a7ddrphy_dqspattern_o0 <= 1'd0; - if (main_a7ddrphy_wlevel_strobe_re) begin - main_a7ddrphy_dqspattern_o0 <= 1'd1; - end - end -end -always @(*) begin - main_a7ddrphy_bitslip00 <= 8'd0; - case (main_a7ddrphy_bitslip0_value0) +assign user_enable = 1'd1; +assign user_port_cmd_valid = (user_port_native_0_cmd_valid & user_enable); +assign user_port_native_0_cmd_ready = (user_port_cmd_ready & user_enable); +assign user_port_cmd_payload_we = user_port_native_0_cmd_we; +assign user_port_cmd_payload_addr = user_port_native_0_cmd_addr; +assign user_port_wdata_valid = (user_port_native_0_wdata_valid & user_enable); +assign user_port_native_0_wdata_ready = (user_port_wdata_ready & user_enable); +assign user_port_wdata_payload_we = user_port_native_0_wdata_we; +assign user_port_wdata_payload_data = user_port_native_0_wdata_data; +assign user_port_native_0_rdata_valid = (user_port_rdata_valid & user_enable); +assign user_port_rdata_ready = (user_port_native_0_rdata_ready & user_enable); +assign user_port_native_0_rdata_data = user_port_rdata_payload_data; +assign reset = (rst | rst_1); +assign pll_locked = locked; +assign clkin = clk; +assign iodelay_clk = clkout_buf0; +assign sys_clk = clkout_buf1; +assign sys4x_clk = clkout_buf2; +assign sys4x_dqs_clk = clkout_buf3; +assign ddram_ba = a7ddrphy_pads_ba; +assign a7ddrphy_dqs_oe_delay_tappeddelayline = ((a7ddrphy_dqs_preamble | a7ddrphy_dqs_oe) | a7ddrphy_dqs_postamble); +assign a7ddrphy_dq_oe_delay_tappeddelayline = ((a7ddrphy_dqs_preamble | a7ddrphy_dq_oe) | a7ddrphy_dqs_postamble); +always @(*) begin + a7ddrphy_dfi_p0_rddata <= 32'd0; + a7ddrphy_dfi_p0_rddata[0] <= a7ddrphy_bitslip04[0]; + a7ddrphy_dfi_p0_rddata[16] <= a7ddrphy_bitslip04[1]; + a7ddrphy_dfi_p0_rddata[1] <= a7ddrphy_bitslip14[0]; + a7ddrphy_dfi_p0_rddata[17] <= a7ddrphy_bitslip14[1]; + a7ddrphy_dfi_p0_rddata[2] <= a7ddrphy_bitslip22[0]; + a7ddrphy_dfi_p0_rddata[18] <= a7ddrphy_bitslip22[1]; + a7ddrphy_dfi_p0_rddata[3] <= a7ddrphy_bitslip32[0]; + a7ddrphy_dfi_p0_rddata[19] <= a7ddrphy_bitslip32[1]; + a7ddrphy_dfi_p0_rddata[4] <= a7ddrphy_bitslip42[0]; + a7ddrphy_dfi_p0_rddata[20] <= a7ddrphy_bitslip42[1]; + a7ddrphy_dfi_p0_rddata[5] <= a7ddrphy_bitslip52[0]; + a7ddrphy_dfi_p0_rddata[21] <= a7ddrphy_bitslip52[1]; + a7ddrphy_dfi_p0_rddata[6] <= a7ddrphy_bitslip62[0]; + a7ddrphy_dfi_p0_rddata[22] <= a7ddrphy_bitslip62[1]; + a7ddrphy_dfi_p0_rddata[7] <= a7ddrphy_bitslip72[0]; + a7ddrphy_dfi_p0_rddata[23] <= a7ddrphy_bitslip72[1]; + a7ddrphy_dfi_p0_rddata[8] <= a7ddrphy_bitslip82[0]; + a7ddrphy_dfi_p0_rddata[24] <= a7ddrphy_bitslip82[1]; + a7ddrphy_dfi_p0_rddata[9] <= a7ddrphy_bitslip92[0]; + a7ddrphy_dfi_p0_rddata[25] <= a7ddrphy_bitslip92[1]; + a7ddrphy_dfi_p0_rddata[10] <= a7ddrphy_bitslip102[0]; + a7ddrphy_dfi_p0_rddata[26] <= a7ddrphy_bitslip102[1]; + a7ddrphy_dfi_p0_rddata[11] <= a7ddrphy_bitslip112[0]; + a7ddrphy_dfi_p0_rddata[27] <= a7ddrphy_bitslip112[1]; + a7ddrphy_dfi_p0_rddata[12] <= a7ddrphy_bitslip122[0]; + a7ddrphy_dfi_p0_rddata[28] <= a7ddrphy_bitslip122[1]; + a7ddrphy_dfi_p0_rddata[13] <= a7ddrphy_bitslip132[0]; + a7ddrphy_dfi_p0_rddata[29] <= a7ddrphy_bitslip132[1]; + a7ddrphy_dfi_p0_rddata[14] <= a7ddrphy_bitslip142[0]; + a7ddrphy_dfi_p0_rddata[30] <= a7ddrphy_bitslip142[1]; + a7ddrphy_dfi_p0_rddata[15] <= a7ddrphy_bitslip152[0]; + a7ddrphy_dfi_p0_rddata[31] <= a7ddrphy_bitslip152[1]; +end +always @(*) begin + a7ddrphy_dfi_p1_rddata <= 32'd0; + a7ddrphy_dfi_p1_rddata[0] <= a7ddrphy_bitslip04[2]; + a7ddrphy_dfi_p1_rddata[16] <= a7ddrphy_bitslip04[3]; + a7ddrphy_dfi_p1_rddata[1] <= a7ddrphy_bitslip14[2]; + a7ddrphy_dfi_p1_rddata[17] <= a7ddrphy_bitslip14[3]; + a7ddrphy_dfi_p1_rddata[2] <= a7ddrphy_bitslip22[2]; + a7ddrphy_dfi_p1_rddata[18] <= a7ddrphy_bitslip22[3]; + a7ddrphy_dfi_p1_rddata[3] <= a7ddrphy_bitslip32[2]; + a7ddrphy_dfi_p1_rddata[19] <= a7ddrphy_bitslip32[3]; + a7ddrphy_dfi_p1_rddata[4] <= a7ddrphy_bitslip42[2]; + a7ddrphy_dfi_p1_rddata[20] <= a7ddrphy_bitslip42[3]; + a7ddrphy_dfi_p1_rddata[5] <= a7ddrphy_bitslip52[2]; + a7ddrphy_dfi_p1_rddata[21] <= a7ddrphy_bitslip52[3]; + a7ddrphy_dfi_p1_rddata[6] <= a7ddrphy_bitslip62[2]; + a7ddrphy_dfi_p1_rddata[22] <= a7ddrphy_bitslip62[3]; + a7ddrphy_dfi_p1_rddata[7] <= a7ddrphy_bitslip72[2]; + a7ddrphy_dfi_p1_rddata[23] <= a7ddrphy_bitslip72[3]; + a7ddrphy_dfi_p1_rddata[8] <= a7ddrphy_bitslip82[2]; + a7ddrphy_dfi_p1_rddata[24] <= a7ddrphy_bitslip82[3]; + a7ddrphy_dfi_p1_rddata[9] <= a7ddrphy_bitslip92[2]; + a7ddrphy_dfi_p1_rddata[25] <= a7ddrphy_bitslip92[3]; + a7ddrphy_dfi_p1_rddata[10] <= a7ddrphy_bitslip102[2]; + a7ddrphy_dfi_p1_rddata[26] <= a7ddrphy_bitslip102[3]; + a7ddrphy_dfi_p1_rddata[11] <= a7ddrphy_bitslip112[2]; + a7ddrphy_dfi_p1_rddata[27] <= a7ddrphy_bitslip112[3]; + a7ddrphy_dfi_p1_rddata[12] <= a7ddrphy_bitslip122[2]; + a7ddrphy_dfi_p1_rddata[28] <= a7ddrphy_bitslip122[3]; + a7ddrphy_dfi_p1_rddata[13] <= a7ddrphy_bitslip132[2]; + a7ddrphy_dfi_p1_rddata[29] <= a7ddrphy_bitslip132[3]; + a7ddrphy_dfi_p1_rddata[14] <= a7ddrphy_bitslip142[2]; + a7ddrphy_dfi_p1_rddata[30] <= a7ddrphy_bitslip142[3]; + a7ddrphy_dfi_p1_rddata[15] <= a7ddrphy_bitslip152[2]; + a7ddrphy_dfi_p1_rddata[31] <= a7ddrphy_bitslip152[3]; +end +always @(*) begin + a7ddrphy_dfi_p2_rddata <= 32'd0; + a7ddrphy_dfi_p2_rddata[0] <= a7ddrphy_bitslip04[4]; + a7ddrphy_dfi_p2_rddata[16] <= a7ddrphy_bitslip04[5]; + a7ddrphy_dfi_p2_rddata[1] <= a7ddrphy_bitslip14[4]; + a7ddrphy_dfi_p2_rddata[17] <= a7ddrphy_bitslip14[5]; + a7ddrphy_dfi_p2_rddata[2] <= a7ddrphy_bitslip22[4]; + a7ddrphy_dfi_p2_rddata[18] <= a7ddrphy_bitslip22[5]; + a7ddrphy_dfi_p2_rddata[3] <= a7ddrphy_bitslip32[4]; + a7ddrphy_dfi_p2_rddata[19] <= a7ddrphy_bitslip32[5]; + a7ddrphy_dfi_p2_rddata[4] <= a7ddrphy_bitslip42[4]; + a7ddrphy_dfi_p2_rddata[20] <= a7ddrphy_bitslip42[5]; + a7ddrphy_dfi_p2_rddata[5] <= a7ddrphy_bitslip52[4]; + a7ddrphy_dfi_p2_rddata[21] <= a7ddrphy_bitslip52[5]; + a7ddrphy_dfi_p2_rddata[6] <= a7ddrphy_bitslip62[4]; + a7ddrphy_dfi_p2_rddata[22] <= a7ddrphy_bitslip62[5]; + a7ddrphy_dfi_p2_rddata[7] <= a7ddrphy_bitslip72[4]; + a7ddrphy_dfi_p2_rddata[23] <= a7ddrphy_bitslip72[5]; + a7ddrphy_dfi_p2_rddata[8] <= a7ddrphy_bitslip82[4]; + a7ddrphy_dfi_p2_rddata[24] <= a7ddrphy_bitslip82[5]; + a7ddrphy_dfi_p2_rddata[9] <= a7ddrphy_bitslip92[4]; + a7ddrphy_dfi_p2_rddata[25] <= a7ddrphy_bitslip92[5]; + a7ddrphy_dfi_p2_rddata[10] <= a7ddrphy_bitslip102[4]; + a7ddrphy_dfi_p2_rddata[26] <= a7ddrphy_bitslip102[5]; + a7ddrphy_dfi_p2_rddata[11] <= a7ddrphy_bitslip112[4]; + a7ddrphy_dfi_p2_rddata[27] <= a7ddrphy_bitslip112[5]; + a7ddrphy_dfi_p2_rddata[12] <= a7ddrphy_bitslip122[4]; + a7ddrphy_dfi_p2_rddata[28] <= a7ddrphy_bitslip122[5]; + a7ddrphy_dfi_p2_rddata[13] <= a7ddrphy_bitslip132[4]; + a7ddrphy_dfi_p2_rddata[29] <= a7ddrphy_bitslip132[5]; + a7ddrphy_dfi_p2_rddata[14] <= a7ddrphy_bitslip142[4]; + a7ddrphy_dfi_p2_rddata[30] <= a7ddrphy_bitslip142[5]; + a7ddrphy_dfi_p2_rddata[15] <= a7ddrphy_bitslip152[4]; + a7ddrphy_dfi_p2_rddata[31] <= a7ddrphy_bitslip152[5]; +end +always @(*) begin + a7ddrphy_dfi_p3_rddata <= 32'd0; + a7ddrphy_dfi_p3_rddata[0] <= a7ddrphy_bitslip04[6]; + a7ddrphy_dfi_p3_rddata[16] <= a7ddrphy_bitslip04[7]; + a7ddrphy_dfi_p3_rddata[1] <= a7ddrphy_bitslip14[6]; + a7ddrphy_dfi_p3_rddata[17] <= a7ddrphy_bitslip14[7]; + a7ddrphy_dfi_p3_rddata[2] <= a7ddrphy_bitslip22[6]; + a7ddrphy_dfi_p3_rddata[18] <= a7ddrphy_bitslip22[7]; + a7ddrphy_dfi_p3_rddata[3] <= a7ddrphy_bitslip32[6]; + a7ddrphy_dfi_p3_rddata[19] <= a7ddrphy_bitslip32[7]; + a7ddrphy_dfi_p3_rddata[4] <= a7ddrphy_bitslip42[6]; + a7ddrphy_dfi_p3_rddata[20] <= a7ddrphy_bitslip42[7]; + a7ddrphy_dfi_p3_rddata[5] <= a7ddrphy_bitslip52[6]; + a7ddrphy_dfi_p3_rddata[21] <= a7ddrphy_bitslip52[7]; + a7ddrphy_dfi_p3_rddata[6] <= a7ddrphy_bitslip62[6]; + a7ddrphy_dfi_p3_rddata[22] <= a7ddrphy_bitslip62[7]; + a7ddrphy_dfi_p3_rddata[7] <= a7ddrphy_bitslip72[6]; + a7ddrphy_dfi_p3_rddata[23] <= a7ddrphy_bitslip72[7]; + a7ddrphy_dfi_p3_rddata[8] <= a7ddrphy_bitslip82[6]; + a7ddrphy_dfi_p3_rddata[24] <= a7ddrphy_bitslip82[7]; + a7ddrphy_dfi_p3_rddata[9] <= a7ddrphy_bitslip92[6]; + a7ddrphy_dfi_p3_rddata[25] <= a7ddrphy_bitslip92[7]; + a7ddrphy_dfi_p3_rddata[10] <= a7ddrphy_bitslip102[6]; + a7ddrphy_dfi_p3_rddata[26] <= a7ddrphy_bitslip102[7]; + a7ddrphy_dfi_p3_rddata[11] <= a7ddrphy_bitslip112[6]; + a7ddrphy_dfi_p3_rddata[27] <= a7ddrphy_bitslip112[7]; + a7ddrphy_dfi_p3_rddata[12] <= a7ddrphy_bitslip122[6]; + a7ddrphy_dfi_p3_rddata[28] <= a7ddrphy_bitslip122[7]; + a7ddrphy_dfi_p3_rddata[13] <= a7ddrphy_bitslip132[6]; + a7ddrphy_dfi_p3_rddata[29] <= a7ddrphy_bitslip132[7]; + a7ddrphy_dfi_p3_rddata[14] <= a7ddrphy_bitslip142[6]; + a7ddrphy_dfi_p3_rddata[30] <= a7ddrphy_bitslip142[7]; + a7ddrphy_dfi_p3_rddata[15] <= a7ddrphy_bitslip152[6]; + a7ddrphy_dfi_p3_rddata[31] <= a7ddrphy_bitslip152[7]; +end +assign a7ddrphy_dfi_p0_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dfi_p1_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dfi_p2_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dfi_p3_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dq_oe = a7ddrphy_wrdata_en_tappeddelayline1; +always @(*) begin + a7ddrphy_dqs_oe <= 1'd0; + if (a7ddrphy_wlevel_en_storage) begin + a7ddrphy_dqs_oe <= 1'd1; + end else begin + a7ddrphy_dqs_oe <= a7ddrphy_dq_oe; + end +end +assign a7ddrphy_dqs_preamble = (a7ddrphy_wrdata_en_tappeddelayline0 & (~a7ddrphy_wrdata_en_tappeddelayline1)); +assign a7ddrphy_dqs_postamble = (a7ddrphy_wrdata_en_tappeddelayline2 & (~a7ddrphy_wrdata_en_tappeddelayline1)); +always @(*) begin + a7ddrphy_dqspattern_o0 <= 8'd0; + a7ddrphy_dqspattern_o0 <= 7'd85; + if (a7ddrphy_dqspattern0) begin + a7ddrphy_dqspattern_o0 <= 5'd21; + end + if (a7ddrphy_dqspattern1) begin + a7ddrphy_dqspattern_o0 <= 7'd84; + end + if (a7ddrphy_wlevel_en_storage) begin + a7ddrphy_dqspattern_o0 <= 1'd0; + if (a7ddrphy_wlevel_strobe_re) begin + a7ddrphy_dqspattern_o0 <= 1'd1; + end + end +end +always @(*) begin + a7ddrphy_bitslip00 <= 8'd0; + case (a7ddrphy_bitslip0_value0) 1'd0: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[8:1]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[9:2]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[10:3]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[11:4]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[12:5]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[13:6]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[14:7]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[15:8]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip10 <= 8'd0; - case (main_a7ddrphy_bitslip1_value0) + a7ddrphy_bitslip10 <= 8'd0; + case (a7ddrphy_bitslip1_value0) 1'd0: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[8:1]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[9:2]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[10:3]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[11:4]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[12:5]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[13:6]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[14:7]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[15:8]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip01 <= 8'd0; - case (main_a7ddrphy_bitslip0_value1) + a7ddrphy_bitslip01 <= 8'd0; + case (a7ddrphy_bitslip0_value1) 1'd0: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[8:1]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[9:2]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[10:3]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[11:4]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[12:5]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[13:6]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[14:7]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[15:8]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip11 <= 8'd0; - case (main_a7ddrphy_bitslip1_value1) + a7ddrphy_bitslip11 <= 8'd0; + case (a7ddrphy_bitslip1_value1) 1'd0: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[8:1]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[9:2]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[10:3]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[11:4]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[12:5]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[13:6]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[14:7]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[15:8]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip02 <= 8'd0; - case (main_a7ddrphy_bitslip0_value2) + a7ddrphy_bitslip02 <= 8'd0; + case (a7ddrphy_bitslip0_value2) 1'd0: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[8:1]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[9:2]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[10:3]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[11:4]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[12:5]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[13:6]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[14:7]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[15:8]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip04 <= 8'd0; - case (main_a7ddrphy_bitslip0_value3) + a7ddrphy_bitslip04 <= 8'd0; + case (a7ddrphy_bitslip0_value3) 1'd0: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[8:1]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[9:2]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[10:3]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[11:4]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[12:5]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[13:6]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[14:7]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[15:8]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip12 <= 8'd0; - case (main_a7ddrphy_bitslip1_value2) + a7ddrphy_bitslip12 <= 8'd0; + case (a7ddrphy_bitslip1_value2) 1'd0: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[8:1]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[9:2]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[10:3]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[11:4]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[12:5]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[13:6]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[14:7]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[15:8]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip14 <= 8'd0; - case (main_a7ddrphy_bitslip1_value3) + a7ddrphy_bitslip14 <= 8'd0; + case (a7ddrphy_bitslip1_value3) 1'd0: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[8:1]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[9:2]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[10:3]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[11:4]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[12:5]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[13:6]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[14:7]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[15:8]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip20 <= 8'd0; - case (main_a7ddrphy_bitslip2_value0) + a7ddrphy_bitslip20 <= 8'd0; + case (a7ddrphy_bitslip2_value0) 1'd0: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[8:1]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[9:2]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[10:3]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[11:4]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[12:5]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[13:6]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[14:7]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[15:8]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip22 <= 8'd0; - case (main_a7ddrphy_bitslip2_value1) + a7ddrphy_bitslip22 <= 8'd0; + case (a7ddrphy_bitslip2_value1) 1'd0: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[8:1]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[9:2]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[10:3]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[11:4]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[12:5]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[13:6]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[14:7]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[15:8]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip30 <= 8'd0; - case (main_a7ddrphy_bitslip3_value0) + a7ddrphy_bitslip30 <= 8'd0; + case (a7ddrphy_bitslip3_value0) 1'd0: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[8:1]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[9:2]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[10:3]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[11:4]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[12:5]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[13:6]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[14:7]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[15:8]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip32 <= 8'd0; - case (main_a7ddrphy_bitslip3_value1) + a7ddrphy_bitslip32 <= 8'd0; + case (a7ddrphy_bitslip3_value1) 1'd0: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[8:1]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[9:2]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[10:3]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[11:4]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[12:5]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[13:6]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[14:7]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[15:8]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip40 <= 8'd0; - case (main_a7ddrphy_bitslip4_value0) + a7ddrphy_bitslip40 <= 8'd0; + case (a7ddrphy_bitslip4_value0) 1'd0: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[8:1]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[9:2]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[10:3]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[11:4]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[12:5]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[13:6]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[14:7]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[15:8]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip42 <= 8'd0; - case (main_a7ddrphy_bitslip4_value1) + a7ddrphy_bitslip42 <= 8'd0; + case (a7ddrphy_bitslip4_value1) 1'd0: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[8:1]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[9:2]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[10:3]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[11:4]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[12:5]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[13:6]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[14:7]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[15:8]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip50 <= 8'd0; - case (main_a7ddrphy_bitslip5_value0) + a7ddrphy_bitslip50 <= 8'd0; + case (a7ddrphy_bitslip5_value0) 1'd0: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[8:1]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[9:2]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[10:3]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[11:4]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[12:5]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[13:6]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[14:7]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[15:8]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip52 <= 8'd0; - case (main_a7ddrphy_bitslip5_value1) + a7ddrphy_bitslip52 <= 8'd0; + case (a7ddrphy_bitslip5_value1) 1'd0: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[8:1]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[9:2]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[10:3]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[11:4]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[12:5]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[13:6]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[14:7]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[15:8]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip60 <= 8'd0; - case (main_a7ddrphy_bitslip6_value0) + a7ddrphy_bitslip60 <= 8'd0; + case (a7ddrphy_bitslip6_value0) 1'd0: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[8:1]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[9:2]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[10:3]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[11:4]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[12:5]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[13:6]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[14:7]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[15:8]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip62 <= 8'd0; - case (main_a7ddrphy_bitslip6_value1) + a7ddrphy_bitslip62 <= 8'd0; + case (a7ddrphy_bitslip6_value1) 1'd0: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[8:1]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[9:2]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[10:3]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[11:4]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[12:5]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[13:6]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[14:7]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[15:8]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip70 <= 8'd0; - case (main_a7ddrphy_bitslip7_value0) + a7ddrphy_bitslip70 <= 8'd0; + case (a7ddrphy_bitslip7_value0) 1'd0: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[8:1]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[9:2]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[10:3]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[11:4]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[12:5]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[13:6]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[14:7]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[15:8]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip72 <= 8'd0; - case (main_a7ddrphy_bitslip7_value1) + a7ddrphy_bitslip72 <= 8'd0; + case (a7ddrphy_bitslip7_value1) 1'd0: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[8:1]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[9:2]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[10:3]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[11:4]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[12:5]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[13:6]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[14:7]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[15:8]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip80 <= 8'd0; - case (main_a7ddrphy_bitslip8_value0) + a7ddrphy_bitslip80 <= 8'd0; + case (a7ddrphy_bitslip8_value0) 1'd0: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[8:1]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[9:2]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[10:3]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[11:4]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[12:5]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[13:6]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[14:7]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[15:8]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip82 <= 8'd0; - case (main_a7ddrphy_bitslip8_value1) + a7ddrphy_bitslip82 <= 8'd0; + case (a7ddrphy_bitslip8_value1) 1'd0: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[8:1]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[9:2]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[10:3]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[11:4]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[12:5]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[13:6]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[14:7]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[15:8]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip90 <= 8'd0; - case (main_a7ddrphy_bitslip9_value0) + a7ddrphy_bitslip90 <= 8'd0; + case (a7ddrphy_bitslip9_value0) 1'd0: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[8:1]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[9:2]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[10:3]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[11:4]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[12:5]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[13:6]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[14:7]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[15:8]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip92 <= 8'd0; - case (main_a7ddrphy_bitslip9_value1) + a7ddrphy_bitslip92 <= 8'd0; + case (a7ddrphy_bitslip9_value1) 1'd0: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[8:1]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[9:2]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[10:3]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[11:4]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[12:5]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[13:6]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[14:7]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[15:8]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip100 <= 8'd0; - case (main_a7ddrphy_bitslip10_value0) + a7ddrphy_bitslip100 <= 8'd0; + case (a7ddrphy_bitslip10_value0) 1'd0: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[8:1]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[9:2]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[10:3]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[11:4]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[12:5]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[13:6]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[14:7]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[15:8]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip102 <= 8'd0; - case (main_a7ddrphy_bitslip10_value1) + a7ddrphy_bitslip102 <= 8'd0; + case (a7ddrphy_bitslip10_value1) 1'd0: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[8:1]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[9:2]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[10:3]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[11:4]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[12:5]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[13:6]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[14:7]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[15:8]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip110 <= 8'd0; - case (main_a7ddrphy_bitslip11_value0) + a7ddrphy_bitslip110 <= 8'd0; + case (a7ddrphy_bitslip11_value0) 1'd0: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[8:1]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[9:2]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[10:3]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[11:4]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[12:5]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[13:6]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[14:7]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[15:8]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip112 <= 8'd0; - case (main_a7ddrphy_bitslip11_value1) + a7ddrphy_bitslip112 <= 8'd0; + case (a7ddrphy_bitslip11_value1) 1'd0: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[8:1]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[9:2]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[10:3]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[11:4]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[12:5]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[13:6]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[14:7]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[15:8]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip120 <= 8'd0; - case (main_a7ddrphy_bitslip12_value0) + a7ddrphy_bitslip120 <= 8'd0; + case (a7ddrphy_bitslip12_value0) 1'd0: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[8:1]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[9:2]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[10:3]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[11:4]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[12:5]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[13:6]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[14:7]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[15:8]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip122 <= 8'd0; - case (main_a7ddrphy_bitslip12_value1) + a7ddrphy_bitslip122 <= 8'd0; + case (a7ddrphy_bitslip12_value1) 1'd0: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[8:1]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[9:2]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[10:3]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[11:4]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[12:5]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[13:6]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[14:7]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[15:8]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip130 <= 8'd0; - case (main_a7ddrphy_bitslip13_value0) + a7ddrphy_bitslip130 <= 8'd0; + case (a7ddrphy_bitslip13_value0) 1'd0: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[8:1]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[9:2]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[10:3]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[11:4]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[12:5]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[13:6]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[14:7]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[15:8]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip132 <= 8'd0; - case (main_a7ddrphy_bitslip13_value1) + a7ddrphy_bitslip132 <= 8'd0; + case (a7ddrphy_bitslip13_value1) 1'd0: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[8:1]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[9:2]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[10:3]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[11:4]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[12:5]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[13:6]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[14:7]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[15:8]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip140 <= 8'd0; - case (main_a7ddrphy_bitslip14_value0) + a7ddrphy_bitslip140 <= 8'd0; + case (a7ddrphy_bitslip14_value0) 1'd0: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[8:1]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[9:2]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[10:3]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[11:4]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[12:5]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[13:6]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[14:7]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[15:8]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip142 <= 8'd0; - case (main_a7ddrphy_bitslip14_value1) + a7ddrphy_bitslip142 <= 8'd0; + case (a7ddrphy_bitslip14_value1) 1'd0: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[8:1]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[9:2]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[10:3]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[11:4]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[12:5]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[13:6]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[14:7]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[15:8]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip150 <= 8'd0; - case (main_a7ddrphy_bitslip15_value0) + a7ddrphy_bitslip150 <= 8'd0; + case (a7ddrphy_bitslip15_value0) 1'd0: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[8:1]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[9:2]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[10:3]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[11:4]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[12:5]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[13:6]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[14:7]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[15:8]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip152 <= 8'd0; - case (main_a7ddrphy_bitslip15_value1) + a7ddrphy_bitslip152 <= 8'd0; + case (a7ddrphy_bitslip15_value1) 1'd0: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[8:1]; - end - 1'd1: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[9:2]; - end - 2'd2: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[10:3]; - end - 2'd3: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[11:4]; - end - 3'd4: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[12:5]; - end - 3'd5: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[13:6]; - end - 3'd6: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[14:7]; - end - 3'd7: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[15:8]; - end - endcase -end -assign main_a7ddrphy_dfi_p0_address = main_litedramcore_master_p0_address; -assign main_a7ddrphy_dfi_p0_bank = main_litedramcore_master_p0_bank; -assign main_a7ddrphy_dfi_p0_cas_n = main_litedramcore_master_p0_cas_n; -assign main_a7ddrphy_dfi_p0_cs_n = main_litedramcore_master_p0_cs_n; -assign main_a7ddrphy_dfi_p0_ras_n = main_litedramcore_master_p0_ras_n; -assign main_a7ddrphy_dfi_p0_we_n = main_litedramcore_master_p0_we_n; -assign main_a7ddrphy_dfi_p0_cke = main_litedramcore_master_p0_cke; -assign main_a7ddrphy_dfi_p0_odt = main_litedramcore_master_p0_odt; -assign main_a7ddrphy_dfi_p0_reset_n = main_litedramcore_master_p0_reset_n; -assign main_a7ddrphy_dfi_p0_act_n = main_litedramcore_master_p0_act_n; -assign main_a7ddrphy_dfi_p0_wrdata = main_litedramcore_master_p0_wrdata; -assign main_a7ddrphy_dfi_p0_wrdata_en = main_litedramcore_master_p0_wrdata_en; -assign main_a7ddrphy_dfi_p0_wrdata_mask = main_litedramcore_master_p0_wrdata_mask; -assign main_a7ddrphy_dfi_p0_rddata_en = main_litedramcore_master_p0_rddata_en; -assign main_litedramcore_master_p0_rddata = main_a7ddrphy_dfi_p0_rddata; -assign main_litedramcore_master_p0_rddata_valid = main_a7ddrphy_dfi_p0_rddata_valid; -assign main_a7ddrphy_dfi_p1_address = main_litedramcore_master_p1_address; -assign main_a7ddrphy_dfi_p1_bank = main_litedramcore_master_p1_bank; -assign main_a7ddrphy_dfi_p1_cas_n = main_litedramcore_master_p1_cas_n; -assign main_a7ddrphy_dfi_p1_cs_n = main_litedramcore_master_p1_cs_n; -assign main_a7ddrphy_dfi_p1_ras_n = main_litedramcore_master_p1_ras_n; -assign main_a7ddrphy_dfi_p1_we_n = main_litedramcore_master_p1_we_n; -assign main_a7ddrphy_dfi_p1_cke = main_litedramcore_master_p1_cke; -assign main_a7ddrphy_dfi_p1_odt = main_litedramcore_master_p1_odt; -assign main_a7ddrphy_dfi_p1_reset_n = main_litedramcore_master_p1_reset_n; -assign main_a7ddrphy_dfi_p1_act_n = main_litedramcore_master_p1_act_n; -assign main_a7ddrphy_dfi_p1_wrdata = main_litedramcore_master_p1_wrdata; -assign main_a7ddrphy_dfi_p1_wrdata_en = main_litedramcore_master_p1_wrdata_en; -assign main_a7ddrphy_dfi_p1_wrdata_mask = main_litedramcore_master_p1_wrdata_mask; -assign main_a7ddrphy_dfi_p1_rddata_en = main_litedramcore_master_p1_rddata_en; -assign main_litedramcore_master_p1_rddata = main_a7ddrphy_dfi_p1_rddata; -assign main_litedramcore_master_p1_rddata_valid = main_a7ddrphy_dfi_p1_rddata_valid; -assign main_a7ddrphy_dfi_p2_address = main_litedramcore_master_p2_address; -assign main_a7ddrphy_dfi_p2_bank = main_litedramcore_master_p2_bank; -assign main_a7ddrphy_dfi_p2_cas_n = main_litedramcore_master_p2_cas_n; -assign main_a7ddrphy_dfi_p2_cs_n = main_litedramcore_master_p2_cs_n; -assign main_a7ddrphy_dfi_p2_ras_n = main_litedramcore_master_p2_ras_n; -assign main_a7ddrphy_dfi_p2_we_n = main_litedramcore_master_p2_we_n; -assign main_a7ddrphy_dfi_p2_cke = main_litedramcore_master_p2_cke; -assign main_a7ddrphy_dfi_p2_odt = main_litedramcore_master_p2_odt; -assign main_a7ddrphy_dfi_p2_reset_n = main_litedramcore_master_p2_reset_n; -assign main_a7ddrphy_dfi_p2_act_n = main_litedramcore_master_p2_act_n; -assign main_a7ddrphy_dfi_p2_wrdata = main_litedramcore_master_p2_wrdata; -assign main_a7ddrphy_dfi_p2_wrdata_en = main_litedramcore_master_p2_wrdata_en; -assign main_a7ddrphy_dfi_p2_wrdata_mask = main_litedramcore_master_p2_wrdata_mask; -assign main_a7ddrphy_dfi_p2_rddata_en = main_litedramcore_master_p2_rddata_en; -assign main_litedramcore_master_p2_rddata = main_a7ddrphy_dfi_p2_rddata; -assign main_litedramcore_master_p2_rddata_valid = main_a7ddrphy_dfi_p2_rddata_valid; -assign main_a7ddrphy_dfi_p3_address = main_litedramcore_master_p3_address; -assign main_a7ddrphy_dfi_p3_bank = main_litedramcore_master_p3_bank; -assign main_a7ddrphy_dfi_p3_cas_n = main_litedramcore_master_p3_cas_n; -assign main_a7ddrphy_dfi_p3_cs_n = main_litedramcore_master_p3_cs_n; -assign main_a7ddrphy_dfi_p3_ras_n = main_litedramcore_master_p3_ras_n; -assign main_a7ddrphy_dfi_p3_we_n = main_litedramcore_master_p3_we_n; -assign main_a7ddrphy_dfi_p3_cke = main_litedramcore_master_p3_cke; -assign main_a7ddrphy_dfi_p3_odt = main_litedramcore_master_p3_odt; -assign main_a7ddrphy_dfi_p3_reset_n = main_litedramcore_master_p3_reset_n; -assign main_a7ddrphy_dfi_p3_act_n = main_litedramcore_master_p3_act_n; -assign main_a7ddrphy_dfi_p3_wrdata = main_litedramcore_master_p3_wrdata; -assign main_a7ddrphy_dfi_p3_wrdata_en = main_litedramcore_master_p3_wrdata_en; -assign main_a7ddrphy_dfi_p3_wrdata_mask = main_litedramcore_master_p3_wrdata_mask; -assign main_a7ddrphy_dfi_p3_rddata_en = main_litedramcore_master_p3_rddata_en; -assign main_litedramcore_master_p3_rddata = main_a7ddrphy_dfi_p3_rddata; -assign main_litedramcore_master_p3_rddata_valid = main_a7ddrphy_dfi_p3_rddata_valid; -assign main_litedramcore_slave_p0_address = main_litedramcore_dfi_p0_address; -assign main_litedramcore_slave_p0_bank = main_litedramcore_dfi_p0_bank; -assign main_litedramcore_slave_p0_cas_n = main_litedramcore_dfi_p0_cas_n; -assign main_litedramcore_slave_p0_cs_n = main_litedramcore_dfi_p0_cs_n; -assign main_litedramcore_slave_p0_ras_n = main_litedramcore_dfi_p0_ras_n; -assign main_litedramcore_slave_p0_we_n = main_litedramcore_dfi_p0_we_n; -assign main_litedramcore_slave_p0_cke = main_litedramcore_dfi_p0_cke; -assign main_litedramcore_slave_p0_odt = main_litedramcore_dfi_p0_odt; -assign main_litedramcore_slave_p0_reset_n = main_litedramcore_dfi_p0_reset_n; -assign main_litedramcore_slave_p0_act_n = main_litedramcore_dfi_p0_act_n; -assign main_litedramcore_slave_p0_wrdata = main_litedramcore_dfi_p0_wrdata; -assign main_litedramcore_slave_p0_wrdata_en = main_litedramcore_dfi_p0_wrdata_en; -assign main_litedramcore_slave_p0_wrdata_mask = main_litedramcore_dfi_p0_wrdata_mask; -assign main_litedramcore_slave_p0_rddata_en = main_litedramcore_dfi_p0_rddata_en; -assign main_litedramcore_dfi_p0_rddata = main_litedramcore_slave_p0_rddata; -assign main_litedramcore_dfi_p0_rddata_valid = main_litedramcore_slave_p0_rddata_valid; -assign main_litedramcore_slave_p1_address = main_litedramcore_dfi_p1_address; -assign main_litedramcore_slave_p1_bank = main_litedramcore_dfi_p1_bank; -assign main_litedramcore_slave_p1_cas_n = main_litedramcore_dfi_p1_cas_n; -assign main_litedramcore_slave_p1_cs_n = main_litedramcore_dfi_p1_cs_n; -assign main_litedramcore_slave_p1_ras_n = main_litedramcore_dfi_p1_ras_n; -assign main_litedramcore_slave_p1_we_n = main_litedramcore_dfi_p1_we_n; -assign main_litedramcore_slave_p1_cke = main_litedramcore_dfi_p1_cke; -assign main_litedramcore_slave_p1_odt = main_litedramcore_dfi_p1_odt; -assign main_litedramcore_slave_p1_reset_n = main_litedramcore_dfi_p1_reset_n; -assign main_litedramcore_slave_p1_act_n = main_litedramcore_dfi_p1_act_n; -assign main_litedramcore_slave_p1_wrdata = main_litedramcore_dfi_p1_wrdata; -assign main_litedramcore_slave_p1_wrdata_en = main_litedramcore_dfi_p1_wrdata_en; -assign main_litedramcore_slave_p1_wrdata_mask = main_litedramcore_dfi_p1_wrdata_mask; -assign main_litedramcore_slave_p1_rddata_en = main_litedramcore_dfi_p1_rddata_en; -assign main_litedramcore_dfi_p1_rddata = main_litedramcore_slave_p1_rddata; -assign main_litedramcore_dfi_p1_rddata_valid = main_litedramcore_slave_p1_rddata_valid; -assign main_litedramcore_slave_p2_address = main_litedramcore_dfi_p2_address; -assign main_litedramcore_slave_p2_bank = main_litedramcore_dfi_p2_bank; -assign main_litedramcore_slave_p2_cas_n = main_litedramcore_dfi_p2_cas_n; -assign main_litedramcore_slave_p2_cs_n = main_litedramcore_dfi_p2_cs_n; -assign main_litedramcore_slave_p2_ras_n = main_litedramcore_dfi_p2_ras_n; -assign main_litedramcore_slave_p2_we_n = main_litedramcore_dfi_p2_we_n; -assign main_litedramcore_slave_p2_cke = main_litedramcore_dfi_p2_cke; -assign main_litedramcore_slave_p2_odt = main_litedramcore_dfi_p2_odt; -assign main_litedramcore_slave_p2_reset_n = main_litedramcore_dfi_p2_reset_n; -assign main_litedramcore_slave_p2_act_n = main_litedramcore_dfi_p2_act_n; -assign main_litedramcore_slave_p2_wrdata = main_litedramcore_dfi_p2_wrdata; -assign main_litedramcore_slave_p2_wrdata_en = main_litedramcore_dfi_p2_wrdata_en; -assign main_litedramcore_slave_p2_wrdata_mask = main_litedramcore_dfi_p2_wrdata_mask; -assign main_litedramcore_slave_p2_rddata_en = main_litedramcore_dfi_p2_rddata_en; -assign main_litedramcore_dfi_p2_rddata = main_litedramcore_slave_p2_rddata; -assign main_litedramcore_dfi_p2_rddata_valid = main_litedramcore_slave_p2_rddata_valid; -assign main_litedramcore_slave_p3_address = main_litedramcore_dfi_p3_address; -assign main_litedramcore_slave_p3_bank = main_litedramcore_dfi_p3_bank; -assign main_litedramcore_slave_p3_cas_n = main_litedramcore_dfi_p3_cas_n; -assign main_litedramcore_slave_p3_cs_n = main_litedramcore_dfi_p3_cs_n; -assign main_litedramcore_slave_p3_ras_n = main_litedramcore_dfi_p3_ras_n; -assign main_litedramcore_slave_p3_we_n = main_litedramcore_dfi_p3_we_n; -assign main_litedramcore_slave_p3_cke = main_litedramcore_dfi_p3_cke; -assign main_litedramcore_slave_p3_odt = main_litedramcore_dfi_p3_odt; -assign main_litedramcore_slave_p3_reset_n = main_litedramcore_dfi_p3_reset_n; -assign main_litedramcore_slave_p3_act_n = main_litedramcore_dfi_p3_act_n; -assign main_litedramcore_slave_p3_wrdata = main_litedramcore_dfi_p3_wrdata; -assign main_litedramcore_slave_p3_wrdata_en = main_litedramcore_dfi_p3_wrdata_en; -assign main_litedramcore_slave_p3_wrdata_mask = main_litedramcore_dfi_p3_wrdata_mask; -assign main_litedramcore_slave_p3_rddata_en = main_litedramcore_dfi_p3_rddata_en; -assign main_litedramcore_dfi_p3_rddata = main_litedramcore_slave_p3_rddata; -assign main_litedramcore_dfi_p3_rddata_valid = main_litedramcore_slave_p3_rddata_valid; + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[8:1]; + end + 1'd1: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[9:2]; + end + 2'd2: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[10:3]; + end + 2'd3: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[11:4]; + end + 3'd4: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[12:5]; + end + 3'd5: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[13:6]; + end + 3'd6: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[14:7]; + end + 3'd7: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[15:8]; + end + endcase +end +assign a7ddrphy_dfi_p0_address = litedramcore_master_p0_address; +assign a7ddrphy_dfi_p0_bank = litedramcore_master_p0_bank; +assign a7ddrphy_dfi_p0_cas_n = litedramcore_master_p0_cas_n; +assign a7ddrphy_dfi_p0_cs_n = litedramcore_master_p0_cs_n; +assign a7ddrphy_dfi_p0_ras_n = litedramcore_master_p0_ras_n; +assign a7ddrphy_dfi_p0_we_n = litedramcore_master_p0_we_n; +assign a7ddrphy_dfi_p0_cke = litedramcore_master_p0_cke; +assign a7ddrphy_dfi_p0_odt = litedramcore_master_p0_odt; +assign a7ddrphy_dfi_p0_reset_n = litedramcore_master_p0_reset_n; +assign a7ddrphy_dfi_p0_act_n = litedramcore_master_p0_act_n; +assign a7ddrphy_dfi_p0_wrdata = litedramcore_master_p0_wrdata; +assign a7ddrphy_dfi_p0_wrdata_en = litedramcore_master_p0_wrdata_en; +assign a7ddrphy_dfi_p0_wrdata_mask = litedramcore_master_p0_wrdata_mask; +assign a7ddrphy_dfi_p0_rddata_en = litedramcore_master_p0_rddata_en; +assign litedramcore_master_p0_rddata = a7ddrphy_dfi_p0_rddata; +assign litedramcore_master_p0_rddata_valid = a7ddrphy_dfi_p0_rddata_valid; +assign a7ddrphy_dfi_p1_address = litedramcore_master_p1_address; +assign a7ddrphy_dfi_p1_bank = litedramcore_master_p1_bank; +assign a7ddrphy_dfi_p1_cas_n = litedramcore_master_p1_cas_n; +assign a7ddrphy_dfi_p1_cs_n = litedramcore_master_p1_cs_n; +assign a7ddrphy_dfi_p1_ras_n = litedramcore_master_p1_ras_n; +assign a7ddrphy_dfi_p1_we_n = litedramcore_master_p1_we_n; +assign a7ddrphy_dfi_p1_cke = litedramcore_master_p1_cke; +assign a7ddrphy_dfi_p1_odt = litedramcore_master_p1_odt; +assign a7ddrphy_dfi_p1_reset_n = litedramcore_master_p1_reset_n; +assign a7ddrphy_dfi_p1_act_n = litedramcore_master_p1_act_n; +assign a7ddrphy_dfi_p1_wrdata = litedramcore_master_p1_wrdata; +assign a7ddrphy_dfi_p1_wrdata_en = litedramcore_master_p1_wrdata_en; +assign a7ddrphy_dfi_p1_wrdata_mask = litedramcore_master_p1_wrdata_mask; +assign a7ddrphy_dfi_p1_rddata_en = litedramcore_master_p1_rddata_en; +assign litedramcore_master_p1_rddata = a7ddrphy_dfi_p1_rddata; +assign litedramcore_master_p1_rddata_valid = a7ddrphy_dfi_p1_rddata_valid; +assign a7ddrphy_dfi_p2_address = litedramcore_master_p2_address; +assign a7ddrphy_dfi_p2_bank = litedramcore_master_p2_bank; +assign a7ddrphy_dfi_p2_cas_n = litedramcore_master_p2_cas_n; +assign a7ddrphy_dfi_p2_cs_n = litedramcore_master_p2_cs_n; +assign a7ddrphy_dfi_p2_ras_n = litedramcore_master_p2_ras_n; +assign a7ddrphy_dfi_p2_we_n = litedramcore_master_p2_we_n; +assign a7ddrphy_dfi_p2_cke = litedramcore_master_p2_cke; +assign a7ddrphy_dfi_p2_odt = litedramcore_master_p2_odt; +assign a7ddrphy_dfi_p2_reset_n = litedramcore_master_p2_reset_n; +assign a7ddrphy_dfi_p2_act_n = litedramcore_master_p2_act_n; +assign a7ddrphy_dfi_p2_wrdata = litedramcore_master_p2_wrdata; +assign a7ddrphy_dfi_p2_wrdata_en = litedramcore_master_p2_wrdata_en; +assign a7ddrphy_dfi_p2_wrdata_mask = litedramcore_master_p2_wrdata_mask; +assign a7ddrphy_dfi_p2_rddata_en = litedramcore_master_p2_rddata_en; +assign litedramcore_master_p2_rddata = a7ddrphy_dfi_p2_rddata; +assign litedramcore_master_p2_rddata_valid = a7ddrphy_dfi_p2_rddata_valid; +assign a7ddrphy_dfi_p3_address = litedramcore_master_p3_address; +assign a7ddrphy_dfi_p3_bank = litedramcore_master_p3_bank; +assign a7ddrphy_dfi_p3_cas_n = litedramcore_master_p3_cas_n; +assign a7ddrphy_dfi_p3_cs_n = litedramcore_master_p3_cs_n; +assign a7ddrphy_dfi_p3_ras_n = litedramcore_master_p3_ras_n; +assign a7ddrphy_dfi_p3_we_n = litedramcore_master_p3_we_n; +assign a7ddrphy_dfi_p3_cke = litedramcore_master_p3_cke; +assign a7ddrphy_dfi_p3_odt = litedramcore_master_p3_odt; +assign a7ddrphy_dfi_p3_reset_n = litedramcore_master_p3_reset_n; +assign a7ddrphy_dfi_p3_act_n = litedramcore_master_p3_act_n; +assign a7ddrphy_dfi_p3_wrdata = litedramcore_master_p3_wrdata; +assign a7ddrphy_dfi_p3_wrdata_en = litedramcore_master_p3_wrdata_en; +assign a7ddrphy_dfi_p3_wrdata_mask = litedramcore_master_p3_wrdata_mask; +assign a7ddrphy_dfi_p3_rddata_en = litedramcore_master_p3_rddata_en; +assign litedramcore_master_p3_rddata = a7ddrphy_dfi_p3_rddata; +assign litedramcore_master_p3_rddata_valid = a7ddrphy_dfi_p3_rddata_valid; +assign litedramcore_slave_p0_address = litedramcore_dfi_p0_address; +assign litedramcore_slave_p0_bank = litedramcore_dfi_p0_bank; +assign litedramcore_slave_p0_cas_n = litedramcore_dfi_p0_cas_n; +assign litedramcore_slave_p0_cs_n = litedramcore_dfi_p0_cs_n; +assign litedramcore_slave_p0_ras_n = litedramcore_dfi_p0_ras_n; +assign litedramcore_slave_p0_we_n = litedramcore_dfi_p0_we_n; +assign litedramcore_slave_p0_cke = litedramcore_dfi_p0_cke; +assign litedramcore_slave_p0_odt = litedramcore_dfi_p0_odt; +assign litedramcore_slave_p0_reset_n = litedramcore_dfi_p0_reset_n; +assign litedramcore_slave_p0_act_n = litedramcore_dfi_p0_act_n; +assign litedramcore_slave_p0_wrdata = litedramcore_dfi_p0_wrdata; +assign litedramcore_slave_p0_wrdata_en = litedramcore_dfi_p0_wrdata_en; +assign litedramcore_slave_p0_wrdata_mask = litedramcore_dfi_p0_wrdata_mask; +assign litedramcore_slave_p0_rddata_en = litedramcore_dfi_p0_rddata_en; +assign litedramcore_dfi_p0_rddata = litedramcore_slave_p0_rddata; +assign litedramcore_dfi_p0_rddata_valid = litedramcore_slave_p0_rddata_valid; +assign litedramcore_slave_p1_address = litedramcore_dfi_p1_address; +assign litedramcore_slave_p1_bank = litedramcore_dfi_p1_bank; +assign litedramcore_slave_p1_cas_n = litedramcore_dfi_p1_cas_n; +assign litedramcore_slave_p1_cs_n = litedramcore_dfi_p1_cs_n; +assign litedramcore_slave_p1_ras_n = litedramcore_dfi_p1_ras_n; +assign litedramcore_slave_p1_we_n = litedramcore_dfi_p1_we_n; +assign litedramcore_slave_p1_cke = litedramcore_dfi_p1_cke; +assign litedramcore_slave_p1_odt = litedramcore_dfi_p1_odt; +assign litedramcore_slave_p1_reset_n = litedramcore_dfi_p1_reset_n; +assign litedramcore_slave_p1_act_n = litedramcore_dfi_p1_act_n; +assign litedramcore_slave_p1_wrdata = litedramcore_dfi_p1_wrdata; +assign litedramcore_slave_p1_wrdata_en = litedramcore_dfi_p1_wrdata_en; +assign litedramcore_slave_p1_wrdata_mask = litedramcore_dfi_p1_wrdata_mask; +assign litedramcore_slave_p1_rddata_en = litedramcore_dfi_p1_rddata_en; +assign litedramcore_dfi_p1_rddata = litedramcore_slave_p1_rddata; +assign litedramcore_dfi_p1_rddata_valid = litedramcore_slave_p1_rddata_valid; +assign litedramcore_slave_p2_address = litedramcore_dfi_p2_address; +assign litedramcore_slave_p2_bank = litedramcore_dfi_p2_bank; +assign litedramcore_slave_p2_cas_n = litedramcore_dfi_p2_cas_n; +assign litedramcore_slave_p2_cs_n = litedramcore_dfi_p2_cs_n; +assign litedramcore_slave_p2_ras_n = litedramcore_dfi_p2_ras_n; +assign litedramcore_slave_p2_we_n = litedramcore_dfi_p2_we_n; +assign litedramcore_slave_p2_cke = litedramcore_dfi_p2_cke; +assign litedramcore_slave_p2_odt = litedramcore_dfi_p2_odt; +assign litedramcore_slave_p2_reset_n = litedramcore_dfi_p2_reset_n; +assign litedramcore_slave_p2_act_n = litedramcore_dfi_p2_act_n; +assign litedramcore_slave_p2_wrdata = litedramcore_dfi_p2_wrdata; +assign litedramcore_slave_p2_wrdata_en = litedramcore_dfi_p2_wrdata_en; +assign litedramcore_slave_p2_wrdata_mask = litedramcore_dfi_p2_wrdata_mask; +assign litedramcore_slave_p2_rddata_en = litedramcore_dfi_p2_rddata_en; +assign litedramcore_dfi_p2_rddata = litedramcore_slave_p2_rddata; +assign litedramcore_dfi_p2_rddata_valid = litedramcore_slave_p2_rddata_valid; +assign litedramcore_slave_p3_address = litedramcore_dfi_p3_address; +assign litedramcore_slave_p3_bank = litedramcore_dfi_p3_bank; +assign litedramcore_slave_p3_cas_n = litedramcore_dfi_p3_cas_n; +assign litedramcore_slave_p3_cs_n = litedramcore_dfi_p3_cs_n; +assign litedramcore_slave_p3_ras_n = litedramcore_dfi_p3_ras_n; +assign litedramcore_slave_p3_we_n = litedramcore_dfi_p3_we_n; +assign litedramcore_slave_p3_cke = litedramcore_dfi_p3_cke; +assign litedramcore_slave_p3_odt = litedramcore_dfi_p3_odt; +assign litedramcore_slave_p3_reset_n = litedramcore_dfi_p3_reset_n; +assign litedramcore_slave_p3_act_n = litedramcore_dfi_p3_act_n; +assign litedramcore_slave_p3_wrdata = litedramcore_dfi_p3_wrdata; +assign litedramcore_slave_p3_wrdata_en = litedramcore_dfi_p3_wrdata_en; +assign litedramcore_slave_p3_wrdata_mask = litedramcore_dfi_p3_wrdata_mask; +assign litedramcore_slave_p3_rddata_en = litedramcore_dfi_p3_rddata_en; +assign litedramcore_dfi_p3_rddata = litedramcore_slave_p3_rddata; +assign litedramcore_dfi_p3_rddata_valid = litedramcore_slave_p3_rddata_valid; +always @(*) begin + litedramcore_csr_dfi_p0_rddata <= 32'd0; + if (litedramcore_sel) begin + end else begin + litedramcore_csr_dfi_p0_rddata <= litedramcore_master_p0_rddata; + end +end +always @(*) begin + litedramcore_csr_dfi_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + end else begin + litedramcore_csr_dfi_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end +end +always @(*) begin + litedramcore_csr_dfi_p1_rddata <= 32'd0; + if (litedramcore_sel) begin + end else begin + litedramcore_csr_dfi_p1_rddata <= litedramcore_master_p1_rddata; + end +end +always @(*) begin + litedramcore_csr_dfi_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + end else begin + litedramcore_csr_dfi_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end +end always @(*) begin - main_litedramcore_master_p3_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_rddata_en <= main_litedramcore_slave_p3_rddata_en; + litedramcore_csr_dfi_p2_rddata <= 32'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p3_rddata_en <= main_litedramcore_inti_p3_rddata_en; + litedramcore_csr_dfi_p2_rddata <= litedramcore_master_p2_rddata; end end always @(*) begin - main_litedramcore_master_p0_address <= 14'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_address <= main_litedramcore_slave_p0_address; + litedramcore_csr_dfi_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p0_address <= main_litedramcore_inti_p0_address; + litedramcore_csr_dfi_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; end end always @(*) begin - main_litedramcore_master_p0_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_bank <= main_litedramcore_slave_p0_bank; + litedramcore_csr_dfi_p3_rddata <= 32'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p0_bank <= main_litedramcore_inti_p0_bank; + litedramcore_csr_dfi_p3_rddata <= litedramcore_master_p3_rddata; end end always @(*) begin - main_litedramcore_master_p0_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cas_n <= main_litedramcore_slave_p0_cas_n; + litedramcore_csr_dfi_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p0_cas_n <= main_litedramcore_inti_p0_cas_n; + litedramcore_csr_dfi_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; end end always @(*) begin - main_litedramcore_master_p0_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cs_n <= main_litedramcore_slave_p0_cs_n; + litedramcore_ext_dfi_p0_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p0_rddata <= litedramcore_master_p0_rddata; + end else begin + end end else begin - main_litedramcore_master_p0_cs_n <= main_litedramcore_inti_p0_cs_n; end end always @(*) begin - main_litedramcore_master_p0_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_ras_n <= main_litedramcore_slave_p0_ras_n; + litedramcore_ext_dfi_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p0_ras_n <= main_litedramcore_inti_p0_ras_n; end end always @(*) begin - main_litedramcore_slave_p0_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p0_rddata <= main_litedramcore_master_p0_rddata; + litedramcore_ext_dfi_p1_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p1_rddata <= litedramcore_master_p1_rddata; + end else begin + end end else begin end end always @(*) begin - main_litedramcore_master_p0_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_we_n <= main_litedramcore_slave_p0_we_n; + litedramcore_ext_dfi_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p0_we_n <= main_litedramcore_inti_p0_we_n; end end always @(*) begin - main_litedramcore_slave_p0_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p0_rddata_valid <= main_litedramcore_master_p0_rddata_valid; + litedramcore_ext_dfi_p2_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p2_rddata <= litedramcore_master_p2_rddata; + end else begin + end end else begin end end always @(*) begin - main_litedramcore_master_p0_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cke <= main_litedramcore_slave_p0_cke; + litedramcore_ext_dfi_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p0_cke <= main_litedramcore_inti_p0_cke; end end always @(*) begin - main_litedramcore_master_p0_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_odt <= main_litedramcore_slave_p0_odt; + litedramcore_slave_p0_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p0_rddata <= litedramcore_master_p0_rddata; + end end else begin - main_litedramcore_master_p0_odt <= main_litedramcore_inti_p0_odt; end end always @(*) begin - main_litedramcore_master_p0_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_reset_n <= main_litedramcore_slave_p0_reset_n; + litedramcore_slave_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end end else begin - main_litedramcore_master_p0_reset_n <= main_litedramcore_inti_p0_reset_n; end end always @(*) begin - main_litedramcore_master_p0_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_act_n <= main_litedramcore_slave_p0_act_n; + litedramcore_ext_dfi_p3_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p3_rddata <= litedramcore_master_p3_rddata; + end else begin + end end else begin - main_litedramcore_master_p0_act_n <= main_litedramcore_inti_p0_act_n; end end always @(*) begin - main_litedramcore_master_p0_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata <= main_litedramcore_slave_p0_wrdata; + litedramcore_ext_dfi_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p0_wrdata <= main_litedramcore_inti_p0_wrdata; end end always @(*) begin - main_litedramcore_inti_p1_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_slave_p1_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p1_rddata <= litedramcore_master_p1_rddata; + end end else begin - main_litedramcore_inti_p1_rddata <= main_litedramcore_master_p1_rddata; end end always @(*) begin - main_litedramcore_master_p0_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata_en <= main_litedramcore_slave_p0_wrdata_en; + litedramcore_slave_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end end else begin - main_litedramcore_master_p0_wrdata_en <= main_litedramcore_inti_p0_wrdata_en; end end always @(*) begin - main_litedramcore_inti_p1_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_slave_p2_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p2_rddata <= litedramcore_master_p2_rddata; + end end else begin - main_litedramcore_inti_p1_rddata_valid <= main_litedramcore_master_p1_rddata_valid; end end always @(*) begin - main_litedramcore_master_p0_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata_mask <= main_litedramcore_slave_p0_wrdata_mask; + litedramcore_slave_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; + end end else begin - main_litedramcore_master_p0_wrdata_mask <= main_litedramcore_inti_p0_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p0_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_rddata_en <= main_litedramcore_slave_p0_rddata_en; + litedramcore_slave_p3_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p3_rddata <= litedramcore_master_p3_rddata; + end end else begin - main_litedramcore_master_p0_rddata_en <= main_litedramcore_inti_p0_rddata_en; end end always @(*) begin - main_litedramcore_master_p1_address <= 14'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_address <= main_litedramcore_slave_p1_address; + litedramcore_slave_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; + end end else begin - main_litedramcore_master_p1_address <= main_litedramcore_inti_p1_address; end end always @(*) begin - main_litedramcore_master_p1_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_bank <= main_litedramcore_slave_p1_bank; + litedramcore_master_p0_address <= 14'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_address <= litedramcore_ext_dfi_p0_address; + end else begin + litedramcore_master_p0_address <= litedramcore_slave_p0_address; + end end else begin - main_litedramcore_master_p1_bank <= main_litedramcore_inti_p1_bank; + litedramcore_master_p0_address <= litedramcore_csr_dfi_p0_address; end end always @(*) begin - main_litedramcore_master_p1_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cas_n <= main_litedramcore_slave_p1_cas_n; + litedramcore_master_p0_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_bank <= litedramcore_ext_dfi_p0_bank; + end else begin + litedramcore_master_p0_bank <= litedramcore_slave_p0_bank; + end end else begin - main_litedramcore_master_p1_cas_n <= main_litedramcore_inti_p1_cas_n; + litedramcore_master_p0_bank <= litedramcore_csr_dfi_p0_bank; end end always @(*) begin - main_litedramcore_master_p1_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cs_n <= main_litedramcore_slave_p1_cs_n; + litedramcore_master_p0_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cas_n <= litedramcore_ext_dfi_p0_cas_n; + end else begin + litedramcore_master_p0_cas_n <= litedramcore_slave_p0_cas_n; + end end else begin - main_litedramcore_master_p1_cs_n <= main_litedramcore_inti_p1_cs_n; + litedramcore_master_p0_cas_n <= litedramcore_csr_dfi_p0_cas_n; end end always @(*) begin - main_litedramcore_master_p1_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_ras_n <= main_litedramcore_slave_p1_ras_n; + litedramcore_master_p0_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cs_n <= litedramcore_ext_dfi_p0_cs_n; + end else begin + litedramcore_master_p0_cs_n <= litedramcore_slave_p0_cs_n; + end end else begin - main_litedramcore_master_p1_ras_n <= main_litedramcore_inti_p1_ras_n; + litedramcore_master_p0_cs_n <= litedramcore_csr_dfi_p0_cs_n; end end always @(*) begin - main_litedramcore_slave_p1_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p1_rddata <= main_litedramcore_master_p1_rddata; + litedramcore_master_p0_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_ras_n <= litedramcore_ext_dfi_p0_ras_n; + end else begin + litedramcore_master_p0_ras_n <= litedramcore_slave_p0_ras_n; + end end else begin + litedramcore_master_p0_ras_n <= litedramcore_csr_dfi_p0_ras_n; end end always @(*) begin - main_litedramcore_master_p1_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_we_n <= main_litedramcore_slave_p1_we_n; + litedramcore_master_p0_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_we_n <= litedramcore_ext_dfi_p0_we_n; + end else begin + litedramcore_master_p0_we_n <= litedramcore_slave_p0_we_n; + end end else begin - main_litedramcore_master_p1_we_n <= main_litedramcore_inti_p1_we_n; + litedramcore_master_p0_we_n <= litedramcore_csr_dfi_p0_we_n; end end always @(*) begin - main_litedramcore_slave_p1_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p1_rddata_valid <= main_litedramcore_master_p1_rddata_valid; + litedramcore_master_p0_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cke <= litedramcore_ext_dfi_p0_cke; + end else begin + litedramcore_master_p0_cke <= litedramcore_slave_p0_cke; + end end else begin + litedramcore_master_p0_cke <= litedramcore_csr_dfi_p0_cke; end end always @(*) begin - main_litedramcore_master_p1_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cke <= main_litedramcore_slave_p1_cke; + litedramcore_master_p0_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_odt <= litedramcore_ext_dfi_p0_odt; + end else begin + litedramcore_master_p0_odt <= litedramcore_slave_p0_odt; + end end else begin - main_litedramcore_master_p1_cke <= main_litedramcore_inti_p1_cke; + litedramcore_master_p0_odt <= litedramcore_csr_dfi_p0_odt; end end always @(*) begin - main_litedramcore_master_p1_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_odt <= main_litedramcore_slave_p1_odt; + litedramcore_master_p0_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_reset_n <= litedramcore_ext_dfi_p0_reset_n; + end else begin + litedramcore_master_p0_reset_n <= litedramcore_slave_p0_reset_n; + end + end else begin + litedramcore_master_p0_reset_n <= litedramcore_csr_dfi_p0_reset_n; + end +end +always @(*) begin + litedramcore_master_p0_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_act_n <= litedramcore_ext_dfi_p0_act_n; + end else begin + litedramcore_master_p0_act_n <= litedramcore_slave_p0_act_n; + end + end else begin + litedramcore_master_p0_act_n <= litedramcore_csr_dfi_p0_act_n; + end +end +always @(*) begin + litedramcore_master_p0_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata <= litedramcore_ext_dfi_p0_wrdata; + end else begin + litedramcore_master_p0_wrdata <= litedramcore_slave_p0_wrdata; + end + end else begin + litedramcore_master_p0_wrdata <= litedramcore_csr_dfi_p0_wrdata; + end +end +always @(*) begin + litedramcore_master_p0_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata_en <= litedramcore_ext_dfi_p0_wrdata_en; + end else begin + litedramcore_master_p0_wrdata_en <= litedramcore_slave_p0_wrdata_en; + end + end else begin + litedramcore_master_p0_wrdata_en <= litedramcore_csr_dfi_p0_wrdata_en; + end +end +always @(*) begin + litedramcore_master_p0_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata_mask <= litedramcore_ext_dfi_p0_wrdata_mask; + end else begin + litedramcore_master_p0_wrdata_mask <= litedramcore_slave_p0_wrdata_mask; + end end else begin - main_litedramcore_master_p1_odt <= main_litedramcore_inti_p1_odt; + litedramcore_master_p0_wrdata_mask <= litedramcore_csr_dfi_p0_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p1_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_reset_n <= main_litedramcore_slave_p1_reset_n; + litedramcore_master_p0_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_rddata_en <= litedramcore_ext_dfi_p0_rddata_en; + end else begin + litedramcore_master_p0_rddata_en <= litedramcore_slave_p0_rddata_en; + end end else begin - main_litedramcore_master_p1_reset_n <= main_litedramcore_inti_p1_reset_n; + litedramcore_master_p0_rddata_en <= litedramcore_csr_dfi_p0_rddata_en; end end always @(*) begin - main_litedramcore_master_p1_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_act_n <= main_litedramcore_slave_p1_act_n; + litedramcore_master_p1_address <= 14'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_address <= litedramcore_ext_dfi_p1_address; + end else begin + litedramcore_master_p1_address <= litedramcore_slave_p1_address; + end end else begin - main_litedramcore_master_p1_act_n <= main_litedramcore_inti_p1_act_n; + litedramcore_master_p1_address <= litedramcore_csr_dfi_p1_address; end end always @(*) begin - main_litedramcore_master_p1_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata <= main_litedramcore_slave_p1_wrdata; + litedramcore_master_p1_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_bank <= litedramcore_ext_dfi_p1_bank; + end else begin + litedramcore_master_p1_bank <= litedramcore_slave_p1_bank; + end end else begin - main_litedramcore_master_p1_wrdata <= main_litedramcore_inti_p1_wrdata; + litedramcore_master_p1_bank <= litedramcore_csr_dfi_p1_bank; end end always @(*) begin - main_litedramcore_inti_p2_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p1_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cas_n <= litedramcore_ext_dfi_p1_cas_n; + end else begin + litedramcore_master_p1_cas_n <= litedramcore_slave_p1_cas_n; + end end else begin - main_litedramcore_inti_p2_rddata <= main_litedramcore_master_p2_rddata; + litedramcore_master_p1_cas_n <= litedramcore_csr_dfi_p1_cas_n; end end always @(*) begin - main_litedramcore_master_p1_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata_en <= main_litedramcore_slave_p1_wrdata_en; + litedramcore_master_p1_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cs_n <= litedramcore_ext_dfi_p1_cs_n; + end else begin + litedramcore_master_p1_cs_n <= litedramcore_slave_p1_cs_n; + end end else begin - main_litedramcore_master_p1_wrdata_en <= main_litedramcore_inti_p1_wrdata_en; + litedramcore_master_p1_cs_n <= litedramcore_csr_dfi_p1_cs_n; end end always @(*) begin - main_litedramcore_inti_p2_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p1_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_ras_n <= litedramcore_ext_dfi_p1_ras_n; + end else begin + litedramcore_master_p1_ras_n <= litedramcore_slave_p1_ras_n; + end end else begin - main_litedramcore_inti_p2_rddata_valid <= main_litedramcore_master_p2_rddata_valid; + litedramcore_master_p1_ras_n <= litedramcore_csr_dfi_p1_ras_n; end end always @(*) begin - main_litedramcore_master_p1_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata_mask <= main_litedramcore_slave_p1_wrdata_mask; + litedramcore_master_p1_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_we_n <= litedramcore_ext_dfi_p1_we_n; + end else begin + litedramcore_master_p1_we_n <= litedramcore_slave_p1_we_n; + end end else begin - main_litedramcore_master_p1_wrdata_mask <= main_litedramcore_inti_p1_wrdata_mask; + litedramcore_master_p1_we_n <= litedramcore_csr_dfi_p1_we_n; end end always @(*) begin - main_litedramcore_master_p1_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_rddata_en <= main_litedramcore_slave_p1_rddata_en; + litedramcore_master_p1_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cke <= litedramcore_ext_dfi_p1_cke; + end else begin + litedramcore_master_p1_cke <= litedramcore_slave_p1_cke; + end end else begin - main_litedramcore_master_p1_rddata_en <= main_litedramcore_inti_p1_rddata_en; + litedramcore_master_p1_cke <= litedramcore_csr_dfi_p1_cke; end end always @(*) begin - main_litedramcore_master_p2_address <= 14'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_address <= main_litedramcore_slave_p2_address; + litedramcore_master_p1_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_odt <= litedramcore_ext_dfi_p1_odt; + end else begin + litedramcore_master_p1_odt <= litedramcore_slave_p1_odt; + end end else begin - main_litedramcore_master_p2_address <= main_litedramcore_inti_p2_address; + litedramcore_master_p1_odt <= litedramcore_csr_dfi_p1_odt; end end always @(*) begin - main_litedramcore_master_p2_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_bank <= main_litedramcore_slave_p2_bank; + litedramcore_master_p1_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_reset_n <= litedramcore_ext_dfi_p1_reset_n; + end else begin + litedramcore_master_p1_reset_n <= litedramcore_slave_p1_reset_n; + end end else begin - main_litedramcore_master_p2_bank <= main_litedramcore_inti_p2_bank; + litedramcore_master_p1_reset_n <= litedramcore_csr_dfi_p1_reset_n; end end always @(*) begin - main_litedramcore_master_p2_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cas_n <= main_litedramcore_slave_p2_cas_n; + litedramcore_master_p1_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_act_n <= litedramcore_ext_dfi_p1_act_n; + end else begin + litedramcore_master_p1_act_n <= litedramcore_slave_p1_act_n; + end end else begin - main_litedramcore_master_p2_cas_n <= main_litedramcore_inti_p2_cas_n; + litedramcore_master_p1_act_n <= litedramcore_csr_dfi_p1_act_n; end end always @(*) begin - main_litedramcore_master_p2_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cs_n <= main_litedramcore_slave_p2_cs_n; + litedramcore_master_p1_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata <= litedramcore_ext_dfi_p1_wrdata; + end else begin + litedramcore_master_p1_wrdata <= litedramcore_slave_p1_wrdata; + end end else begin - main_litedramcore_master_p2_cs_n <= main_litedramcore_inti_p2_cs_n; + litedramcore_master_p1_wrdata <= litedramcore_csr_dfi_p1_wrdata; end end always @(*) begin - main_litedramcore_master_p2_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_ras_n <= main_litedramcore_slave_p2_ras_n; + litedramcore_master_p1_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata_en <= litedramcore_ext_dfi_p1_wrdata_en; + end else begin + litedramcore_master_p1_wrdata_en <= litedramcore_slave_p1_wrdata_en; + end end else begin - main_litedramcore_master_p2_ras_n <= main_litedramcore_inti_p2_ras_n; + litedramcore_master_p1_wrdata_en <= litedramcore_csr_dfi_p1_wrdata_en; end end always @(*) begin - main_litedramcore_slave_p2_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p2_rddata <= main_litedramcore_master_p2_rddata; + litedramcore_master_p1_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata_mask <= litedramcore_ext_dfi_p1_wrdata_mask; + end else begin + litedramcore_master_p1_wrdata_mask <= litedramcore_slave_p1_wrdata_mask; + end end else begin + litedramcore_master_p1_wrdata_mask <= litedramcore_csr_dfi_p1_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p2_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_we_n <= main_litedramcore_slave_p2_we_n; + litedramcore_master_p1_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_rddata_en <= litedramcore_ext_dfi_p1_rddata_en; + end else begin + litedramcore_master_p1_rddata_en <= litedramcore_slave_p1_rddata_en; + end end else begin - main_litedramcore_master_p2_we_n <= main_litedramcore_inti_p2_we_n; + litedramcore_master_p1_rddata_en <= litedramcore_csr_dfi_p1_rddata_en; end end always @(*) begin - main_litedramcore_slave_p2_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p2_rddata_valid <= main_litedramcore_master_p2_rddata_valid; + litedramcore_master_p2_address <= 14'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_address <= litedramcore_ext_dfi_p2_address; + end else begin + litedramcore_master_p2_address <= litedramcore_slave_p2_address; + end end else begin + litedramcore_master_p2_address <= litedramcore_csr_dfi_p2_address; end end always @(*) begin - main_litedramcore_master_p2_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cke <= main_litedramcore_slave_p2_cke; + litedramcore_master_p2_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_bank <= litedramcore_ext_dfi_p2_bank; + end else begin + litedramcore_master_p2_bank <= litedramcore_slave_p2_bank; + end end else begin - main_litedramcore_master_p2_cke <= main_litedramcore_inti_p2_cke; + litedramcore_master_p2_bank <= litedramcore_csr_dfi_p2_bank; end end always @(*) begin - main_litedramcore_master_p2_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_odt <= main_litedramcore_slave_p2_odt; + litedramcore_master_p2_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cas_n <= litedramcore_ext_dfi_p2_cas_n; + end else begin + litedramcore_master_p2_cas_n <= litedramcore_slave_p2_cas_n; + end end else begin - main_litedramcore_master_p2_odt <= main_litedramcore_inti_p2_odt; + litedramcore_master_p2_cas_n <= litedramcore_csr_dfi_p2_cas_n; end end always @(*) begin - main_litedramcore_master_p2_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_reset_n <= main_litedramcore_slave_p2_reset_n; + litedramcore_master_p2_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cs_n <= litedramcore_ext_dfi_p2_cs_n; + end else begin + litedramcore_master_p2_cs_n <= litedramcore_slave_p2_cs_n; + end end else begin - main_litedramcore_master_p2_reset_n <= main_litedramcore_inti_p2_reset_n; + litedramcore_master_p2_cs_n <= litedramcore_csr_dfi_p2_cs_n; end end always @(*) begin - main_litedramcore_master_p2_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_act_n <= main_litedramcore_slave_p2_act_n; + litedramcore_master_p2_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_ras_n <= litedramcore_ext_dfi_p2_ras_n; + end else begin + litedramcore_master_p2_ras_n <= litedramcore_slave_p2_ras_n; + end end else begin - main_litedramcore_master_p2_act_n <= main_litedramcore_inti_p2_act_n; + litedramcore_master_p2_ras_n <= litedramcore_csr_dfi_p2_ras_n; end end always @(*) begin - main_litedramcore_master_p2_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata <= main_litedramcore_slave_p2_wrdata; + litedramcore_master_p2_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_we_n <= litedramcore_ext_dfi_p2_we_n; + end else begin + litedramcore_master_p2_we_n <= litedramcore_slave_p2_we_n; + end end else begin - main_litedramcore_master_p2_wrdata <= main_litedramcore_inti_p2_wrdata; + litedramcore_master_p2_we_n <= litedramcore_csr_dfi_p2_we_n; end end always @(*) begin - main_litedramcore_inti_p3_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p2_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cke <= litedramcore_ext_dfi_p2_cke; + end else begin + litedramcore_master_p2_cke <= litedramcore_slave_p2_cke; + end end else begin - main_litedramcore_inti_p3_rddata <= main_litedramcore_master_p3_rddata; + litedramcore_master_p2_cke <= litedramcore_csr_dfi_p2_cke; end end always @(*) begin - main_litedramcore_master_p2_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata_en <= main_litedramcore_slave_p2_wrdata_en; + litedramcore_master_p2_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_odt <= litedramcore_ext_dfi_p2_odt; + end else begin + litedramcore_master_p2_odt <= litedramcore_slave_p2_odt; + end end else begin - main_litedramcore_master_p2_wrdata_en <= main_litedramcore_inti_p2_wrdata_en; + litedramcore_master_p2_odt <= litedramcore_csr_dfi_p2_odt; end end always @(*) begin - main_litedramcore_inti_p3_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p2_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_reset_n <= litedramcore_ext_dfi_p2_reset_n; + end else begin + litedramcore_master_p2_reset_n <= litedramcore_slave_p2_reset_n; + end end else begin - main_litedramcore_inti_p3_rddata_valid <= main_litedramcore_master_p3_rddata_valid; + litedramcore_master_p2_reset_n <= litedramcore_csr_dfi_p2_reset_n; end end always @(*) begin - main_litedramcore_master_p2_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata_mask <= main_litedramcore_slave_p2_wrdata_mask; + litedramcore_master_p2_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_act_n <= litedramcore_ext_dfi_p2_act_n; + end else begin + litedramcore_master_p2_act_n <= litedramcore_slave_p2_act_n; + end end else begin - main_litedramcore_master_p2_wrdata_mask <= main_litedramcore_inti_p2_wrdata_mask; + litedramcore_master_p2_act_n <= litedramcore_csr_dfi_p2_act_n; end end always @(*) begin - main_litedramcore_inti_p0_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p2_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata <= litedramcore_ext_dfi_p2_wrdata; + end else begin + litedramcore_master_p2_wrdata <= litedramcore_slave_p2_wrdata; + end end else begin - main_litedramcore_inti_p0_rddata <= main_litedramcore_master_p0_rddata; + litedramcore_master_p2_wrdata <= litedramcore_csr_dfi_p2_wrdata; end end always @(*) begin - main_litedramcore_master_p2_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_rddata_en <= main_litedramcore_slave_p2_rddata_en; + litedramcore_master_p2_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata_en <= litedramcore_ext_dfi_p2_wrdata_en; + end else begin + litedramcore_master_p2_wrdata_en <= litedramcore_slave_p2_wrdata_en; + end end else begin - main_litedramcore_master_p2_rddata_en <= main_litedramcore_inti_p2_rddata_en; + litedramcore_master_p2_wrdata_en <= litedramcore_csr_dfi_p2_wrdata_en; end end always @(*) begin - main_litedramcore_master_p3_address <= 14'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_address <= main_litedramcore_slave_p3_address; + litedramcore_master_p2_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata_mask <= litedramcore_ext_dfi_p2_wrdata_mask; + end else begin + litedramcore_master_p2_wrdata_mask <= litedramcore_slave_p2_wrdata_mask; + end end else begin - main_litedramcore_master_p3_address <= main_litedramcore_inti_p3_address; + litedramcore_master_p2_wrdata_mask <= litedramcore_csr_dfi_p2_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p3_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_bank <= main_litedramcore_slave_p3_bank; + litedramcore_master_p2_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_rddata_en <= litedramcore_ext_dfi_p2_rddata_en; + end else begin + litedramcore_master_p2_rddata_en <= litedramcore_slave_p2_rddata_en; + end end else begin - main_litedramcore_master_p3_bank <= main_litedramcore_inti_p3_bank; + litedramcore_master_p2_rddata_en <= litedramcore_csr_dfi_p2_rddata_en; end end always @(*) begin - main_litedramcore_inti_p0_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p3_address <= 14'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_address <= litedramcore_ext_dfi_p3_address; + end else begin + litedramcore_master_p3_address <= litedramcore_slave_p3_address; + end end else begin - main_litedramcore_inti_p0_rddata_valid <= main_litedramcore_master_p0_rddata_valid; + litedramcore_master_p3_address <= litedramcore_csr_dfi_p3_address; end end always @(*) begin - main_litedramcore_master_p3_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cas_n <= main_litedramcore_slave_p3_cas_n; + litedramcore_master_p3_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_bank <= litedramcore_ext_dfi_p3_bank; + end else begin + litedramcore_master_p3_bank <= litedramcore_slave_p3_bank; + end end else begin - main_litedramcore_master_p3_cas_n <= main_litedramcore_inti_p3_cas_n; + litedramcore_master_p3_bank <= litedramcore_csr_dfi_p3_bank; end end always @(*) begin - main_litedramcore_master_p3_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cs_n <= main_litedramcore_slave_p3_cs_n; + litedramcore_master_p3_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cas_n <= litedramcore_ext_dfi_p3_cas_n; + end else begin + litedramcore_master_p3_cas_n <= litedramcore_slave_p3_cas_n; + end end else begin - main_litedramcore_master_p3_cs_n <= main_litedramcore_inti_p3_cs_n; + litedramcore_master_p3_cas_n <= litedramcore_csr_dfi_p3_cas_n; end end always @(*) begin - main_litedramcore_master_p3_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_ras_n <= main_litedramcore_slave_p3_ras_n; + litedramcore_master_p3_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cs_n <= litedramcore_ext_dfi_p3_cs_n; + end else begin + litedramcore_master_p3_cs_n <= litedramcore_slave_p3_cs_n; + end end else begin - main_litedramcore_master_p3_ras_n <= main_litedramcore_inti_p3_ras_n; + litedramcore_master_p3_cs_n <= litedramcore_csr_dfi_p3_cs_n; end end always @(*) begin - main_litedramcore_slave_p3_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p3_rddata <= main_litedramcore_master_p3_rddata; + litedramcore_master_p3_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_ras_n <= litedramcore_ext_dfi_p3_ras_n; + end else begin + litedramcore_master_p3_ras_n <= litedramcore_slave_p3_ras_n; + end end else begin + litedramcore_master_p3_ras_n <= litedramcore_csr_dfi_p3_ras_n; end end always @(*) begin - main_litedramcore_master_p3_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_we_n <= main_litedramcore_slave_p3_we_n; + litedramcore_master_p3_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_we_n <= litedramcore_ext_dfi_p3_we_n; + end else begin + litedramcore_master_p3_we_n <= litedramcore_slave_p3_we_n; + end end else begin - main_litedramcore_master_p3_we_n <= main_litedramcore_inti_p3_we_n; + litedramcore_master_p3_we_n <= litedramcore_csr_dfi_p3_we_n; end end always @(*) begin - main_litedramcore_slave_p3_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p3_rddata_valid <= main_litedramcore_master_p3_rddata_valid; + litedramcore_master_p3_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cke <= litedramcore_ext_dfi_p3_cke; + end else begin + litedramcore_master_p3_cke <= litedramcore_slave_p3_cke; + end end else begin + litedramcore_master_p3_cke <= litedramcore_csr_dfi_p3_cke; end end always @(*) begin - main_litedramcore_master_p3_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cke <= main_litedramcore_slave_p3_cke; + litedramcore_master_p3_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_odt <= litedramcore_ext_dfi_p3_odt; + end else begin + litedramcore_master_p3_odt <= litedramcore_slave_p3_odt; + end end else begin - main_litedramcore_master_p3_cke <= main_litedramcore_inti_p3_cke; + litedramcore_master_p3_odt <= litedramcore_csr_dfi_p3_odt; end end always @(*) begin - main_litedramcore_master_p3_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_odt <= main_litedramcore_slave_p3_odt; + litedramcore_master_p3_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_reset_n <= litedramcore_ext_dfi_p3_reset_n; + end else begin + litedramcore_master_p3_reset_n <= litedramcore_slave_p3_reset_n; + end end else begin - main_litedramcore_master_p3_odt <= main_litedramcore_inti_p3_odt; + litedramcore_master_p3_reset_n <= litedramcore_csr_dfi_p3_reset_n; end end always @(*) begin - main_litedramcore_master_p3_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_reset_n <= main_litedramcore_slave_p3_reset_n; + litedramcore_master_p3_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_act_n <= litedramcore_ext_dfi_p3_act_n; + end else begin + litedramcore_master_p3_act_n <= litedramcore_slave_p3_act_n; + end end else begin - main_litedramcore_master_p3_reset_n <= main_litedramcore_inti_p3_reset_n; + litedramcore_master_p3_act_n <= litedramcore_csr_dfi_p3_act_n; end end always @(*) begin - main_litedramcore_master_p3_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_act_n <= main_litedramcore_slave_p3_act_n; + litedramcore_master_p3_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata <= litedramcore_ext_dfi_p3_wrdata; + end else begin + litedramcore_master_p3_wrdata <= litedramcore_slave_p3_wrdata; + end end else begin - main_litedramcore_master_p3_act_n <= main_litedramcore_inti_p3_act_n; + litedramcore_master_p3_wrdata <= litedramcore_csr_dfi_p3_wrdata; end end always @(*) begin - main_litedramcore_master_p3_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata <= main_litedramcore_slave_p3_wrdata; + litedramcore_master_p3_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata_en <= litedramcore_ext_dfi_p3_wrdata_en; + end else begin + litedramcore_master_p3_wrdata_en <= litedramcore_slave_p3_wrdata_en; + end end else begin - main_litedramcore_master_p3_wrdata <= main_litedramcore_inti_p3_wrdata; + litedramcore_master_p3_wrdata_en <= litedramcore_csr_dfi_p3_wrdata_en; end end always @(*) begin - main_litedramcore_master_p3_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata_en <= main_litedramcore_slave_p3_wrdata_en; + litedramcore_master_p3_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata_mask <= litedramcore_ext_dfi_p3_wrdata_mask; + end else begin + litedramcore_master_p3_wrdata_mask <= litedramcore_slave_p3_wrdata_mask; + end end else begin - main_litedramcore_master_p3_wrdata_en <= main_litedramcore_inti_p3_wrdata_en; + litedramcore_master_p3_wrdata_mask <= litedramcore_csr_dfi_p3_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p3_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata_mask <= main_litedramcore_slave_p3_wrdata_mask; + litedramcore_master_p3_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_rddata_en <= litedramcore_ext_dfi_p3_rddata_en; + end else begin + litedramcore_master_p3_rddata_en <= litedramcore_slave_p3_rddata_en; + end end else begin - main_litedramcore_master_p3_wrdata_mask <= main_litedramcore_inti_p3_wrdata_mask; + litedramcore_master_p3_rddata_en <= litedramcore_csr_dfi_p3_rddata_en; end end -assign main_litedramcore_inti_p0_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p1_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p2_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p3_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p0_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p1_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p2_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p3_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p0_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p1_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p2_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p3_reset_n = main_litedramcore_reset_n; +assign litedramcore_csr_dfi_p0_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p1_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p2_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p3_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p0_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p1_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p2_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p3_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p0_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p1_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p2_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p3_reset_n = litedramcore_reset_n; always @(*) begin - main_litedramcore_inti_p0_we_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_we_n <= (~main_litedramcore_phaseinjector0_command_storage[1]); + litedramcore_csr_dfi_p0_we_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_we_n <= (~litedramcore_phaseinjector0_csrfield_we); end else begin - main_litedramcore_inti_p0_we_n <= 1'd1; + litedramcore_csr_dfi_p0_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p0_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_cas_n <= (~main_litedramcore_phaseinjector0_command_storage[2]); + litedramcore_csr_dfi_p0_cas_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_cas_n <= (~litedramcore_phaseinjector0_csrfield_cas); end else begin - main_litedramcore_inti_p0_cas_n <= 1'd1; + litedramcore_csr_dfi_p0_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p0_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_cs_n <= {1{(~main_litedramcore_phaseinjector0_command_storage[0])}}; + litedramcore_csr_dfi_p0_cs_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_cs_n <= {1{(~litedramcore_phaseinjector0_csrfield_cs)}}; end else begin - main_litedramcore_inti_p0_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p0_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p0_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_ras_n <= (~main_litedramcore_phaseinjector0_command_storage[3]); + litedramcore_csr_dfi_p0_ras_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_ras_n <= (~litedramcore_phaseinjector0_csrfield_ras); end else begin - main_litedramcore_inti_p0_ras_n <= 1'd1; + litedramcore_csr_dfi_p0_ras_n <= 1'd1; end end -assign main_litedramcore_inti_p0_address = main_litedramcore_phaseinjector0_address_storage; -assign main_litedramcore_inti_p0_bank = main_litedramcore_phaseinjector0_baddress_storage; -assign main_litedramcore_inti_p0_wrdata_en = (main_litedramcore_phaseinjector0_command_issue_re & main_litedramcore_phaseinjector0_command_storage[4]); -assign main_litedramcore_inti_p0_rddata_en = (main_litedramcore_phaseinjector0_command_issue_re & main_litedramcore_phaseinjector0_command_storage[5]); -assign main_litedramcore_inti_p0_wrdata = main_litedramcore_phaseinjector0_wrdata_storage; -assign main_litedramcore_inti_p0_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p0_address = litedramcore_phaseinjector0_address_storage; +assign litedramcore_csr_dfi_p0_bank = litedramcore_phaseinjector0_baddress_storage; +assign litedramcore_csr_dfi_p0_wrdata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_csrfield_wren); +assign litedramcore_csr_dfi_p0_rddata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_csrfield_rden); +assign litedramcore_csr_dfi_p0_wrdata = litedramcore_phaseinjector0_wrdata_storage; +assign litedramcore_csr_dfi_p0_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p1_we_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_we_n <= (~main_litedramcore_phaseinjector1_command_storage[1]); + litedramcore_csr_dfi_p1_we_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_we_n <= (~litedramcore_phaseinjector1_csrfield_we); end else begin - main_litedramcore_inti_p1_we_n <= 1'd1; + litedramcore_csr_dfi_p1_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p1_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_cas_n <= (~main_litedramcore_phaseinjector1_command_storage[2]); + litedramcore_csr_dfi_p1_cas_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_cas_n <= (~litedramcore_phaseinjector1_csrfield_cas); end else begin - main_litedramcore_inti_p1_cas_n <= 1'd1; + litedramcore_csr_dfi_p1_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p1_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_cs_n <= {1{(~main_litedramcore_phaseinjector1_command_storage[0])}}; + litedramcore_csr_dfi_p1_cs_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_cs_n <= {1{(~litedramcore_phaseinjector1_csrfield_cs)}}; end else begin - main_litedramcore_inti_p1_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p1_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p1_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_ras_n <= (~main_litedramcore_phaseinjector1_command_storage[3]); + litedramcore_csr_dfi_p1_ras_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_ras_n <= (~litedramcore_phaseinjector1_csrfield_ras); end else begin - main_litedramcore_inti_p1_ras_n <= 1'd1; + litedramcore_csr_dfi_p1_ras_n <= 1'd1; end end -assign main_litedramcore_inti_p1_address = main_litedramcore_phaseinjector1_address_storage; -assign main_litedramcore_inti_p1_bank = main_litedramcore_phaseinjector1_baddress_storage; -assign main_litedramcore_inti_p1_wrdata_en = (main_litedramcore_phaseinjector1_command_issue_re & main_litedramcore_phaseinjector1_command_storage[4]); -assign main_litedramcore_inti_p1_rddata_en = (main_litedramcore_phaseinjector1_command_issue_re & main_litedramcore_phaseinjector1_command_storage[5]); -assign main_litedramcore_inti_p1_wrdata = main_litedramcore_phaseinjector1_wrdata_storage; -assign main_litedramcore_inti_p1_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p1_address = litedramcore_phaseinjector1_address_storage; +assign litedramcore_csr_dfi_p1_bank = litedramcore_phaseinjector1_baddress_storage; +assign litedramcore_csr_dfi_p1_wrdata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_csrfield_wren); +assign litedramcore_csr_dfi_p1_rddata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_csrfield_rden); +assign litedramcore_csr_dfi_p1_wrdata = litedramcore_phaseinjector1_wrdata_storage; +assign litedramcore_csr_dfi_p1_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p2_we_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_we_n <= (~main_litedramcore_phaseinjector2_command_storage[1]); + litedramcore_csr_dfi_p2_we_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_we_n <= (~litedramcore_phaseinjector2_csrfield_we); end else begin - main_litedramcore_inti_p2_we_n <= 1'd1; + litedramcore_csr_dfi_p2_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p2_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_cas_n <= (~main_litedramcore_phaseinjector2_command_storage[2]); + litedramcore_csr_dfi_p2_cas_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_cas_n <= (~litedramcore_phaseinjector2_csrfield_cas); end else begin - main_litedramcore_inti_p2_cas_n <= 1'd1; + litedramcore_csr_dfi_p2_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p2_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_cs_n <= {1{(~main_litedramcore_phaseinjector2_command_storage[0])}}; + litedramcore_csr_dfi_p2_cs_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_cs_n <= {1{(~litedramcore_phaseinjector2_csrfield_cs)}}; end else begin - main_litedramcore_inti_p2_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p2_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p2_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_ras_n <= (~main_litedramcore_phaseinjector2_command_storage[3]); + litedramcore_csr_dfi_p2_ras_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_ras_n <= (~litedramcore_phaseinjector2_csrfield_ras); end else begin - main_litedramcore_inti_p2_ras_n <= 1'd1; + litedramcore_csr_dfi_p2_ras_n <= 1'd1; end end -assign main_litedramcore_inti_p2_address = main_litedramcore_phaseinjector2_address_storage; -assign main_litedramcore_inti_p2_bank = main_litedramcore_phaseinjector2_baddress_storage; -assign main_litedramcore_inti_p2_wrdata_en = (main_litedramcore_phaseinjector2_command_issue_re & main_litedramcore_phaseinjector2_command_storage[4]); -assign main_litedramcore_inti_p2_rddata_en = (main_litedramcore_phaseinjector2_command_issue_re & main_litedramcore_phaseinjector2_command_storage[5]); -assign main_litedramcore_inti_p2_wrdata = main_litedramcore_phaseinjector2_wrdata_storage; -assign main_litedramcore_inti_p2_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p2_address = litedramcore_phaseinjector2_address_storage; +assign litedramcore_csr_dfi_p2_bank = litedramcore_phaseinjector2_baddress_storage; +assign litedramcore_csr_dfi_p2_wrdata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_csrfield_wren); +assign litedramcore_csr_dfi_p2_rddata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_csrfield_rden); +assign litedramcore_csr_dfi_p2_wrdata = litedramcore_phaseinjector2_wrdata_storage; +assign litedramcore_csr_dfi_p2_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p3_we_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_we_n <= (~main_litedramcore_phaseinjector3_command_storage[1]); + litedramcore_csr_dfi_p3_we_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_we_n <= (~litedramcore_phaseinjector3_csrfield_we); end else begin - main_litedramcore_inti_p3_we_n <= 1'd1; + litedramcore_csr_dfi_p3_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p3_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_cas_n <= (~main_litedramcore_phaseinjector3_command_storage[2]); + litedramcore_csr_dfi_p3_cas_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_cas_n <= (~litedramcore_phaseinjector3_csrfield_cas); end else begin - main_litedramcore_inti_p3_cas_n <= 1'd1; + litedramcore_csr_dfi_p3_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p3_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_cs_n <= {1{(~main_litedramcore_phaseinjector3_command_storage[0])}}; + litedramcore_csr_dfi_p3_cs_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_cs_n <= {1{(~litedramcore_phaseinjector3_csrfield_cs)}}; end else begin - main_litedramcore_inti_p3_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p3_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p3_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_ras_n <= (~main_litedramcore_phaseinjector3_command_storage[3]); + litedramcore_csr_dfi_p3_ras_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_ras_n <= (~litedramcore_phaseinjector3_csrfield_ras); end else begin - main_litedramcore_inti_p3_ras_n <= 1'd1; + litedramcore_csr_dfi_p3_ras_n <= 1'd1; end end -assign main_litedramcore_inti_p3_address = main_litedramcore_phaseinjector3_address_storage; -assign main_litedramcore_inti_p3_bank = main_litedramcore_phaseinjector3_baddress_storage; -assign main_litedramcore_inti_p3_wrdata_en = (main_litedramcore_phaseinjector3_command_issue_re & main_litedramcore_phaseinjector3_command_storage[4]); -assign main_litedramcore_inti_p3_rddata_en = (main_litedramcore_phaseinjector3_command_issue_re & main_litedramcore_phaseinjector3_command_storage[5]); -assign main_litedramcore_inti_p3_wrdata = main_litedramcore_phaseinjector3_wrdata_storage; -assign main_litedramcore_inti_p3_wrdata_mask = 1'd0; -assign main_litedramcore_bankmachine0_req_valid = main_litedramcore_interface_bank0_valid; -assign main_litedramcore_interface_bank0_ready = main_litedramcore_bankmachine0_req_ready; -assign main_litedramcore_bankmachine0_req_we = main_litedramcore_interface_bank0_we; -assign main_litedramcore_bankmachine0_req_addr = main_litedramcore_interface_bank0_addr; -assign main_litedramcore_interface_bank0_lock = main_litedramcore_bankmachine0_req_lock; -assign main_litedramcore_interface_bank0_wdata_ready = main_litedramcore_bankmachine0_req_wdata_ready; -assign main_litedramcore_interface_bank0_rdata_valid = main_litedramcore_bankmachine0_req_rdata_valid; -assign main_litedramcore_bankmachine1_req_valid = main_litedramcore_interface_bank1_valid; -assign main_litedramcore_interface_bank1_ready = main_litedramcore_bankmachine1_req_ready; -assign main_litedramcore_bankmachine1_req_we = main_litedramcore_interface_bank1_we; -assign main_litedramcore_bankmachine1_req_addr = main_litedramcore_interface_bank1_addr; -assign main_litedramcore_interface_bank1_lock = main_litedramcore_bankmachine1_req_lock; -assign main_litedramcore_interface_bank1_wdata_ready = main_litedramcore_bankmachine1_req_wdata_ready; -assign main_litedramcore_interface_bank1_rdata_valid = main_litedramcore_bankmachine1_req_rdata_valid; -assign main_litedramcore_bankmachine2_req_valid = main_litedramcore_interface_bank2_valid; -assign main_litedramcore_interface_bank2_ready = main_litedramcore_bankmachine2_req_ready; -assign main_litedramcore_bankmachine2_req_we = main_litedramcore_interface_bank2_we; -assign main_litedramcore_bankmachine2_req_addr = main_litedramcore_interface_bank2_addr; -assign main_litedramcore_interface_bank2_lock = main_litedramcore_bankmachine2_req_lock; -assign main_litedramcore_interface_bank2_wdata_ready = main_litedramcore_bankmachine2_req_wdata_ready; -assign main_litedramcore_interface_bank2_rdata_valid = main_litedramcore_bankmachine2_req_rdata_valid; -assign main_litedramcore_bankmachine3_req_valid = main_litedramcore_interface_bank3_valid; -assign main_litedramcore_interface_bank3_ready = main_litedramcore_bankmachine3_req_ready; -assign main_litedramcore_bankmachine3_req_we = main_litedramcore_interface_bank3_we; -assign main_litedramcore_bankmachine3_req_addr = main_litedramcore_interface_bank3_addr; -assign main_litedramcore_interface_bank3_lock = main_litedramcore_bankmachine3_req_lock; -assign main_litedramcore_interface_bank3_wdata_ready = main_litedramcore_bankmachine3_req_wdata_ready; -assign main_litedramcore_interface_bank3_rdata_valid = main_litedramcore_bankmachine3_req_rdata_valid; -assign main_litedramcore_bankmachine4_req_valid = main_litedramcore_interface_bank4_valid; -assign main_litedramcore_interface_bank4_ready = main_litedramcore_bankmachine4_req_ready; -assign main_litedramcore_bankmachine4_req_we = main_litedramcore_interface_bank4_we; -assign main_litedramcore_bankmachine4_req_addr = main_litedramcore_interface_bank4_addr; -assign main_litedramcore_interface_bank4_lock = main_litedramcore_bankmachine4_req_lock; -assign main_litedramcore_interface_bank4_wdata_ready = main_litedramcore_bankmachine4_req_wdata_ready; -assign main_litedramcore_interface_bank4_rdata_valid = main_litedramcore_bankmachine4_req_rdata_valid; -assign main_litedramcore_bankmachine5_req_valid = main_litedramcore_interface_bank5_valid; -assign main_litedramcore_interface_bank5_ready = main_litedramcore_bankmachine5_req_ready; -assign main_litedramcore_bankmachine5_req_we = main_litedramcore_interface_bank5_we; -assign main_litedramcore_bankmachine5_req_addr = main_litedramcore_interface_bank5_addr; -assign main_litedramcore_interface_bank5_lock = main_litedramcore_bankmachine5_req_lock; -assign main_litedramcore_interface_bank5_wdata_ready = main_litedramcore_bankmachine5_req_wdata_ready; -assign main_litedramcore_interface_bank5_rdata_valid = main_litedramcore_bankmachine5_req_rdata_valid; -assign main_litedramcore_bankmachine6_req_valid = main_litedramcore_interface_bank6_valid; -assign main_litedramcore_interface_bank6_ready = main_litedramcore_bankmachine6_req_ready; -assign main_litedramcore_bankmachine6_req_we = main_litedramcore_interface_bank6_we; -assign main_litedramcore_bankmachine6_req_addr = main_litedramcore_interface_bank6_addr; -assign main_litedramcore_interface_bank6_lock = main_litedramcore_bankmachine6_req_lock; -assign main_litedramcore_interface_bank6_wdata_ready = main_litedramcore_bankmachine6_req_wdata_ready; -assign main_litedramcore_interface_bank6_rdata_valid = main_litedramcore_bankmachine6_req_rdata_valid; -assign main_litedramcore_bankmachine7_req_valid = main_litedramcore_interface_bank7_valid; -assign main_litedramcore_interface_bank7_ready = main_litedramcore_bankmachine7_req_ready; -assign main_litedramcore_bankmachine7_req_we = main_litedramcore_interface_bank7_we; -assign main_litedramcore_bankmachine7_req_addr = main_litedramcore_interface_bank7_addr; -assign main_litedramcore_interface_bank7_lock = main_litedramcore_bankmachine7_req_lock; -assign main_litedramcore_interface_bank7_wdata_ready = main_litedramcore_bankmachine7_req_wdata_ready; -assign main_litedramcore_interface_bank7_rdata_valid = main_litedramcore_bankmachine7_req_rdata_valid; -assign main_litedramcore_timer_wait = (~main_litedramcore_timer_done0); -assign main_litedramcore_postponer_req_i = main_litedramcore_timer_done0; -assign main_litedramcore_wants_refresh = main_litedramcore_postponer_req_o; -assign main_litedramcore_wants_zqcs = main_litedramcore_zqcs_timer_done0; -assign main_litedramcore_zqcs_timer_wait = (~main_litedramcore_zqcs_executer_done); -assign main_litedramcore_timer_done1 = (main_litedramcore_timer_count1 == 1'd0); -assign main_litedramcore_timer_done0 = main_litedramcore_timer_done1; -assign main_litedramcore_timer_count0 = main_litedramcore_timer_count1; -assign main_litedramcore_sequencer_start1 = (main_litedramcore_sequencer_start0 | (main_litedramcore_sequencer_count != 1'd0)); -assign main_litedramcore_sequencer_done0 = (main_litedramcore_sequencer_done1 & (main_litedramcore_sequencer_count == 1'd0)); -assign main_litedramcore_zqcs_timer_done1 = (main_litedramcore_zqcs_timer_count1 == 1'd0); -assign main_litedramcore_zqcs_timer_done0 = main_litedramcore_zqcs_timer_done1; -assign main_litedramcore_zqcs_timer_count0 = main_litedramcore_zqcs_timer_count1; -always @(*) begin - builder_refresher_next_state <= 2'd0; - builder_refresher_next_state <= builder_refresher_state; - case (builder_refresher_state) - 1'd1: begin - if (main_litedramcore_cmd_ready) begin - builder_refresher_next_state <= 2'd2; - end - end - 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin - builder_refresher_next_state <= 2'd3; +assign litedramcore_csr_dfi_p3_address = litedramcore_phaseinjector3_address_storage; +assign litedramcore_csr_dfi_p3_bank = litedramcore_phaseinjector3_baddress_storage; +assign litedramcore_csr_dfi_p3_wrdata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_csrfield_wren); +assign litedramcore_csr_dfi_p3_rddata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_csrfield_rden); +assign litedramcore_csr_dfi_p3_wrdata = litedramcore_phaseinjector3_wrdata_storage; +assign litedramcore_csr_dfi_p3_wrdata_mask = 1'd0; +assign litedramcore_bankmachine0_req_valid = litedramcore_interface_bank0_valid; +assign litedramcore_interface_bank0_ready = litedramcore_bankmachine0_req_ready; +assign litedramcore_bankmachine0_req_we = litedramcore_interface_bank0_we; +assign litedramcore_bankmachine0_req_addr = litedramcore_interface_bank0_addr; +assign litedramcore_interface_bank0_lock = litedramcore_bankmachine0_req_lock; +assign litedramcore_interface_bank0_wdata_ready = litedramcore_bankmachine0_req_wdata_ready; +assign litedramcore_interface_bank0_rdata_valid = litedramcore_bankmachine0_req_rdata_valid; +assign litedramcore_bankmachine1_req_valid = litedramcore_interface_bank1_valid; +assign litedramcore_interface_bank1_ready = litedramcore_bankmachine1_req_ready; +assign litedramcore_bankmachine1_req_we = litedramcore_interface_bank1_we; +assign litedramcore_bankmachine1_req_addr = litedramcore_interface_bank1_addr; +assign litedramcore_interface_bank1_lock = litedramcore_bankmachine1_req_lock; +assign litedramcore_interface_bank1_wdata_ready = litedramcore_bankmachine1_req_wdata_ready; +assign litedramcore_interface_bank1_rdata_valid = litedramcore_bankmachine1_req_rdata_valid; +assign litedramcore_bankmachine2_req_valid = litedramcore_interface_bank2_valid; +assign litedramcore_interface_bank2_ready = litedramcore_bankmachine2_req_ready; +assign litedramcore_bankmachine2_req_we = litedramcore_interface_bank2_we; +assign litedramcore_bankmachine2_req_addr = litedramcore_interface_bank2_addr; +assign litedramcore_interface_bank2_lock = litedramcore_bankmachine2_req_lock; +assign litedramcore_interface_bank2_wdata_ready = litedramcore_bankmachine2_req_wdata_ready; +assign litedramcore_interface_bank2_rdata_valid = litedramcore_bankmachine2_req_rdata_valid; +assign litedramcore_bankmachine3_req_valid = litedramcore_interface_bank3_valid; +assign litedramcore_interface_bank3_ready = litedramcore_bankmachine3_req_ready; +assign litedramcore_bankmachine3_req_we = litedramcore_interface_bank3_we; +assign litedramcore_bankmachine3_req_addr = litedramcore_interface_bank3_addr; +assign litedramcore_interface_bank3_lock = litedramcore_bankmachine3_req_lock; +assign litedramcore_interface_bank3_wdata_ready = litedramcore_bankmachine3_req_wdata_ready; +assign litedramcore_interface_bank3_rdata_valid = litedramcore_bankmachine3_req_rdata_valid; +assign litedramcore_bankmachine4_req_valid = litedramcore_interface_bank4_valid; +assign litedramcore_interface_bank4_ready = litedramcore_bankmachine4_req_ready; +assign litedramcore_bankmachine4_req_we = litedramcore_interface_bank4_we; +assign litedramcore_bankmachine4_req_addr = litedramcore_interface_bank4_addr; +assign litedramcore_interface_bank4_lock = litedramcore_bankmachine4_req_lock; +assign litedramcore_interface_bank4_wdata_ready = litedramcore_bankmachine4_req_wdata_ready; +assign litedramcore_interface_bank4_rdata_valid = litedramcore_bankmachine4_req_rdata_valid; +assign litedramcore_bankmachine5_req_valid = litedramcore_interface_bank5_valid; +assign litedramcore_interface_bank5_ready = litedramcore_bankmachine5_req_ready; +assign litedramcore_bankmachine5_req_we = litedramcore_interface_bank5_we; +assign litedramcore_bankmachine5_req_addr = litedramcore_interface_bank5_addr; +assign litedramcore_interface_bank5_lock = litedramcore_bankmachine5_req_lock; +assign litedramcore_interface_bank5_wdata_ready = litedramcore_bankmachine5_req_wdata_ready; +assign litedramcore_interface_bank5_rdata_valid = litedramcore_bankmachine5_req_rdata_valid; +assign litedramcore_bankmachine6_req_valid = litedramcore_interface_bank6_valid; +assign litedramcore_interface_bank6_ready = litedramcore_bankmachine6_req_ready; +assign litedramcore_bankmachine6_req_we = litedramcore_interface_bank6_we; +assign litedramcore_bankmachine6_req_addr = litedramcore_interface_bank6_addr; +assign litedramcore_interface_bank6_lock = litedramcore_bankmachine6_req_lock; +assign litedramcore_interface_bank6_wdata_ready = litedramcore_bankmachine6_req_wdata_ready; +assign litedramcore_interface_bank6_rdata_valid = litedramcore_bankmachine6_req_rdata_valid; +assign litedramcore_bankmachine7_req_valid = litedramcore_interface_bank7_valid; +assign litedramcore_interface_bank7_ready = litedramcore_bankmachine7_req_ready; +assign litedramcore_bankmachine7_req_we = litedramcore_interface_bank7_we; +assign litedramcore_bankmachine7_req_addr = litedramcore_interface_bank7_addr; +assign litedramcore_interface_bank7_lock = litedramcore_bankmachine7_req_lock; +assign litedramcore_interface_bank7_wdata_ready = litedramcore_bankmachine7_req_wdata_ready; +assign litedramcore_interface_bank7_rdata_valid = litedramcore_bankmachine7_req_rdata_valid; +assign litedramcore_timer_wait = (~litedramcore_timer_done0); +assign litedramcore_postponer_req_i = litedramcore_timer_done0; +assign litedramcore_wants_refresh = litedramcore_postponer_req_o; +assign litedramcore_wants_zqcs = litedramcore_zqcs_timer_done0; +assign litedramcore_zqcs_timer_wait = (~litedramcore_zqcs_executer_done); +assign litedramcore_timer_done1 = (litedramcore_timer_count1 == 1'd0); +assign litedramcore_timer_done0 = litedramcore_timer_done1; +assign litedramcore_timer_count0 = litedramcore_timer_count1; +assign litedramcore_sequencer_start1 = (litedramcore_sequencer_start0 | (litedramcore_sequencer_count != 1'd0)); +assign litedramcore_sequencer_done0 = (litedramcore_sequencer_done1 & (litedramcore_sequencer_count == 1'd0)); +assign litedramcore_zqcs_timer_done1 = (litedramcore_zqcs_timer_count1 == 1'd0); +assign litedramcore_zqcs_timer_done0 = litedramcore_zqcs_timer_done1; +assign litedramcore_zqcs_timer_count0 = litedramcore_zqcs_timer_count1; +always @(*) begin + litedramcore_refresher_next_state <= 2'd0; + litedramcore_refresher_next_state <= litedramcore_refresher_state; + case (litedramcore_refresher_state) + 1'd1: begin + if (litedramcore_cmd_ready) begin + litedramcore_refresher_next_state <= 2'd2; + end + end + 2'd2: begin + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin + litedramcore_refresher_next_state <= 2'd3; end else begin - builder_refresher_next_state <= 1'd0; + litedramcore_refresher_next_state <= 1'd0; end end end 2'd3: begin - if (main_litedramcore_zqcs_executer_done) begin - builder_refresher_next_state <= 1'd0; + if (litedramcore_zqcs_executer_done) begin + litedramcore_refresher_next_state <= 1'd0; end end default: begin if (1'd1) begin - if (main_litedramcore_wants_refresh) begin - builder_refresher_next_state <= 1'd1; + if (litedramcore_wants_refresh) begin + litedramcore_refresher_next_state <= 1'd1; end end end endcase end always @(*) begin - main_litedramcore_cmd_last <= 1'd0; - case (builder_refresher_state) + litedramcore_zqcs_executer_start <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin end 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin + litedramcore_zqcs_executer_start <= 1'd1; end else begin - main_litedramcore_cmd_last <= 1'd1; end end end 2'd3: begin - if (main_litedramcore_zqcs_executer_done) begin - main_litedramcore_cmd_last <= 1'd1; - end end default: begin end endcase end always @(*) begin - main_litedramcore_sequencer_start0 <= 1'd0; - case (builder_refresher_state) + litedramcore_cmd_last <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin - if (main_litedramcore_cmd_ready) begin - main_litedramcore_sequencer_start0 <= 1'd1; - end end 2'd2: begin + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin + end else begin + litedramcore_cmd_last <= 1'd1; + end + end end 2'd3: begin + if (litedramcore_zqcs_executer_done) begin + litedramcore_cmd_last <= 1'd1; + end end default: begin end endcase end always @(*) begin - main_litedramcore_cmd_valid <= 1'd0; - case (builder_refresher_state) + litedramcore_sequencer_start0 <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin - main_litedramcore_cmd_valid <= 1'd1; + if (litedramcore_cmd_ready) begin + litedramcore_sequencer_start0 <= 1'd1; + end end 2'd2: begin - main_litedramcore_cmd_valid <= 1'd1; - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin - end else begin - main_litedramcore_cmd_valid <= 1'd0; - end - end end 2'd3: begin - main_litedramcore_cmd_valid <= 1'd1; - if (main_litedramcore_zqcs_executer_done) begin - main_litedramcore_cmd_valid <= 1'd0; - end end default: begin end endcase end always @(*) begin - main_litedramcore_zqcs_executer_start <= 1'd0; - case (builder_refresher_state) + litedramcore_cmd_valid <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin + litedramcore_cmd_valid <= 1'd1; end 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin - main_litedramcore_zqcs_executer_start <= 1'd1; + litedramcore_cmd_valid <= 1'd1; + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin end else begin + litedramcore_cmd_valid <= 1'd0; end end end 2'd3: begin + litedramcore_cmd_valid <= 1'd1; + if (litedramcore_zqcs_executer_done) begin + litedramcore_cmd_valid <= 1'd0; + end end default: begin end endcase end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine0_req_valid; -assign main_litedramcore_bankmachine0_req_ready = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine0_req_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine0_req_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_valid = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine0_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_source_ready = (main_litedramcore_bankmachine0_req_wdata_ready | main_litedramcore_bankmachine0_req_rdata_valid); -assign main_litedramcore_bankmachine0_req_lock = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine0_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine0_row_hit = (main_litedramcore_bankmachine0_row == main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine0_cmd_payload_ba = 1'd0; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine0_req_valid; +assign litedramcore_bankmachine0_req_ready = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine0_req_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine0_req_addr; +assign litedramcore_bankmachine0_cmd_buffer_sink_valid = litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine0_cmd_buffer_sink_ready; +assign litedramcore_bankmachine0_cmd_buffer_sink_first = litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine0_cmd_buffer_sink_last = litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine0_cmd_buffer_sink_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_sink_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_source_ready = (litedramcore_bankmachine0_req_wdata_ready | litedramcore_bankmachine0_req_rdata_valid); +assign litedramcore_bankmachine0_req_lock = (litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine0_cmd_buffer_source_valid); +assign litedramcore_bankmachine0_row_hit = (litedramcore_bankmachine0_row == litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine0_cmd_payload_ba = 1'd0; always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine0_row_col_n_addr_sel) begin - main_litedramcore_bankmachine0_cmd_payload_a <= main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7]; + litedramcore_bankmachine0_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine0_row_col_n_addr_sel) begin + litedramcore_bankmachine0_cmd_payload_a <= litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7]; end else begin - main_litedramcore_bankmachine0_cmd_payload_a <= ((main_litedramcore_bankmachine0_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + litedramcore_bankmachine0_cmd_payload_a <= ((litedramcore_bankmachine0_auto_precharge <<< 4'd10) | {litedramcore_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); end end -assign main_litedramcore_bankmachine0_twtpcon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_cmd_payload_is_write); -assign main_litedramcore_bankmachine0_trccon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_row_open); -assign main_litedramcore_bankmachine0_trascon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_row_open); +assign litedramcore_bankmachine0_twtpcon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_cmd_payload_is_write); +assign litedramcore_bankmachine0_trccon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_row_open); +assign litedramcore_bankmachine0_trascon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_row_open); always @(*) begin - main_litedramcore_bankmachine0_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine0_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine0_auto_precharge <= (main_litedramcore_bankmachine0_row_close == 1'd0); + litedramcore_bankmachine0_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine0_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine0_auto_precharge <= (litedramcore_bankmachine0_row_close == 1'd0); end end end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_first = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_last = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce - 1'd1); + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine0_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine0_cmd_buffer_lookahead_produce - 1'd1); end else begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce; + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine0_cmd_buffer_lookahead_produce; end end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine0_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine0_cmd_buffer_source_valid) | main_litedramcore_bankmachine0_cmd_buffer_source_ready); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | litedramcore_bankmachine0_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine0_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine0_cmd_buffer_sink_ready = ((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine0_next_state <= 4'd0; - builder_bankmachine0_next_state <= builder_bankmachine0_state; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_next_state <= 4'd0; + litedramcore_bankmachine0_next_state <= litedramcore_bankmachine0_state; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - if (main_litedramcore_bankmachine0_cmd_ready) begin - builder_bankmachine0_next_state <= 3'd5; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + if (litedramcore_bankmachine0_cmd_ready) begin + litedramcore_bankmachine0_next_state <= 3'd5; end end end 2'd2: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - builder_bankmachine0_next_state <= 3'd5; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_next_state <= 3'd5; end end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - if (main_litedramcore_bankmachine0_cmd_ready) begin - builder_bankmachine0_next_state <= 3'd7; + if (litedramcore_bankmachine0_trccon_ready) begin + if (litedramcore_bankmachine0_cmd_ready) begin + litedramcore_bankmachine0_next_state <= 3'd7; end end end 3'd4: begin - if ((~main_litedramcore_bankmachine0_refresh_req)) begin - builder_bankmachine0_next_state <= 1'd0; + if ((~litedramcore_bankmachine0_refresh_req)) begin + litedramcore_bankmachine0_next_state <= 1'd0; end end 3'd5: begin - builder_bankmachine0_next_state <= 3'd6; + litedramcore_bankmachine0_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine0_next_state <= 2'd3; + litedramcore_bankmachine0_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine0_next_state <= 4'd8; + litedramcore_bankmachine0_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine0_next_state <= 1'd0; + litedramcore_bankmachine0_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin - builder_bankmachine0_next_state <= 3'd4; + if (litedramcore_bankmachine0_refresh_req) begin + litedramcore_bankmachine0_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if ((main_litedramcore_bankmachine0_cmd_ready & main_litedramcore_bankmachine0_auto_precharge)) begin - builder_bankmachine0_next_state <= 2'd2; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if ((litedramcore_bankmachine0_cmd_ready & litedramcore_bankmachine0_auto_precharge)) begin + litedramcore_bankmachine0_next_state <= 2'd2; end end else begin - builder_bankmachine0_next_state <= 1'd1; + litedramcore_bankmachine0_next_state <= 1'd1; end end else begin - builder_bankmachine0_next_state <= 2'd3; + litedramcore_bankmachine0_next_state <= 2'd3; end end end @@ -4333,18 +4752,82 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; + end + 2'd2: begin + end + 2'd3: begin + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + if (litedramcore_bankmachine0_refresh_req) begin + end else begin + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine0_req_rdata_valid <= litedramcore_bankmachine0_cmd_ready; + end + end else begin + end + end else begin + end + end + end + end + endcase +end +always @(*) begin + litedramcore_bankmachine0_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine0_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + end + 3'd4: begin + if (litedramcore_bankmachine0_twtpcon_ready) begin + litedramcore_bankmachine0_refresh_gnt <= 1'd1; + end + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + litedramcore_bankmachine0_cmd_valid <= 1'd0; + case (litedramcore_bankmachine0_state) + 1'd1: begin + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; end end 3'd4: begin @@ -4358,12 +4841,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; end else begin end end else begin @@ -4374,15 +4857,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_row_open <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_row_open <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_row_open <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_row_open <= 1'd1; end end 3'd4: begin @@ -4400,18 +4883,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_row_close <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_row_close <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; + litedramcore_bankmachine0_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; + litedramcore_bankmachine0_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; + litedramcore_bankmachine0_row_close <= 1'd1; end 3'd5: begin end @@ -4426,8 +4909,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_cas <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4445,12 +4928,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - main_litedramcore_bankmachine0_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + litedramcore_bankmachine0_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -4461,18 +4944,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -4490,11 +4973,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -4512,13 +4995,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -4531,15 +5014,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -4557,22 +5040,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -4587,8 +5070,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4606,14 +5089,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine0_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine0_cmd_payload_is_read <= 1'd1; end end else begin end @@ -4625,8 +5108,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4644,13 +5127,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -4663,8 +5146,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_req_wdata_ready <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4682,13 +5165,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_req_wdata_ready <= main_litedramcore_bankmachine0_cmd_ready; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_req_wdata_ready <= litedramcore_bankmachine0_cmd_ready; end else begin end end else begin @@ -4700,38 +5183,127 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine0_req_rdata_valid <= 1'd0; - case (builder_bankmachine0_state) - 1'd1: begin +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine1_req_valid; +assign litedramcore_bankmachine1_req_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine1_req_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine1_req_addr; +assign litedramcore_bankmachine1_cmd_buffer_sink_valid = litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine1_cmd_buffer_sink_ready; +assign litedramcore_bankmachine1_cmd_buffer_sink_first = litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine1_cmd_buffer_sink_last = litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine1_cmd_buffer_sink_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_sink_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_source_ready = (litedramcore_bankmachine1_req_wdata_ready | litedramcore_bankmachine1_req_rdata_valid); +assign litedramcore_bankmachine1_req_lock = (litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine1_cmd_buffer_source_valid); +assign litedramcore_bankmachine1_row_hit = (litedramcore_bankmachine1_row == litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine1_cmd_payload_ba = 1'd1; +always @(*) begin + litedramcore_bankmachine1_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine1_row_col_n_addr_sel) begin + litedramcore_bankmachine1_cmd_payload_a <= litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7]; + end else begin + litedramcore_bankmachine1_cmd_payload_a <= ((litedramcore_bankmachine1_auto_precharge <<< 4'd10) | {litedramcore_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine1_twtpcon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_cmd_payload_is_write); +assign litedramcore_bankmachine1_trccon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_row_open); +assign litedramcore_bankmachine1_trascon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_row_open); +always @(*) begin + litedramcore_bankmachine1_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine1_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine1_auto_precharge <= (litedramcore_bankmachine1_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_first = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_last = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine1_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine1_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine1_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | litedramcore_bankmachine1_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine1_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine1_cmd_buffer_sink_ready = ((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine1_next_state <= 4'd0; + litedramcore_bankmachine1_next_state <= litedramcore_bankmachine1_state; + case (litedramcore_bankmachine1_state) + 1'd1: begin + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + if (litedramcore_bankmachine1_cmd_ready) begin + litedramcore_bankmachine1_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine1_trccon_ready) begin + if (litedramcore_bankmachine1_cmd_ready) begin + litedramcore_bankmachine1_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine1_refresh_req)) begin + litedramcore_bankmachine1_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine1_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine1_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine1_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine1_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin + litedramcore_bankmachine1_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine0_req_rdata_valid <= main_litedramcore_bankmachine0_cmd_ready; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if ((litedramcore_bankmachine1_cmd_ready & litedramcore_bankmachine1_auto_precharge)) begin + litedramcore_bankmachine1_next_state <= 2'd2; end end else begin + litedramcore_bankmachine1_next_state <= 1'd1; end end else begin + litedramcore_bankmachine1_next_state <= 2'd3; end end end @@ -4739,8 +5311,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_refresh_gnt <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine1_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -4748,9 +5320,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine0_twtpcon_ready) begin - main_litedramcore_bankmachine0_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -4761,149 +5330,63 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine1_refresh_req) begin + end else begin + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine1_req_rdata_valid <= litedramcore_bankmachine1_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine1_req_valid; -assign main_litedramcore_bankmachine1_req_ready = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine1_req_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine1_req_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_valid = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine1_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_source_ready = (main_litedramcore_bankmachine1_req_wdata_ready | main_litedramcore_bankmachine1_req_rdata_valid); -assign main_litedramcore_bankmachine1_req_lock = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine1_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine1_row_hit = (main_litedramcore_bankmachine1_row == main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine1_cmd_payload_ba = 1'd1; -always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine1_row_col_n_addr_sel) begin - main_litedramcore_bankmachine1_cmd_payload_a <= main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7]; - end else begin - main_litedramcore_bankmachine1_cmd_payload_a <= ((main_litedramcore_bankmachine1_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine1_twtpcon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_cmd_payload_is_write); -assign main_litedramcore_bankmachine1_trccon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_row_open); -assign main_litedramcore_bankmachine1_trascon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_row_open); -always @(*) begin - main_litedramcore_bankmachine1_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine1_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine1_auto_precharge <= (main_litedramcore_bankmachine1_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine1_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine1_cmd_buffer_source_valid) | main_litedramcore_bankmachine1_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine1_next_state <= 4'd0; - builder_bankmachine1_next_state <= builder_bankmachine1_state; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - if (main_litedramcore_bankmachine1_cmd_ready) begin - builder_bankmachine1_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - builder_bankmachine1_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - if (main_litedramcore_bankmachine1_cmd_ready) begin - builder_bankmachine1_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine1_refresh_req)) begin - builder_bankmachine1_next_state <= 1'd0; + if (litedramcore_bankmachine1_twtpcon_ready) begin + litedramcore_bankmachine1_refresh_gnt <= 1'd1; end end 3'd5: begin - builder_bankmachine1_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine1_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine1_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine1_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin - builder_bankmachine1_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if ((main_litedramcore_bankmachine1_cmd_ready & main_litedramcore_bankmachine1_auto_precharge)) begin - builder_bankmachine1_next_state <= 2'd2; - end - end else begin - builder_bankmachine1_next_state <= 1'd1; - end - end else begin - builder_bankmachine1_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_valid <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end end 3'd4: begin @@ -4917,12 +5400,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end else begin end end else begin @@ -4933,15 +5416,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_row_open <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_row_open <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_row_open <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_row_open <= 1'd1; end end 3'd4: begin @@ -4959,18 +5442,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_row_close <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_row_close <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; + litedramcore_bankmachine1_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; + litedramcore_bankmachine1_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; + litedramcore_bankmachine1_row_close <= 1'd1; end 3'd5: begin end @@ -4985,8 +5468,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_cas <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5004,12 +5487,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - main_litedramcore_bankmachine1_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + litedramcore_bankmachine1_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -5020,18 +5503,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -5049,11 +5532,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -5071,13 +5554,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -5090,15 +5573,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -5116,22 +5599,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -5146,8 +5629,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5165,14 +5648,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine1_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine1_cmd_payload_is_read <= 1'd1; end end else begin end @@ -5184,8 +5667,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5203,13 +5686,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -5222,8 +5705,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_req_wdata_ready <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5241,13 +5724,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_req_wdata_ready <= main_litedramcore_bankmachine1_cmd_ready; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_req_wdata_ready <= litedramcore_bankmachine1_cmd_ready; end else begin end end else begin @@ -5259,38 +5742,127 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine1_req_rdata_valid <= 1'd0; - case (builder_bankmachine1_state) - 1'd1: begin +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine2_req_valid; +assign litedramcore_bankmachine2_req_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine2_req_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine2_req_addr; +assign litedramcore_bankmachine2_cmd_buffer_sink_valid = litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine2_cmd_buffer_sink_ready; +assign litedramcore_bankmachine2_cmd_buffer_sink_first = litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine2_cmd_buffer_sink_last = litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine2_cmd_buffer_sink_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_sink_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_source_ready = (litedramcore_bankmachine2_req_wdata_ready | litedramcore_bankmachine2_req_rdata_valid); +assign litedramcore_bankmachine2_req_lock = (litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine2_cmd_buffer_source_valid); +assign litedramcore_bankmachine2_row_hit = (litedramcore_bankmachine2_row == litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine2_cmd_payload_ba = 2'd2; +always @(*) begin + litedramcore_bankmachine2_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine2_row_col_n_addr_sel) begin + litedramcore_bankmachine2_cmd_payload_a <= litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7]; + end else begin + litedramcore_bankmachine2_cmd_payload_a <= ((litedramcore_bankmachine2_auto_precharge <<< 4'd10) | {litedramcore_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine2_twtpcon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_cmd_payload_is_write); +assign litedramcore_bankmachine2_trccon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_row_open); +assign litedramcore_bankmachine2_trascon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_row_open); +always @(*) begin + litedramcore_bankmachine2_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine2_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine2_auto_precharge <= (litedramcore_bankmachine2_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_first = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_last = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine2_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine2_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine2_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | litedramcore_bankmachine2_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine2_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine2_cmd_buffer_sink_ready = ((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine2_next_state <= 4'd0; + litedramcore_bankmachine2_next_state <= litedramcore_bankmachine2_state; + case (litedramcore_bankmachine2_state) + 1'd1: begin + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + if (litedramcore_bankmachine2_cmd_ready) begin + litedramcore_bankmachine2_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine2_trccon_ready) begin + if (litedramcore_bankmachine2_cmd_ready) begin + litedramcore_bankmachine2_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine2_refresh_req)) begin + litedramcore_bankmachine2_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine2_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine2_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine2_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine2_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin + litedramcore_bankmachine2_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine1_req_rdata_valid <= main_litedramcore_bankmachine1_cmd_ready; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if ((litedramcore_bankmachine2_cmd_ready & litedramcore_bankmachine2_auto_precharge)) begin + litedramcore_bankmachine2_next_state <= 2'd2; end end else begin + litedramcore_bankmachine2_next_state <= 1'd1; end end else begin + litedramcore_bankmachine2_next_state <= 2'd3; end end end @@ -5298,8 +5870,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_refresh_gnt <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine2_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5307,9 +5879,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine1_twtpcon_ready) begin - main_litedramcore_bankmachine1_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -5320,149 +5889,63 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine2_refresh_req) begin + end else begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine2_req_rdata_valid <= litedramcore_bankmachine2_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine2_req_valid; -assign main_litedramcore_bankmachine2_req_ready = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine2_req_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine2_req_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_valid = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine2_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_source_ready = (main_litedramcore_bankmachine2_req_wdata_ready | main_litedramcore_bankmachine2_req_rdata_valid); -assign main_litedramcore_bankmachine2_req_lock = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine2_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine2_row_hit = (main_litedramcore_bankmachine2_row == main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine2_cmd_payload_ba = 2'd2; always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine2_row_col_n_addr_sel) begin - main_litedramcore_bankmachine2_cmd_payload_a <= main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7]; - end else begin - main_litedramcore_bankmachine2_cmd_payload_a <= ((main_litedramcore_bankmachine2_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine2_twtpcon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_cmd_payload_is_write); -assign main_litedramcore_bankmachine2_trccon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_row_open); -assign main_litedramcore_bankmachine2_trascon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_row_open); -always @(*) begin - main_litedramcore_bankmachine2_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine2_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine2_auto_precharge <= (main_litedramcore_bankmachine2_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine2_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine2_cmd_buffer_source_valid) | main_litedramcore_bankmachine2_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine2_next_state <= 4'd0; - builder_bankmachine2_next_state <= builder_bankmachine2_state; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - if (main_litedramcore_bankmachine2_cmd_ready) begin - builder_bankmachine2_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - builder_bankmachine2_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - if (main_litedramcore_bankmachine2_cmd_ready) begin - builder_bankmachine2_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine2_refresh_req)) begin - builder_bankmachine2_next_state <= 1'd0; + if (litedramcore_bankmachine2_twtpcon_ready) begin + litedramcore_bankmachine2_refresh_gnt <= 1'd1; end end 3'd5: begin - builder_bankmachine2_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine2_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine2_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine2_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin - builder_bankmachine2_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if ((main_litedramcore_bankmachine2_cmd_ready & main_litedramcore_bankmachine2_auto_precharge)) begin - builder_bankmachine2_next_state <= 2'd2; - end - end else begin - builder_bankmachine2_next_state <= 1'd1; - end - end else begin - builder_bankmachine2_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_valid <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; end end 3'd4: begin @@ -5476,12 +5959,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; end else begin end end else begin @@ -5492,15 +5975,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_row_open <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_row_open <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_row_open <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_row_open <= 1'd1; end end 3'd4: begin @@ -5518,18 +6001,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_row_close <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_row_close <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; + litedramcore_bankmachine2_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; + litedramcore_bankmachine2_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; + litedramcore_bankmachine2_row_close <= 1'd1; end 3'd5: begin end @@ -5544,8 +6027,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_cas <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5563,12 +6046,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - main_litedramcore_bankmachine2_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + litedramcore_bankmachine2_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -5579,18 +6062,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -5608,11 +6091,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -5630,13 +6113,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -5649,15 +6132,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -5675,22 +6158,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -5705,8 +6188,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5724,14 +6207,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine2_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine2_cmd_payload_is_read <= 1'd1; end end else begin end @@ -5743,8 +6226,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5762,13 +6245,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -5781,8 +6264,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_req_wdata_ready <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5800,13 +6283,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_req_wdata_ready <= main_litedramcore_bankmachine2_cmd_ready; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_req_wdata_ready <= litedramcore_bankmachine2_cmd_ready; end else begin end end else begin @@ -5818,38 +6301,127 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine2_req_rdata_valid <= 1'd0; - case (builder_bankmachine2_state) - 1'd1: begin +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine3_req_valid; +assign litedramcore_bankmachine3_req_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine3_req_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine3_req_addr; +assign litedramcore_bankmachine3_cmd_buffer_sink_valid = litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine3_cmd_buffer_sink_ready; +assign litedramcore_bankmachine3_cmd_buffer_sink_first = litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine3_cmd_buffer_sink_last = litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine3_cmd_buffer_sink_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_sink_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_source_ready = (litedramcore_bankmachine3_req_wdata_ready | litedramcore_bankmachine3_req_rdata_valid); +assign litedramcore_bankmachine3_req_lock = (litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine3_cmd_buffer_source_valid); +assign litedramcore_bankmachine3_row_hit = (litedramcore_bankmachine3_row == litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine3_cmd_payload_ba = 2'd3; +always @(*) begin + litedramcore_bankmachine3_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine3_row_col_n_addr_sel) begin + litedramcore_bankmachine3_cmd_payload_a <= litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7]; + end else begin + litedramcore_bankmachine3_cmd_payload_a <= ((litedramcore_bankmachine3_auto_precharge <<< 4'd10) | {litedramcore_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine3_twtpcon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_cmd_payload_is_write); +assign litedramcore_bankmachine3_trccon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_row_open); +assign litedramcore_bankmachine3_trascon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_row_open); +always @(*) begin + litedramcore_bankmachine3_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine3_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine3_auto_precharge <= (litedramcore_bankmachine3_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_first = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_last = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine3_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine3_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine3_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | litedramcore_bankmachine3_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine3_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine3_cmd_buffer_sink_ready = ((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine3_next_state <= 4'd0; + litedramcore_bankmachine3_next_state <= litedramcore_bankmachine3_state; + case (litedramcore_bankmachine3_state) + 1'd1: begin + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + if (litedramcore_bankmachine3_cmd_ready) begin + litedramcore_bankmachine3_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine3_trccon_ready) begin + if (litedramcore_bankmachine3_cmd_ready) begin + litedramcore_bankmachine3_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine3_refresh_req)) begin + litedramcore_bankmachine3_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine3_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine3_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine3_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine3_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin + litedramcore_bankmachine3_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine2_req_rdata_valid <= main_litedramcore_bankmachine2_cmd_ready; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if ((litedramcore_bankmachine3_cmd_ready & litedramcore_bankmachine3_auto_precharge)) begin + litedramcore_bankmachine3_next_state <= 2'd2; end end else begin + litedramcore_bankmachine3_next_state <= 1'd1; end end else begin + litedramcore_bankmachine3_next_state <= 2'd3; end end end @@ -5857,8 +6429,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_refresh_gnt <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine3_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -5866,9 +6438,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine2_twtpcon_ready) begin - main_litedramcore_bankmachine2_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -5879,149 +6448,63 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine3_refresh_req) begin + end else begin + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine3_req_rdata_valid <= litedramcore_bankmachine3_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine3_req_valid; -assign main_litedramcore_bankmachine3_req_ready = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine3_req_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine3_req_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_valid = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine3_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_source_ready = (main_litedramcore_bankmachine3_req_wdata_ready | main_litedramcore_bankmachine3_req_rdata_valid); -assign main_litedramcore_bankmachine3_req_lock = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine3_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine3_row_hit = (main_litedramcore_bankmachine3_row == main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine3_cmd_payload_ba = 2'd3; -always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine3_row_col_n_addr_sel) begin - main_litedramcore_bankmachine3_cmd_payload_a <= main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7]; - end else begin - main_litedramcore_bankmachine3_cmd_payload_a <= ((main_litedramcore_bankmachine3_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine3_twtpcon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_cmd_payload_is_write); -assign main_litedramcore_bankmachine3_trccon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_row_open); -assign main_litedramcore_bankmachine3_trascon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_row_open); -always @(*) begin - main_litedramcore_bankmachine3_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine3_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine3_auto_precharge <= (main_litedramcore_bankmachine3_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine3_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine3_cmd_buffer_source_valid) | main_litedramcore_bankmachine3_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine3_next_state <= 4'd0; - builder_bankmachine3_next_state <= builder_bankmachine3_state; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - if (main_litedramcore_bankmachine3_cmd_ready) begin - builder_bankmachine3_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - builder_bankmachine3_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - if (main_litedramcore_bankmachine3_cmd_ready) begin - builder_bankmachine3_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine3_refresh_req)) begin - builder_bankmachine3_next_state <= 1'd0; + if (litedramcore_bankmachine3_twtpcon_ready) begin + litedramcore_bankmachine3_refresh_gnt <= 1'd1; end end 3'd5: begin - builder_bankmachine3_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine3_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine3_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine3_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin - builder_bankmachine3_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if ((main_litedramcore_bankmachine3_cmd_ready & main_litedramcore_bankmachine3_auto_precharge)) begin - builder_bankmachine3_next_state <= 2'd2; - end - end else begin - builder_bankmachine3_next_state <= 1'd1; - end - end else begin - builder_bankmachine3_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_valid <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end end 3'd4: begin @@ -6035,12 +6518,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end else begin end end else begin @@ -6051,15 +6534,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_row_open <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_row_open <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_row_open <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_row_open <= 1'd1; end end 3'd4: begin @@ -6077,18 +6560,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_row_close <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_row_close <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; + litedramcore_bankmachine3_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; + litedramcore_bankmachine3_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; + litedramcore_bankmachine3_row_close <= 1'd1; end 3'd5: begin end @@ -6103,8 +6586,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_cas <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6122,12 +6605,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - main_litedramcore_bankmachine3_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + litedramcore_bankmachine3_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -6138,18 +6621,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -6167,11 +6650,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -6189,13 +6672,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -6208,15 +6691,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -6234,22 +6717,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -6264,8 +6747,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6283,14 +6766,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine3_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine3_cmd_payload_is_read <= 1'd1; end end else begin end @@ -6302,8 +6785,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6321,13 +6804,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -6340,8 +6823,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_req_wdata_ready <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6359,13 +6842,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_req_wdata_ready <= main_litedramcore_bankmachine3_cmd_ready; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_req_wdata_ready <= litedramcore_bankmachine3_cmd_ready; end else begin end end else begin @@ -6377,38 +6860,127 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine3_req_rdata_valid <= 1'd0; - case (builder_bankmachine3_state) - 1'd1: begin +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine4_req_valid; +assign litedramcore_bankmachine4_req_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine4_req_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine4_req_addr; +assign litedramcore_bankmachine4_cmd_buffer_sink_valid = litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine4_cmd_buffer_sink_ready; +assign litedramcore_bankmachine4_cmd_buffer_sink_first = litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine4_cmd_buffer_sink_last = litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine4_cmd_buffer_sink_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_sink_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_source_ready = (litedramcore_bankmachine4_req_wdata_ready | litedramcore_bankmachine4_req_rdata_valid); +assign litedramcore_bankmachine4_req_lock = (litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine4_cmd_buffer_source_valid); +assign litedramcore_bankmachine4_row_hit = (litedramcore_bankmachine4_row == litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine4_cmd_payload_ba = 3'd4; +always @(*) begin + litedramcore_bankmachine4_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine4_row_col_n_addr_sel) begin + litedramcore_bankmachine4_cmd_payload_a <= litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7]; + end else begin + litedramcore_bankmachine4_cmd_payload_a <= ((litedramcore_bankmachine4_auto_precharge <<< 4'd10) | {litedramcore_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine4_twtpcon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_cmd_payload_is_write); +assign litedramcore_bankmachine4_trccon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_row_open); +assign litedramcore_bankmachine4_trascon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_row_open); +always @(*) begin + litedramcore_bankmachine4_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine4_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine4_auto_precharge <= (litedramcore_bankmachine4_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_first = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_last = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine4_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine4_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine4_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | litedramcore_bankmachine4_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine4_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine4_cmd_buffer_sink_ready = ((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine4_next_state <= 4'd0; + litedramcore_bankmachine4_next_state <= litedramcore_bankmachine4_state; + case (litedramcore_bankmachine4_state) + 1'd1: begin + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + if (litedramcore_bankmachine4_cmd_ready) begin + litedramcore_bankmachine4_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine4_trccon_ready) begin + if (litedramcore_bankmachine4_cmd_ready) begin + litedramcore_bankmachine4_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine4_refresh_req)) begin + litedramcore_bankmachine4_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine4_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine4_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine4_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine4_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin + litedramcore_bankmachine4_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine3_req_rdata_valid <= main_litedramcore_bankmachine3_cmd_ready; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if ((litedramcore_bankmachine4_cmd_ready & litedramcore_bankmachine4_auto_precharge)) begin + litedramcore_bankmachine4_next_state <= 2'd2; end end else begin + litedramcore_bankmachine4_next_state <= 1'd1; end end else begin + litedramcore_bankmachine4_next_state <= 2'd3; end end end @@ -6416,8 +6988,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_refresh_gnt <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine4_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6425,9 +6997,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine3_twtpcon_ready) begin - main_litedramcore_bankmachine3_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -6438,149 +7007,63 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine4_refresh_req) begin + end else begin + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine4_req_rdata_valid <= litedramcore_bankmachine4_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine4_req_valid; -assign main_litedramcore_bankmachine4_req_ready = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine4_req_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine4_req_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_valid = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine4_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_source_ready = (main_litedramcore_bankmachine4_req_wdata_ready | main_litedramcore_bankmachine4_req_rdata_valid); -assign main_litedramcore_bankmachine4_req_lock = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine4_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine4_row_hit = (main_litedramcore_bankmachine4_row == main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine4_cmd_payload_ba = 3'd4; -always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine4_row_col_n_addr_sel) begin - main_litedramcore_bankmachine4_cmd_payload_a <= main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7]; - end else begin - main_litedramcore_bankmachine4_cmd_payload_a <= ((main_litedramcore_bankmachine4_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine4_twtpcon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_cmd_payload_is_write); -assign main_litedramcore_bankmachine4_trccon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_row_open); -assign main_litedramcore_bankmachine4_trascon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_row_open); -always @(*) begin - main_litedramcore_bankmachine4_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine4_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine4_auto_precharge <= (main_litedramcore_bankmachine4_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine4_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine4_cmd_buffer_source_valid) | main_litedramcore_bankmachine4_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine4_next_state <= 4'd0; - builder_bankmachine4_next_state <= builder_bankmachine4_state; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - if (main_litedramcore_bankmachine4_cmd_ready) begin - builder_bankmachine4_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - builder_bankmachine4_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - if (main_litedramcore_bankmachine4_cmd_ready) begin - builder_bankmachine4_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine4_refresh_req)) begin - builder_bankmachine4_next_state <= 1'd0; + if (litedramcore_bankmachine4_twtpcon_ready) begin + litedramcore_bankmachine4_refresh_gnt <= 1'd1; end end 3'd5: begin - builder_bankmachine4_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine4_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine4_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine4_next_state <= 1'd0; end - default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin - builder_bankmachine4_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if ((main_litedramcore_bankmachine4_cmd_ready & main_litedramcore_bankmachine4_auto_precharge)) begin - builder_bankmachine4_next_state <= 2'd2; - end - end else begin - builder_bankmachine4_next_state <= 1'd1; - end - end else begin - builder_bankmachine4_next_state <= 2'd3; - end - end - end + default: begin end endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_valid <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; end end 3'd4: begin @@ -6594,12 +7077,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; end else begin end end else begin @@ -6610,15 +7093,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_row_open <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_row_open <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_row_open <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_row_open <= 1'd1; end end 3'd4: begin @@ -6636,18 +7119,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_row_close <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_row_close <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; + litedramcore_bankmachine4_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; + litedramcore_bankmachine4_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; + litedramcore_bankmachine4_row_close <= 1'd1; end 3'd5: begin end @@ -6662,8 +7145,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_cas <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6681,12 +7164,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - main_litedramcore_bankmachine4_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + litedramcore_bankmachine4_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -6697,18 +7180,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -6726,11 +7209,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -6748,13 +7231,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -6767,15 +7250,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -6793,22 +7276,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -6823,8 +7306,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6842,14 +7325,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine4_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine4_cmd_payload_is_read <= 1'd1; end end else begin end @@ -6861,8 +7344,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6880,13 +7363,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -6899,8 +7382,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_req_wdata_ready <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6918,13 +7401,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_req_wdata_ready <= main_litedramcore_bankmachine4_cmd_ready; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_req_wdata_ready <= litedramcore_bankmachine4_cmd_ready; end else begin end end else begin @@ -6936,38 +7419,127 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine4_req_rdata_valid <= 1'd0; - case (builder_bankmachine4_state) - 1'd1: begin +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine5_req_valid; +assign litedramcore_bankmachine5_req_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine5_req_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine5_req_addr; +assign litedramcore_bankmachine5_cmd_buffer_sink_valid = litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine5_cmd_buffer_sink_ready; +assign litedramcore_bankmachine5_cmd_buffer_sink_first = litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine5_cmd_buffer_sink_last = litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine5_cmd_buffer_sink_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_sink_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_source_ready = (litedramcore_bankmachine5_req_wdata_ready | litedramcore_bankmachine5_req_rdata_valid); +assign litedramcore_bankmachine5_req_lock = (litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine5_cmd_buffer_source_valid); +assign litedramcore_bankmachine5_row_hit = (litedramcore_bankmachine5_row == litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine5_cmd_payload_ba = 3'd5; +always @(*) begin + litedramcore_bankmachine5_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine5_row_col_n_addr_sel) begin + litedramcore_bankmachine5_cmd_payload_a <= litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7]; + end else begin + litedramcore_bankmachine5_cmd_payload_a <= ((litedramcore_bankmachine5_auto_precharge <<< 4'd10) | {litedramcore_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine5_twtpcon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_cmd_payload_is_write); +assign litedramcore_bankmachine5_trccon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_row_open); +assign litedramcore_bankmachine5_trascon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_row_open); +always @(*) begin + litedramcore_bankmachine5_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine5_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine5_auto_precharge <= (litedramcore_bankmachine5_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_first = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_last = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine5_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine5_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine5_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | litedramcore_bankmachine5_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine5_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine5_cmd_buffer_sink_ready = ((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine5_next_state <= 4'd0; + litedramcore_bankmachine5_next_state <= litedramcore_bankmachine5_state; + case (litedramcore_bankmachine5_state) + 1'd1: begin + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + if (litedramcore_bankmachine5_cmd_ready) begin + litedramcore_bankmachine5_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine5_trccon_ready) begin + if (litedramcore_bankmachine5_cmd_ready) begin + litedramcore_bankmachine5_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine5_refresh_req)) begin + litedramcore_bankmachine5_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine5_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine5_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine5_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine5_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin + litedramcore_bankmachine5_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine4_req_rdata_valid <= main_litedramcore_bankmachine4_cmd_ready; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if ((litedramcore_bankmachine5_cmd_ready & litedramcore_bankmachine5_auto_precharge)) begin + litedramcore_bankmachine5_next_state <= 2'd2; end end else begin + litedramcore_bankmachine5_next_state <= 1'd1; end end else begin + litedramcore_bankmachine5_next_state <= 2'd3; end end end @@ -6975,8 +7547,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_refresh_gnt <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine5_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -6984,9 +7556,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine4_twtpcon_ready) begin - main_litedramcore_bankmachine4_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -6997,149 +7566,63 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine5_refresh_req) begin + end else begin + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine5_req_rdata_valid <= litedramcore_bankmachine5_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine5_req_valid; -assign main_litedramcore_bankmachine5_req_ready = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine5_req_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine5_req_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_valid = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine5_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_source_ready = (main_litedramcore_bankmachine5_req_wdata_ready | main_litedramcore_bankmachine5_req_rdata_valid); -assign main_litedramcore_bankmachine5_req_lock = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine5_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine5_row_hit = (main_litedramcore_bankmachine5_row == main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine5_cmd_payload_ba = 3'd5; -always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine5_row_col_n_addr_sel) begin - main_litedramcore_bankmachine5_cmd_payload_a <= main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7]; - end else begin - main_litedramcore_bankmachine5_cmd_payload_a <= ((main_litedramcore_bankmachine5_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine5_twtpcon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_cmd_payload_is_write); -assign main_litedramcore_bankmachine5_trccon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_row_open); -assign main_litedramcore_bankmachine5_trascon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_row_open); -always @(*) begin - main_litedramcore_bankmachine5_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine5_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine5_auto_precharge <= (main_litedramcore_bankmachine5_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine5_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine5_cmd_buffer_source_valid) | main_litedramcore_bankmachine5_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine5_next_state <= 4'd0; - builder_bankmachine5_next_state <= builder_bankmachine5_state; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - if (main_litedramcore_bankmachine5_cmd_ready) begin - builder_bankmachine5_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - builder_bankmachine5_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - if (main_litedramcore_bankmachine5_cmd_ready) begin - builder_bankmachine5_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine5_refresh_req)) begin - builder_bankmachine5_next_state <= 1'd0; + if (litedramcore_bankmachine5_twtpcon_ready) begin + litedramcore_bankmachine5_refresh_gnt <= 1'd1; end end 3'd5: begin - builder_bankmachine5_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine5_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine5_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine5_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin - builder_bankmachine5_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if ((main_litedramcore_bankmachine5_cmd_ready & main_litedramcore_bankmachine5_auto_precharge)) begin - builder_bankmachine5_next_state <= 2'd2; - end - end else begin - builder_bankmachine5_next_state <= 1'd1; - end - end else begin - builder_bankmachine5_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_valid <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; end end 3'd4: begin @@ -7153,12 +7636,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; end else begin end end else begin @@ -7169,15 +7652,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_row_open <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_row_open <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_row_open <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_row_open <= 1'd1; end end 3'd4: begin @@ -7195,18 +7678,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_row_close <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_row_close <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; + litedramcore_bankmachine5_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; + litedramcore_bankmachine5_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; + litedramcore_bankmachine5_row_close <= 1'd1; end 3'd5: begin end @@ -7221,8 +7704,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_cas <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7240,12 +7723,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - main_litedramcore_bankmachine5_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + litedramcore_bankmachine5_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -7256,18 +7739,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -7285,11 +7768,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -7307,13 +7790,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -7326,15 +7809,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -7352,22 +7835,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -7382,8 +7865,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7401,14 +7884,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine5_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine5_cmd_payload_is_read <= 1'd1; end end else begin end @@ -7420,8 +7903,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7439,13 +7922,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -7458,8 +7941,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_req_wdata_ready <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7477,13 +7960,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_req_wdata_ready <= main_litedramcore_bankmachine5_cmd_ready; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_req_wdata_ready <= litedramcore_bankmachine5_cmd_ready; end else begin end end else begin @@ -7495,38 +7978,127 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine5_req_rdata_valid <= 1'd0; - case (builder_bankmachine5_state) - 1'd1: begin +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine6_req_valid; +assign litedramcore_bankmachine6_req_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine6_req_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine6_req_addr; +assign litedramcore_bankmachine6_cmd_buffer_sink_valid = litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine6_cmd_buffer_sink_ready; +assign litedramcore_bankmachine6_cmd_buffer_sink_first = litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine6_cmd_buffer_sink_last = litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine6_cmd_buffer_sink_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_sink_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_source_ready = (litedramcore_bankmachine6_req_wdata_ready | litedramcore_bankmachine6_req_rdata_valid); +assign litedramcore_bankmachine6_req_lock = (litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine6_cmd_buffer_source_valid); +assign litedramcore_bankmachine6_row_hit = (litedramcore_bankmachine6_row == litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine6_cmd_payload_ba = 3'd6; +always @(*) begin + litedramcore_bankmachine6_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine6_row_col_n_addr_sel) begin + litedramcore_bankmachine6_cmd_payload_a <= litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7]; + end else begin + litedramcore_bankmachine6_cmd_payload_a <= ((litedramcore_bankmachine6_auto_precharge <<< 4'd10) | {litedramcore_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine6_twtpcon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_cmd_payload_is_write); +assign litedramcore_bankmachine6_trccon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_row_open); +assign litedramcore_bankmachine6_trascon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_row_open); +always @(*) begin + litedramcore_bankmachine6_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine6_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine6_auto_precharge <= (litedramcore_bankmachine6_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_first = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_last = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine6_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine6_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine6_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | litedramcore_bankmachine6_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine6_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine6_cmd_buffer_sink_ready = ((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine6_next_state <= 4'd0; + litedramcore_bankmachine6_next_state <= litedramcore_bankmachine6_state; + case (litedramcore_bankmachine6_state) + 1'd1: begin + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + if (litedramcore_bankmachine6_cmd_ready) begin + litedramcore_bankmachine6_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine6_trccon_ready) begin + if (litedramcore_bankmachine6_cmd_ready) begin + litedramcore_bankmachine6_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine6_refresh_req)) begin + litedramcore_bankmachine6_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine6_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine6_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine6_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine6_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin + litedramcore_bankmachine6_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine5_req_rdata_valid <= main_litedramcore_bankmachine5_cmd_ready; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if ((litedramcore_bankmachine6_cmd_ready & litedramcore_bankmachine6_auto_precharge)) begin + litedramcore_bankmachine6_next_state <= 2'd2; end end else begin + litedramcore_bankmachine6_next_state <= 1'd1; end end else begin + litedramcore_bankmachine6_next_state <= 2'd3; end end end @@ -7534,8 +8106,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_refresh_gnt <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine6_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -7543,9 +8115,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine5_twtpcon_ready) begin - main_litedramcore_bankmachine5_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -7556,149 +8125,63 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine6_refresh_req) begin + end else begin + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine6_req_rdata_valid <= litedramcore_bankmachine6_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine6_req_valid; -assign main_litedramcore_bankmachine6_req_ready = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine6_req_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine6_req_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_valid = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine6_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_source_ready = (main_litedramcore_bankmachine6_req_wdata_ready | main_litedramcore_bankmachine6_req_rdata_valid); -assign main_litedramcore_bankmachine6_req_lock = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine6_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine6_row_hit = (main_litedramcore_bankmachine6_row == main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine6_cmd_payload_ba = 3'd6; always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine6_row_col_n_addr_sel) begin - main_litedramcore_bankmachine6_cmd_payload_a <= main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7]; - end else begin - main_litedramcore_bankmachine6_cmd_payload_a <= ((main_litedramcore_bankmachine6_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine6_twtpcon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_cmd_payload_is_write); -assign main_litedramcore_bankmachine6_trccon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_row_open); -assign main_litedramcore_bankmachine6_trascon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_row_open); -always @(*) begin - main_litedramcore_bankmachine6_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine6_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine6_auto_precharge <= (main_litedramcore_bankmachine6_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine6_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine6_cmd_buffer_source_valid) | main_litedramcore_bankmachine6_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine6_next_state <= 4'd0; - builder_bankmachine6_next_state <= builder_bankmachine6_state; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - if (main_litedramcore_bankmachine6_cmd_ready) begin - builder_bankmachine6_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - builder_bankmachine6_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - if (main_litedramcore_bankmachine6_cmd_ready) begin - builder_bankmachine6_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine6_refresh_req)) begin - builder_bankmachine6_next_state <= 1'd0; + if (litedramcore_bankmachine6_twtpcon_ready) begin + litedramcore_bankmachine6_refresh_gnt <= 1'd1; end end 3'd5: begin - builder_bankmachine6_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine6_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine6_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine6_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin - builder_bankmachine6_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if ((main_litedramcore_bankmachine6_cmd_ready & main_litedramcore_bankmachine6_auto_precharge)) begin - builder_bankmachine6_next_state <= 2'd2; - end - end else begin - builder_bankmachine6_next_state <= 1'd1; - end - end else begin - builder_bankmachine6_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_valid <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; end end 3'd4: begin @@ -7712,12 +8195,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; end else begin end end else begin @@ -7728,15 +8211,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_row_open <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_open <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_row_open <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_row_open <= 1'd1; end end 3'd4: begin @@ -7754,18 +8237,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_row_close <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_close <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; + litedramcore_bankmachine6_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; + litedramcore_bankmachine6_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; + litedramcore_bankmachine6_row_close <= 1'd1; end 3'd5: begin end @@ -7780,8 +8263,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_cas <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -7799,12 +8282,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - main_litedramcore_bankmachine6_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + litedramcore_bankmachine6_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -7815,18 +8298,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -7844,11 +8327,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -7866,13 +8349,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -7885,15 +8368,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -7911,22 +8394,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -7941,8 +8424,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -7960,14 +8443,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine6_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine6_cmd_payload_is_read <= 1'd1; end end else begin end @@ -7979,8 +8462,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -7998,13 +8481,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -8017,8 +8500,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_req_wdata_ready <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -8036,13 +8519,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_req_wdata_ready <= main_litedramcore_bankmachine6_cmd_ready; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_req_wdata_ready <= litedramcore_bankmachine6_cmd_ready; end else begin end end else begin @@ -8054,38 +8537,127 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine6_req_rdata_valid <= 1'd0; - case (builder_bankmachine6_state) - 1'd1: begin +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine7_req_valid; +assign litedramcore_bankmachine7_req_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine7_req_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine7_req_addr; +assign litedramcore_bankmachine7_cmd_buffer_sink_valid = litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine7_cmd_buffer_sink_ready; +assign litedramcore_bankmachine7_cmd_buffer_sink_first = litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine7_cmd_buffer_sink_last = litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine7_cmd_buffer_sink_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_sink_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_source_ready = (litedramcore_bankmachine7_req_wdata_ready | litedramcore_bankmachine7_req_rdata_valid); +assign litedramcore_bankmachine7_req_lock = (litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine7_cmd_buffer_source_valid); +assign litedramcore_bankmachine7_row_hit = (litedramcore_bankmachine7_row == litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine7_cmd_payload_ba = 3'd7; +always @(*) begin + litedramcore_bankmachine7_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine7_row_col_n_addr_sel) begin + litedramcore_bankmachine7_cmd_payload_a <= litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7]; + end else begin + litedramcore_bankmachine7_cmd_payload_a <= ((litedramcore_bankmachine7_auto_precharge <<< 4'd10) | {litedramcore_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine7_twtpcon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_cmd_payload_is_write); +assign litedramcore_bankmachine7_trccon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_row_open); +assign litedramcore_bankmachine7_trascon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_row_open); +always @(*) begin + litedramcore_bankmachine7_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine7_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine7_auto_precharge <= (litedramcore_bankmachine7_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_first = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_last = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine7_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine7_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine7_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | litedramcore_bankmachine7_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine7_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine7_cmd_buffer_sink_ready = ((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine7_next_state <= 4'd0; + litedramcore_bankmachine7_next_state <= litedramcore_bankmachine7_state; + case (litedramcore_bankmachine7_state) + 1'd1: begin + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + if (litedramcore_bankmachine7_cmd_ready) begin + litedramcore_bankmachine7_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine7_trccon_ready) begin + if (litedramcore_bankmachine7_cmd_ready) begin + litedramcore_bankmachine7_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine7_refresh_req)) begin + litedramcore_bankmachine7_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine7_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine7_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine7_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine7_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin + litedramcore_bankmachine7_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine6_req_rdata_valid <= main_litedramcore_bankmachine6_cmd_ready; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if ((litedramcore_bankmachine7_cmd_ready & litedramcore_bankmachine7_auto_precharge)) begin + litedramcore_bankmachine7_next_state <= 2'd2; end end else begin + litedramcore_bankmachine7_next_state <= 1'd1; end end else begin + litedramcore_bankmachine7_next_state <= 2'd3; end end end @@ -8093,8 +8665,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_refresh_gnt <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine7_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8102,9 +8674,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine6_twtpcon_ready) begin - main_litedramcore_bankmachine6_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -8115,149 +8684,63 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine7_refresh_req) begin + end else begin + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine7_req_rdata_valid <= litedramcore_bankmachine7_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine7_req_valid; -assign main_litedramcore_bankmachine7_req_ready = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine7_req_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine7_req_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_valid = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine7_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_source_ready = (main_litedramcore_bankmachine7_req_wdata_ready | main_litedramcore_bankmachine7_req_rdata_valid); -assign main_litedramcore_bankmachine7_req_lock = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine7_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine7_row_hit = (main_litedramcore_bankmachine7_row == main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine7_cmd_payload_ba = 3'd7; -always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine7_row_col_n_addr_sel) begin - main_litedramcore_bankmachine7_cmd_payload_a <= main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7]; - end else begin - main_litedramcore_bankmachine7_cmd_payload_a <= ((main_litedramcore_bankmachine7_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine7_twtpcon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_cmd_payload_is_write); -assign main_litedramcore_bankmachine7_trccon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_row_open); -assign main_litedramcore_bankmachine7_trascon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_row_open); always @(*) begin - main_litedramcore_bankmachine7_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine7_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine7_auto_precharge <= (main_litedramcore_bankmachine7_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine7_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine7_cmd_buffer_source_valid) | main_litedramcore_bankmachine7_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine7_next_state <= 4'd0; - builder_bankmachine7_next_state <= builder_bankmachine7_state; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - if (main_litedramcore_bankmachine7_cmd_ready) begin - builder_bankmachine7_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - builder_bankmachine7_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - if (main_litedramcore_bankmachine7_cmd_ready) begin - builder_bankmachine7_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine7_refresh_req)) begin - builder_bankmachine7_next_state <= 1'd0; + if (litedramcore_bankmachine7_twtpcon_ready) begin + litedramcore_bankmachine7_refresh_gnt <= 1'd1; end end 3'd5: begin - builder_bankmachine7_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine7_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine7_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine7_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - builder_bankmachine7_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if ((main_litedramcore_bankmachine7_cmd_ready & main_litedramcore_bankmachine7_auto_precharge)) begin - builder_bankmachine7_next_state <= 2'd2; - end - end else begin - builder_bankmachine7_next_state <= 1'd1; - end - end else begin - builder_bankmachine7_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_valid <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; end end 3'd4: begin @@ -8271,12 +8754,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; end else begin end end else begin @@ -8287,15 +8770,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_row_open <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_open <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_row_open <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_row_open <= 1'd1; end end 3'd4: begin @@ -8313,18 +8796,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_row_close <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_close <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; + litedramcore_bankmachine7_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; + litedramcore_bankmachine7_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; + litedramcore_bankmachine7_row_close <= 1'd1; end 3'd5: begin end @@ -8339,8 +8822,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_cas <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8358,12 +8841,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - main_litedramcore_bankmachine7_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + litedramcore_bankmachine7_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -8374,18 +8857,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -8403,11 +8886,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -8425,13 +8908,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -8444,15 +8927,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -8470,45 +8953,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine7_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -8519,27 +8979,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine7_cmd_payload_is_read <= 1'd1; - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8557,14 +9002,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin end else begin + litedramcore_bankmachine7_cmd_payload_is_read <= 1'd1; end end else begin end @@ -8576,8 +9021,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_req_wdata_ready <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8595,13 +9040,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_req_wdata_ready <= main_litedramcore_bankmachine7_cmd_ready; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -8614,8 +9059,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_req_rdata_valid <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8633,14 +9078,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_req_wdata_ready <= litedramcore_bankmachine7_cmd_ready; end else begin - main_litedramcore_bankmachine7_req_rdata_valid <= main_litedramcore_bankmachine7_cmd_ready; end end else begin end @@ -8651,288 +9096,266 @@ always @(*) begin end endcase end +assign litedramcore_rdcmdphase = (a7ddrphy_rdphase_storage - 1'd1); +assign litedramcore_wrcmdphase = (a7ddrphy_wrphase_storage - 1'd1); +assign litedramcore_trrdcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))); +assign litedramcore_tfawcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))); +assign litedramcore_ras_allowed = (litedramcore_trrdcon_ready & litedramcore_tfawcon_ready); +assign litedramcore_tccdcon_valid = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_cmd_payload_is_write | litedramcore_choose_req_cmd_payload_is_read)); +assign litedramcore_cas_allowed = litedramcore_tccdcon_ready; +assign litedramcore_twtrcon_valid = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); +assign litedramcore_read_available = ((((((((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_payload_is_read) | (litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_payload_is_read)) | (litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_payload_is_read)) | (litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_payload_is_read)) | (litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_payload_is_read)) | (litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_payload_is_read)) | (litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_payload_is_read)) | (litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_payload_is_read)); +assign litedramcore_write_available = ((((((((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_payload_is_write) | (litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_payload_is_write)) | (litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_payload_is_write)) | (litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_payload_is_write)) | (litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_payload_is_write)) | (litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_payload_is_write)) | (litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_payload_is_write)) | (litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_payload_is_write)); +assign litedramcore_max_time0 = (litedramcore_time0 == 1'd0); +assign litedramcore_max_time1 = (litedramcore_time1 == 1'd0); +assign litedramcore_bankmachine0_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine1_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine2_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine3_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine4_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine5_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine6_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine7_refresh_req = litedramcore_cmd_valid; +assign litedramcore_go_to_refresh = (((((((litedramcore_bankmachine0_refresh_gnt & litedramcore_bankmachine1_refresh_gnt) & litedramcore_bankmachine2_refresh_gnt) & litedramcore_bankmachine3_refresh_gnt) & litedramcore_bankmachine4_refresh_gnt) & litedramcore_bankmachine5_refresh_gnt) & litedramcore_bankmachine6_refresh_gnt) & litedramcore_bankmachine7_refresh_gnt); +assign litedramcore_interface_rdata = {litedramcore_dfi_p3_rddata, litedramcore_dfi_p2_rddata, litedramcore_dfi_p1_rddata, litedramcore_dfi_p0_rddata}; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); always @(*) begin - main_litedramcore_bankmachine7_refresh_gnt <= 1'd0; - case (builder_bankmachine7_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - if (main_litedramcore_bankmachine7_twtpcon_ready) begin - main_litedramcore_bankmachine7_refresh_gnt <= 1'd1; - end - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -assign main_litedramcore_rdcmdphase = (main_a7ddrphy_rdphase_storage - 1'd1); -assign main_litedramcore_wrcmdphase = (main_a7ddrphy_wrphase_storage - 1'd1); -assign main_litedramcore_trrdcon_valid = ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & ((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))); -assign main_litedramcore_tfawcon_valid = ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & ((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))); -assign main_litedramcore_ras_allowed = (main_litedramcore_trrdcon_ready & main_litedramcore_tfawcon_ready); -assign main_litedramcore_tccdcon_valid = ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_cmd_payload_is_write | main_litedramcore_choose_req_cmd_payload_is_read)); -assign main_litedramcore_cas_allowed = main_litedramcore_tccdcon_ready; -assign main_litedramcore_twtrcon_valid = ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); -assign main_litedramcore_read_available = ((((((((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_payload_is_read) | (main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_payload_is_read)) | (main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_payload_is_read)) | (main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_payload_is_read)) | (main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_payload_is_read)) | (main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_payload_is_read)) | (main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_payload_is_read)) | (main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_payload_is_read)); -assign main_litedramcore_write_available = ((((((((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_payload_is_write) | (main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_payload_is_write)) | (main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_payload_is_write)) | (main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_payload_is_write)) | (main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_payload_is_write)) | (main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_payload_is_write)) | (main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_payload_is_write)) | (main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_payload_is_write)); -assign main_litedramcore_max_time0 = (main_litedramcore_time0 == 1'd0); -assign main_litedramcore_max_time1 = (main_litedramcore_time1 == 1'd0); -assign main_litedramcore_bankmachine0_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine1_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine2_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine3_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine4_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine5_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine6_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine7_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_go_to_refresh = (((((((main_litedramcore_bankmachine0_refresh_gnt & main_litedramcore_bankmachine1_refresh_gnt) & main_litedramcore_bankmachine2_refresh_gnt) & main_litedramcore_bankmachine3_refresh_gnt) & main_litedramcore_bankmachine4_refresh_gnt) & main_litedramcore_bankmachine5_refresh_gnt) & main_litedramcore_bankmachine6_refresh_gnt) & main_litedramcore_bankmachine7_refresh_gnt); -assign main_litedramcore_interface_rdata = {main_litedramcore_dfi_p3_rddata, main_litedramcore_dfi_p2_rddata, main_litedramcore_dfi_p1_rddata, main_litedramcore_dfi_p0_rddata}; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -always @(*) begin - main_litedramcore_choose_cmd_valids <= 8'd0; - main_litedramcore_choose_cmd_valids[0] <= (main_litedramcore_bankmachine0_cmd_valid & (((main_litedramcore_bankmachine0_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine0_cmd_payload_ras & (~main_litedramcore_bankmachine0_cmd_payload_cas)) & (~main_litedramcore_bankmachine0_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine0_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine0_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[1] <= (main_litedramcore_bankmachine1_cmd_valid & (((main_litedramcore_bankmachine1_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine1_cmd_payload_ras & (~main_litedramcore_bankmachine1_cmd_payload_cas)) & (~main_litedramcore_bankmachine1_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine1_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine1_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[2] <= (main_litedramcore_bankmachine2_cmd_valid & (((main_litedramcore_bankmachine2_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine2_cmd_payload_ras & (~main_litedramcore_bankmachine2_cmd_payload_cas)) & (~main_litedramcore_bankmachine2_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine2_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine2_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[3] <= (main_litedramcore_bankmachine3_cmd_valid & (((main_litedramcore_bankmachine3_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine3_cmd_payload_ras & (~main_litedramcore_bankmachine3_cmd_payload_cas)) & (~main_litedramcore_bankmachine3_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine3_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine3_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[4] <= (main_litedramcore_bankmachine4_cmd_valid & (((main_litedramcore_bankmachine4_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine4_cmd_payload_ras & (~main_litedramcore_bankmachine4_cmd_payload_cas)) & (~main_litedramcore_bankmachine4_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine4_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine4_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[5] <= (main_litedramcore_bankmachine5_cmd_valid & (((main_litedramcore_bankmachine5_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine5_cmd_payload_ras & (~main_litedramcore_bankmachine5_cmd_payload_cas)) & (~main_litedramcore_bankmachine5_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine5_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine5_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[6] <= (main_litedramcore_bankmachine6_cmd_valid & (((main_litedramcore_bankmachine6_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine6_cmd_payload_ras & (~main_litedramcore_bankmachine6_cmd_payload_cas)) & (~main_litedramcore_bankmachine6_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine6_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine6_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[7] <= (main_litedramcore_bankmachine7_cmd_valid & (((main_litedramcore_bankmachine7_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine7_cmd_payload_ras & (~main_litedramcore_bankmachine7_cmd_payload_cas)) & (~main_litedramcore_bankmachine7_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine7_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine7_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids <= 8'd0; + litedramcore_choose_cmd_valids[0] <= (litedramcore_bankmachine0_cmd_valid & (((litedramcore_bankmachine0_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine0_cmd_payload_ras & (~litedramcore_bankmachine0_cmd_payload_cas)) & (~litedramcore_bankmachine0_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine0_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine0_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[1] <= (litedramcore_bankmachine1_cmd_valid & (((litedramcore_bankmachine1_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine1_cmd_payload_ras & (~litedramcore_bankmachine1_cmd_payload_cas)) & (~litedramcore_bankmachine1_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine1_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine1_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[2] <= (litedramcore_bankmachine2_cmd_valid & (((litedramcore_bankmachine2_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine2_cmd_payload_ras & (~litedramcore_bankmachine2_cmd_payload_cas)) & (~litedramcore_bankmachine2_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine2_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine2_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[3] <= (litedramcore_bankmachine3_cmd_valid & (((litedramcore_bankmachine3_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine3_cmd_payload_ras & (~litedramcore_bankmachine3_cmd_payload_cas)) & (~litedramcore_bankmachine3_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine3_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine3_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[4] <= (litedramcore_bankmachine4_cmd_valid & (((litedramcore_bankmachine4_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine4_cmd_payload_ras & (~litedramcore_bankmachine4_cmd_payload_cas)) & (~litedramcore_bankmachine4_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine4_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine4_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[5] <= (litedramcore_bankmachine5_cmd_valid & (((litedramcore_bankmachine5_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine5_cmd_payload_ras & (~litedramcore_bankmachine5_cmd_payload_cas)) & (~litedramcore_bankmachine5_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine5_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine5_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[6] <= (litedramcore_bankmachine6_cmd_valid & (((litedramcore_bankmachine6_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine6_cmd_payload_ras & (~litedramcore_bankmachine6_cmd_payload_cas)) & (~litedramcore_bankmachine6_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine6_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine6_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[7] <= (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); end -assign main_litedramcore_choose_cmd_request = main_litedramcore_choose_cmd_valids; -assign main_litedramcore_choose_cmd_cmd_valid = builder_rhs_array_muxed0; -assign main_litedramcore_choose_cmd_cmd_payload_a = builder_rhs_array_muxed1; -assign main_litedramcore_choose_cmd_cmd_payload_ba = builder_rhs_array_muxed2; -assign main_litedramcore_choose_cmd_cmd_payload_is_read = builder_rhs_array_muxed3; -assign main_litedramcore_choose_cmd_cmd_payload_is_write = builder_rhs_array_muxed4; -assign main_litedramcore_choose_cmd_cmd_payload_is_cmd = builder_rhs_array_muxed5; +assign litedramcore_choose_cmd_request = litedramcore_choose_cmd_valids; +assign litedramcore_choose_cmd_cmd_valid = rhs_array_muxed0; +assign litedramcore_choose_cmd_cmd_payload_a = rhs_array_muxed1; +assign litedramcore_choose_cmd_cmd_payload_ba = rhs_array_muxed2; +assign litedramcore_choose_cmd_cmd_payload_is_read = rhs_array_muxed3; +assign litedramcore_choose_cmd_cmd_payload_is_write = rhs_array_muxed4; +assign litedramcore_choose_cmd_cmd_payload_is_cmd = rhs_array_muxed5; always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_cas <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_cas <= builder_t_array_muxed0; + litedramcore_choose_cmd_cmd_payload_cas <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_cas <= t_array_muxed0; end end always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_ras <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_ras <= builder_t_array_muxed1; + litedramcore_choose_cmd_cmd_payload_ras <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_ras <= t_array_muxed1; end end always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_we <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_we <= builder_t_array_muxed2; + litedramcore_choose_cmd_cmd_payload_we <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_we <= t_array_muxed2; end end always @(*) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 1'd0))) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd1; + litedramcore_bankmachine0_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 1'd0))) begin + litedramcore_bankmachine0_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 1'd0))) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 1'd0))) begin + litedramcore_bankmachine0_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 1'd1))) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd1; + litedramcore_bankmachine1_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 1'd1))) begin + litedramcore_bankmachine1_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 1'd1))) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 1'd1))) begin + litedramcore_bankmachine1_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 2'd2))) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd1; + litedramcore_bankmachine2_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 2'd2))) begin + litedramcore_bankmachine2_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 2'd2))) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 2'd2))) begin + litedramcore_bankmachine2_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 2'd3))) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd1; + litedramcore_bankmachine3_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 2'd3))) begin + litedramcore_bankmachine3_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 2'd3))) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 2'd3))) begin + litedramcore_bankmachine3_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd4))) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd1; + litedramcore_bankmachine4_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd4))) begin + litedramcore_bankmachine4_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd4))) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd4))) begin + litedramcore_bankmachine4_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd5))) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd1; + litedramcore_bankmachine5_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd5))) begin + litedramcore_bankmachine5_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd5))) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd5))) begin + litedramcore_bankmachine5_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd6))) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd1; + litedramcore_bankmachine6_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd6))) begin + litedramcore_bankmachine6_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd6))) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd6))) begin + litedramcore_bankmachine6_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd7))) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd1; + litedramcore_bankmachine7_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd7))) begin + litedramcore_bankmachine7_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd7))) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd7))) begin + litedramcore_bankmachine7_cmd_ready <= 1'd1; end end -assign main_litedramcore_choose_cmd_ce = (main_litedramcore_choose_cmd_cmd_ready | (~main_litedramcore_choose_cmd_cmd_valid)); +assign litedramcore_choose_cmd_ce = (litedramcore_choose_cmd_cmd_ready | (~litedramcore_choose_cmd_cmd_valid)); always @(*) begin - main_litedramcore_choose_req_valids <= 8'd0; - main_litedramcore_choose_req_valids[0] <= (main_litedramcore_bankmachine0_cmd_valid & (((main_litedramcore_bankmachine0_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine0_cmd_payload_ras & (~main_litedramcore_bankmachine0_cmd_payload_cas)) & (~main_litedramcore_bankmachine0_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine0_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine0_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[1] <= (main_litedramcore_bankmachine1_cmd_valid & (((main_litedramcore_bankmachine1_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine1_cmd_payload_ras & (~main_litedramcore_bankmachine1_cmd_payload_cas)) & (~main_litedramcore_bankmachine1_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine1_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine1_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[2] <= (main_litedramcore_bankmachine2_cmd_valid & (((main_litedramcore_bankmachine2_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine2_cmd_payload_ras & (~main_litedramcore_bankmachine2_cmd_payload_cas)) & (~main_litedramcore_bankmachine2_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine2_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine2_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[3] <= (main_litedramcore_bankmachine3_cmd_valid & (((main_litedramcore_bankmachine3_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine3_cmd_payload_ras & (~main_litedramcore_bankmachine3_cmd_payload_cas)) & (~main_litedramcore_bankmachine3_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine3_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine3_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[4] <= (main_litedramcore_bankmachine4_cmd_valid & (((main_litedramcore_bankmachine4_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine4_cmd_payload_ras & (~main_litedramcore_bankmachine4_cmd_payload_cas)) & (~main_litedramcore_bankmachine4_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine4_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine4_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[5] <= (main_litedramcore_bankmachine5_cmd_valid & (((main_litedramcore_bankmachine5_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine5_cmd_payload_ras & (~main_litedramcore_bankmachine5_cmd_payload_cas)) & (~main_litedramcore_bankmachine5_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine5_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine5_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[6] <= (main_litedramcore_bankmachine6_cmd_valid & (((main_litedramcore_bankmachine6_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine6_cmd_payload_ras & (~main_litedramcore_bankmachine6_cmd_payload_cas)) & (~main_litedramcore_bankmachine6_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine6_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine6_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[7] <= (main_litedramcore_bankmachine7_cmd_valid & (((main_litedramcore_bankmachine7_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine7_cmd_payload_ras & (~main_litedramcore_bankmachine7_cmd_payload_cas)) & (~main_litedramcore_bankmachine7_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine7_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine7_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids <= 8'd0; + litedramcore_choose_req_valids[0] <= (litedramcore_bankmachine0_cmd_valid & (((litedramcore_bankmachine0_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine0_cmd_payload_ras & (~litedramcore_bankmachine0_cmd_payload_cas)) & (~litedramcore_bankmachine0_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine0_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine0_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[1] <= (litedramcore_bankmachine1_cmd_valid & (((litedramcore_bankmachine1_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine1_cmd_payload_ras & (~litedramcore_bankmachine1_cmd_payload_cas)) & (~litedramcore_bankmachine1_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine1_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine1_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[2] <= (litedramcore_bankmachine2_cmd_valid & (((litedramcore_bankmachine2_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine2_cmd_payload_ras & (~litedramcore_bankmachine2_cmd_payload_cas)) & (~litedramcore_bankmachine2_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine2_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine2_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[3] <= (litedramcore_bankmachine3_cmd_valid & (((litedramcore_bankmachine3_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine3_cmd_payload_ras & (~litedramcore_bankmachine3_cmd_payload_cas)) & (~litedramcore_bankmachine3_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine3_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine3_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[4] <= (litedramcore_bankmachine4_cmd_valid & (((litedramcore_bankmachine4_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine4_cmd_payload_ras & (~litedramcore_bankmachine4_cmd_payload_cas)) & (~litedramcore_bankmachine4_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine4_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine4_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[5] <= (litedramcore_bankmachine5_cmd_valid & (((litedramcore_bankmachine5_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine5_cmd_payload_ras & (~litedramcore_bankmachine5_cmd_payload_cas)) & (~litedramcore_bankmachine5_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine5_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine5_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[6] <= (litedramcore_bankmachine6_cmd_valid & (((litedramcore_bankmachine6_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine6_cmd_payload_ras & (~litedramcore_bankmachine6_cmd_payload_cas)) & (~litedramcore_bankmachine6_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine6_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine6_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[7] <= (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); end -assign main_litedramcore_choose_req_request = main_litedramcore_choose_req_valids; -assign main_litedramcore_choose_req_cmd_valid = builder_rhs_array_muxed6; -assign main_litedramcore_choose_req_cmd_payload_a = builder_rhs_array_muxed7; -assign main_litedramcore_choose_req_cmd_payload_ba = builder_rhs_array_muxed8; -assign main_litedramcore_choose_req_cmd_payload_is_read = builder_rhs_array_muxed9; -assign main_litedramcore_choose_req_cmd_payload_is_write = builder_rhs_array_muxed10; -assign main_litedramcore_choose_req_cmd_payload_is_cmd = builder_rhs_array_muxed11; +assign litedramcore_choose_req_request = litedramcore_choose_req_valids; +assign litedramcore_choose_req_cmd_valid = rhs_array_muxed6; +assign litedramcore_choose_req_cmd_payload_a = rhs_array_muxed7; +assign litedramcore_choose_req_cmd_payload_ba = rhs_array_muxed8; +assign litedramcore_choose_req_cmd_payload_is_read = rhs_array_muxed9; +assign litedramcore_choose_req_cmd_payload_is_write = rhs_array_muxed10; +assign litedramcore_choose_req_cmd_payload_is_cmd = rhs_array_muxed11; always @(*) begin - main_litedramcore_choose_req_cmd_payload_cas <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_cas <= builder_t_array_muxed3; + litedramcore_choose_req_cmd_payload_cas <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_cas <= t_array_muxed3; end end always @(*) begin - main_litedramcore_choose_req_cmd_payload_ras <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_ras <= builder_t_array_muxed4; + litedramcore_choose_req_cmd_payload_ras <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_ras <= t_array_muxed4; end end always @(*) begin - main_litedramcore_choose_req_cmd_payload_we <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_we <= builder_t_array_muxed5; + litedramcore_choose_req_cmd_payload_we <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_we <= t_array_muxed5; end end -assign main_litedramcore_choose_req_ce = (main_litedramcore_choose_req_cmd_ready | (~main_litedramcore_choose_req_cmd_valid)); -assign main_litedramcore_dfi_p0_reset_n = 1'd1; -assign main_litedramcore_dfi_p0_cke = {1{main_litedramcore_steerer0}}; -assign main_litedramcore_dfi_p0_odt = {1{main_litedramcore_steerer1}}; -assign main_litedramcore_dfi_p1_reset_n = 1'd1; -assign main_litedramcore_dfi_p1_cke = {1{main_litedramcore_steerer2}}; -assign main_litedramcore_dfi_p1_odt = {1{main_litedramcore_steerer3}}; -assign main_litedramcore_dfi_p2_reset_n = 1'd1; -assign main_litedramcore_dfi_p2_cke = {1{main_litedramcore_steerer4}}; -assign main_litedramcore_dfi_p2_odt = {1{main_litedramcore_steerer5}}; -assign main_litedramcore_dfi_p3_reset_n = 1'd1; -assign main_litedramcore_dfi_p3_cke = {1{main_litedramcore_steerer6}}; -assign main_litedramcore_dfi_p3_odt = {1{main_litedramcore_steerer7}}; -assign main_litedramcore_tfawcon_count = ((((main_litedramcore_tfawcon_window[0] + main_litedramcore_tfawcon_window[1]) + main_litedramcore_tfawcon_window[2]) + main_litedramcore_tfawcon_window[3]) + main_litedramcore_tfawcon_window[4]); +assign litedramcore_choose_req_ce = (litedramcore_choose_req_cmd_ready | (~litedramcore_choose_req_cmd_valid)); +assign litedramcore_dfi_p0_reset_n = 1'd1; +assign litedramcore_dfi_p0_cke = {1{litedramcore_steerer0}}; +assign litedramcore_dfi_p0_odt = {1{litedramcore_steerer1}}; +assign litedramcore_dfi_p1_reset_n = 1'd1; +assign litedramcore_dfi_p1_cke = {1{litedramcore_steerer2}}; +assign litedramcore_dfi_p1_odt = {1{litedramcore_steerer3}}; +assign litedramcore_dfi_p2_reset_n = 1'd1; +assign litedramcore_dfi_p2_cke = {1{litedramcore_steerer4}}; +assign litedramcore_dfi_p2_odt = {1{litedramcore_steerer5}}; +assign litedramcore_dfi_p3_reset_n = 1'd1; +assign litedramcore_dfi_p3_cke = {1{litedramcore_steerer6}}; +assign litedramcore_dfi_p3_odt = {1{litedramcore_steerer7}}; +assign litedramcore_tfawcon_count = ((((litedramcore_tfawcon_window[0] + litedramcore_tfawcon_window[1]) + litedramcore_tfawcon_window[2]) + litedramcore_tfawcon_window[3]) + litedramcore_tfawcon_window[4]); always @(*) begin - builder_multiplexer_next_state <= 4'd0; - builder_multiplexer_next_state <= builder_multiplexer_state; - case (builder_multiplexer_state) + litedramcore_multiplexer_next_state <= 4'd0; + litedramcore_multiplexer_next_state <= litedramcore_multiplexer_state; + case (litedramcore_multiplexer_state) 1'd1: begin - if (main_litedramcore_read_available) begin - if (((~main_litedramcore_write_available) | main_litedramcore_max_time1)) begin - builder_multiplexer_next_state <= 2'd3; + if (litedramcore_read_available) begin + if (((~litedramcore_write_available) | litedramcore_max_time1)) begin + litedramcore_multiplexer_next_state <= 2'd3; end end - if (main_litedramcore_go_to_refresh) begin - builder_multiplexer_next_state <= 2'd2; + if (litedramcore_go_to_refresh) begin + litedramcore_multiplexer_next_state <= 2'd2; end end 2'd2: begin - if (main_litedramcore_cmd_last) begin - builder_multiplexer_next_state <= 1'd0; + if (litedramcore_cmd_last) begin + litedramcore_multiplexer_next_state <= 1'd0; end end 2'd3: begin - if (main_litedramcore_twtrcon_ready) begin - builder_multiplexer_next_state <= 1'd0; + if (litedramcore_twtrcon_ready) begin + litedramcore_multiplexer_next_state <= 1'd0; end end 3'd4: begin - builder_multiplexer_next_state <= 3'd5; + litedramcore_multiplexer_next_state <= 3'd5; end 3'd5: begin - builder_multiplexer_next_state <= 3'd6; + litedramcore_multiplexer_next_state <= 3'd6; end 3'd6: begin - builder_multiplexer_next_state <= 3'd7; + litedramcore_multiplexer_next_state <= 3'd7; end 3'd7: begin - builder_multiplexer_next_state <= 4'd8; + litedramcore_multiplexer_next_state <= 4'd8; end 4'd8: begin - builder_multiplexer_next_state <= 4'd9; + litedramcore_multiplexer_next_state <= 4'd9; end 4'd9: begin - builder_multiplexer_next_state <= 4'd10; + litedramcore_multiplexer_next_state <= 4'd10; end 4'd10: begin - builder_multiplexer_next_state <= 1'd1; + litedramcore_multiplexer_next_state <= 1'd1; end default: begin - if (main_litedramcore_write_available) begin - if (((~main_litedramcore_read_available) | main_litedramcore_max_time0)) begin - builder_multiplexer_next_state <= 3'd4; + if (litedramcore_write_available) begin + if (((~litedramcore_read_available) | litedramcore_max_time0)) begin + litedramcore_multiplexer_next_state <= 3'd4; end end - if (main_litedramcore_go_to_refresh) begin - builder_multiplexer_next_state <= 2'd2; + if (litedramcore_go_to_refresh) begin + litedramcore_multiplexer_next_state <= 2'd2; end end endcase end always @(*) begin - main_litedramcore_choose_cmd_want_activates <= 1'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel0 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_want_activates <= main_litedramcore_ras_allowed; + litedramcore_steerer_sel0 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 1'd0)) begin + litedramcore_steerer_sel0 <= 2'd2; + end + if ((litedramcore_wrcmdphase == 1'd0)) begin + litedramcore_steerer_sel0 <= 1'd1; end end 2'd2: begin + litedramcore_steerer_sel0 <= 2'd3; end 2'd3: begin end @@ -8951,26 +9374,23 @@ always @(*) begin 4'd10: begin end default: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_want_activates <= main_litedramcore_ras_allowed; + litedramcore_steerer_sel0 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 1'd0)) begin + litedramcore_steerer_sel0 <= 2'd2; + end + if ((litedramcore_rdcmdphase == 1'd0)) begin + litedramcore_steerer_sel0 <= 1'd1; end end endcase end always @(*) begin - main_litedramcore_steerer_sel3 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel3 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 2'd2; - end - if ((main_litedramcore_wrcmdphase == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 1'd1; - end end 2'd2: begin + litedramcore_cmd_ready <= 1'd1; end 2'd3: begin end @@ -8989,20 +9409,20 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel3 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 2'd2; - end - if ((main_litedramcore_rdcmdphase == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 1'd1; - end end endcase end always @(*) begin - main_litedramcore_en0 <= 1'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel1 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin + litedramcore_steerer_sel1 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 1'd1)) begin + litedramcore_steerer_sel1 <= 2'd2; + end + if ((litedramcore_wrcmdphase == 1'd1)) begin + litedramcore_steerer_sel1 <= 1'd1; + end end 2'd2: begin end @@ -9023,17 +9443,26 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_en0 <= 1'd1; + litedramcore_steerer_sel1 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 1'd1)) begin + litedramcore_steerer_sel1 <= 2'd2; + end + if ((litedramcore_rdcmdphase == 1'd1)) begin + litedramcore_steerer_sel1 <= 1'd1; + end end endcase end always @(*) begin - main_litedramcore_choose_cmd_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel2 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_cmd_ready <= ((~((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))) | main_litedramcore_ras_allowed); + litedramcore_steerer_sel2 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 2'd2)) begin + litedramcore_steerer_sel2 <= 2'd2; + end + if ((litedramcore_wrcmdphase == 2'd2)) begin + litedramcore_steerer_sel2 <= 1'd1; end end 2'd2: begin @@ -9055,17 +9484,24 @@ always @(*) begin 4'd10: begin end default: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_cmd_ready <= ((~((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))) | main_litedramcore_ras_allowed); + litedramcore_steerer_sel2 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 2'd2)) begin + litedramcore_steerer_sel2 <= 2'd2; + end + if ((litedramcore_rdcmdphase == 2'd2)) begin + litedramcore_steerer_sel2 <= 1'd1; end end endcase end always @(*) begin - main_litedramcore_choose_req_want_reads <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_cmd_want_activates <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin + if (1'd0) begin + end else begin + litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed; + end end 2'd2: begin end @@ -9086,15 +9522,24 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_choose_req_want_reads <= 1'd1; + if (1'd0) begin + end else begin + litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed; + end end endcase end always @(*) begin - main_litedramcore_choose_req_want_writes <= 1'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel3 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_choose_req_want_writes <= 1'd1; + litedramcore_steerer_sel3 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 2'd3)) begin + litedramcore_steerer_sel3 <= 2'd2; + end + if ((litedramcore_wrcmdphase == 2'd3)) begin + litedramcore_steerer_sel3 <= 1'd1; + end end 2'd2: begin end @@ -9115,18 +9560,20 @@ always @(*) begin 4'd10: begin end default: begin + litedramcore_steerer_sel3 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 2'd3)) begin + litedramcore_steerer_sel3 <= 2'd2; + end + if ((litedramcore_rdcmdphase == 2'd3)) begin + litedramcore_steerer_sel3 <= 1'd1; + end end endcase end always @(*) begin - main_litedramcore_choose_req_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_en0 <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - if (1'd0) begin - main_litedramcore_choose_req_cmd_ready <= (main_litedramcore_cas_allowed & ((~((main_litedramcore_choose_req_cmd_payload_ras & (~main_litedramcore_choose_req_cmd_payload_cas)) & (~main_litedramcore_choose_req_cmd_payload_we))) | main_litedramcore_ras_allowed)); - end else begin - main_litedramcore_choose_req_cmd_ready <= main_litedramcore_cas_allowed; - end end 2'd2: begin end @@ -9147,19 +9594,18 @@ always @(*) begin 4'd10: begin end default: begin - if (1'd0) begin - main_litedramcore_choose_req_cmd_ready <= (main_litedramcore_cas_allowed & ((~((main_litedramcore_choose_req_cmd_payload_ras & (~main_litedramcore_choose_req_cmd_payload_cas)) & (~main_litedramcore_choose_req_cmd_payload_we))) | main_litedramcore_ras_allowed)); - end else begin - main_litedramcore_choose_req_cmd_ready <= main_litedramcore_cas_allowed; - end + litedramcore_en0 <= 1'd1; end endcase end always @(*) begin - main_litedramcore_en1 <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_cmd_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_en1 <= 1'd1; + if (1'd0) begin + end else begin + litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed); + end end 2'd2: begin end @@ -9180,23 +9626,19 @@ always @(*) begin 4'd10: begin end default: begin + if (1'd0) begin + end else begin + litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed); + end end endcase end always @(*) begin - main_litedramcore_steerer_sel0 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_want_reads <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel0 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 2'd2; - end - if ((main_litedramcore_wrcmdphase == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 1'd1; - end end 2'd2: begin - main_litedramcore_steerer_sel0 <= 2'd3; end 2'd3: begin end @@ -9215,23 +9657,17 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel0 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 2'd2; - end - if ((main_litedramcore_rdcmdphase == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 1'd1; - end + litedramcore_choose_req_want_reads <= 1'd1; end endcase end always @(*) begin - main_litedramcore_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_want_writes <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin + litedramcore_choose_req_want_writes <= 1'd1; end 2'd2: begin - main_litedramcore_cmd_ready <= 1'd1; end 2'd3: begin end @@ -9254,15 +9690,13 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_steerer_sel1 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel1 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 2'd2; - end - if ((main_litedramcore_wrcmdphase == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 1'd1; + if (1'd0) begin + litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed)); + end else begin + litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed; end end 2'd2: begin @@ -9284,27 +9718,19 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel1 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 2'd2; - end - if ((main_litedramcore_rdcmdphase == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 1'd1; + if (1'd0) begin + litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed)); + end else begin + litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed; end end endcase end always @(*) begin - main_litedramcore_steerer_sel2 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_en1 <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel2 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 2'd2; - end - if ((main_litedramcore_wrcmdphase == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 1'd1; - end + litedramcore_en1 <= 1'd1; end 2'd2: begin end @@ -9325,1994 +9751,2011 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel2 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 2'd2; - end - if ((main_litedramcore_rdcmdphase == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 1'd1; - end end endcase end -assign builder_roundrobin0_request = {(((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin0_ce = ((~main_litedramcore_interface_bank0_valid) & (~main_litedramcore_interface_bank0_lock)); -assign main_litedramcore_interface_bank0_addr = builder_rhs_array_muxed12; -assign main_litedramcore_interface_bank0_we = builder_rhs_array_muxed13; -assign main_litedramcore_interface_bank0_valid = builder_rhs_array_muxed14; -assign builder_roundrobin1_request = {(((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin1_ce = ((~main_litedramcore_interface_bank1_valid) & (~main_litedramcore_interface_bank1_lock)); -assign main_litedramcore_interface_bank1_addr = builder_rhs_array_muxed15; -assign main_litedramcore_interface_bank1_we = builder_rhs_array_muxed16; -assign main_litedramcore_interface_bank1_valid = builder_rhs_array_muxed17; -assign builder_roundrobin2_request = {(((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin2_ce = ((~main_litedramcore_interface_bank2_valid) & (~main_litedramcore_interface_bank2_lock)); -assign main_litedramcore_interface_bank2_addr = builder_rhs_array_muxed18; -assign main_litedramcore_interface_bank2_we = builder_rhs_array_muxed19; -assign main_litedramcore_interface_bank2_valid = builder_rhs_array_muxed20; -assign builder_roundrobin3_request = {(((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin3_ce = ((~main_litedramcore_interface_bank3_valid) & (~main_litedramcore_interface_bank3_lock)); -assign main_litedramcore_interface_bank3_addr = builder_rhs_array_muxed21; -assign main_litedramcore_interface_bank3_we = builder_rhs_array_muxed22; -assign main_litedramcore_interface_bank3_valid = builder_rhs_array_muxed23; -assign builder_roundrobin4_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin4_ce = ((~main_litedramcore_interface_bank4_valid) & (~main_litedramcore_interface_bank4_lock)); -assign main_litedramcore_interface_bank4_addr = builder_rhs_array_muxed24; -assign main_litedramcore_interface_bank4_we = builder_rhs_array_muxed25; -assign main_litedramcore_interface_bank4_valid = builder_rhs_array_muxed26; -assign builder_roundrobin5_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin5_ce = ((~main_litedramcore_interface_bank5_valid) & (~main_litedramcore_interface_bank5_lock)); -assign main_litedramcore_interface_bank5_addr = builder_rhs_array_muxed27; -assign main_litedramcore_interface_bank5_we = builder_rhs_array_muxed28; -assign main_litedramcore_interface_bank5_valid = builder_rhs_array_muxed29; -assign builder_roundrobin6_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin6_ce = ((~main_litedramcore_interface_bank6_valid) & (~main_litedramcore_interface_bank6_lock)); -assign main_litedramcore_interface_bank6_addr = builder_rhs_array_muxed30; -assign main_litedramcore_interface_bank6_we = builder_rhs_array_muxed31; -assign main_litedramcore_interface_bank6_valid = builder_rhs_array_muxed32; -assign builder_roundrobin7_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin7_ce = ((~main_litedramcore_interface_bank7_valid) & (~main_litedramcore_interface_bank7_lock)); -assign main_litedramcore_interface_bank7_addr = builder_rhs_array_muxed33; -assign main_litedramcore_interface_bank7_we = builder_rhs_array_muxed34; -assign main_litedramcore_interface_bank7_valid = builder_rhs_array_muxed35; -assign main_user_port_cmd_ready = ((((((((1'd0 | (((builder_roundrobin0_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank0_ready)) | (((builder_roundrobin1_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank1_ready)) | (((builder_roundrobin2_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank2_ready)) | (((builder_roundrobin3_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank3_ready)) | (((builder_roundrobin4_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank4_ready)) | (((builder_roundrobin5_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank5_ready)) | (((builder_roundrobin6_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank6_ready)) | (((builder_roundrobin7_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0)))))) & main_litedramcore_interface_bank7_ready)); -assign main_user_port_wdata_ready = builder_new_master_wdata_ready1; -assign main_user_port_rdata_valid = builder_new_master_rdata_valid8; +assign litedramcore_roundrobin0_request = {(((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin0_ce = ((~litedramcore_interface_bank0_valid) & (~litedramcore_interface_bank0_lock)); +assign litedramcore_interface_bank0_addr = rhs_array_muxed12; +assign litedramcore_interface_bank0_we = rhs_array_muxed13; +assign litedramcore_interface_bank0_valid = rhs_array_muxed14; +assign litedramcore_roundrobin1_request = {(((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin1_ce = ((~litedramcore_interface_bank1_valid) & (~litedramcore_interface_bank1_lock)); +assign litedramcore_interface_bank1_addr = rhs_array_muxed15; +assign litedramcore_interface_bank1_we = rhs_array_muxed16; +assign litedramcore_interface_bank1_valid = rhs_array_muxed17; +assign litedramcore_roundrobin2_request = {(((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin2_ce = ((~litedramcore_interface_bank2_valid) & (~litedramcore_interface_bank2_lock)); +assign litedramcore_interface_bank2_addr = rhs_array_muxed18; +assign litedramcore_interface_bank2_we = rhs_array_muxed19; +assign litedramcore_interface_bank2_valid = rhs_array_muxed20; +assign litedramcore_roundrobin3_request = {(((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin3_ce = ((~litedramcore_interface_bank3_valid) & (~litedramcore_interface_bank3_lock)); +assign litedramcore_interface_bank3_addr = rhs_array_muxed21; +assign litedramcore_interface_bank3_we = rhs_array_muxed22; +assign litedramcore_interface_bank3_valid = rhs_array_muxed23; +assign litedramcore_roundrobin4_request = {(((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin4_ce = ((~litedramcore_interface_bank4_valid) & (~litedramcore_interface_bank4_lock)); +assign litedramcore_interface_bank4_addr = rhs_array_muxed24; +assign litedramcore_interface_bank4_we = rhs_array_muxed25; +assign litedramcore_interface_bank4_valid = rhs_array_muxed26; +assign litedramcore_roundrobin5_request = {(((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin5_ce = ((~litedramcore_interface_bank5_valid) & (~litedramcore_interface_bank5_lock)); +assign litedramcore_interface_bank5_addr = rhs_array_muxed27; +assign litedramcore_interface_bank5_we = rhs_array_muxed28; +assign litedramcore_interface_bank5_valid = rhs_array_muxed29; +assign litedramcore_roundrobin6_request = {(((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin6_ce = ((~litedramcore_interface_bank6_valid) & (~litedramcore_interface_bank6_lock)); +assign litedramcore_interface_bank6_addr = rhs_array_muxed30; +assign litedramcore_interface_bank6_we = rhs_array_muxed31; +assign litedramcore_interface_bank6_valid = rhs_array_muxed32; +assign litedramcore_roundrobin7_request = {(((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin7_ce = ((~litedramcore_interface_bank7_valid) & (~litedramcore_interface_bank7_lock)); +assign litedramcore_interface_bank7_addr = rhs_array_muxed33; +assign litedramcore_interface_bank7_we = rhs_array_muxed34; +assign litedramcore_interface_bank7_valid = rhs_array_muxed35; +assign user_port_cmd_ready = ((((((((1'd0 | (((litedramcore_roundrobin0_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank0_ready)) | (((litedramcore_roundrobin1_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank1_ready)) | (((litedramcore_roundrobin2_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank2_ready)) | (((litedramcore_roundrobin3_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank3_ready)) | (((litedramcore_roundrobin4_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank4_ready)) | (((litedramcore_roundrobin5_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank5_ready)) | (((litedramcore_roundrobin6_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank6_ready)) | (((litedramcore_roundrobin7_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0)))))) & litedramcore_interface_bank7_ready)); +assign user_port_wdata_ready = litedramcore_new_master_wdata_ready1; +assign user_port_rdata_valid = litedramcore_new_master_rdata_valid8; always @(*) begin - main_litedramcore_interface_wdata <= 128'd0; - case ({builder_new_master_wdata_ready1}) + litedramcore_interface_wdata <= 128'd0; + case ({litedramcore_new_master_wdata_ready1}) 1'd1: begin - main_litedramcore_interface_wdata <= main_user_port_wdata_payload_data; + litedramcore_interface_wdata <= user_port_wdata_payload_data; end default: begin - main_litedramcore_interface_wdata <= 1'd0; + litedramcore_interface_wdata <= 1'd0; end endcase end always @(*) begin - main_litedramcore_interface_wdata_we <= 16'd0; - case ({builder_new_master_wdata_ready1}) + litedramcore_interface_wdata_we <= 16'd0; + case ({litedramcore_new_master_wdata_ready1}) 1'd1: begin - main_litedramcore_interface_wdata_we <= main_user_port_wdata_payload_we; + litedramcore_interface_wdata_we <= user_port_wdata_payload_we; end default: begin - main_litedramcore_interface_wdata_we <= 1'd0; + litedramcore_interface_wdata_we <= 1'd0; end endcase end -assign main_user_port_rdata_payload_data = main_litedramcore_interface_rdata; -assign builder_roundrobin0_grant = 1'd0; -assign builder_roundrobin1_grant = 1'd0; -assign builder_roundrobin2_grant = 1'd0; -assign builder_roundrobin3_grant = 1'd0; -assign builder_roundrobin4_grant = 1'd0; -assign builder_roundrobin5_grant = 1'd0; -assign builder_roundrobin6_grant = 1'd0; -assign builder_roundrobin7_grant = 1'd0; +assign user_port_rdata_payload_data = litedramcore_interface_rdata; +assign litedramcore_roundrobin0_grant = 1'd0; +assign litedramcore_roundrobin1_grant = 1'd0; +assign litedramcore_roundrobin2_grant = 1'd0; +assign litedramcore_roundrobin3_grant = 1'd0; +assign litedramcore_roundrobin4_grant = 1'd0; +assign litedramcore_roundrobin5_grant = 1'd0; +assign litedramcore_roundrobin6_grant = 1'd0; +assign litedramcore_roundrobin7_grant = 1'd0; always @(*) begin - builder_next_state <= 2'd0; - builder_next_state <= builder_state; - case (builder_state) + litedramcore_next_state <= 2'd0; + litedramcore_next_state <= litedramcore_state; + case (litedramcore_state) 1'd1: begin - builder_next_state <= 2'd2; + litedramcore_next_state <= 2'd2; end 2'd2: begin - builder_next_state <= 1'd0; + litedramcore_next_state <= 1'd0; end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_next_state <= 1'd1; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_next_state <= 1'd1; end end endcase end always @(*) begin - builder_litedramcore_dat_w_next_value0 <= 32'd0; - case (builder_state) + litedramcore_we_next_value2 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_we_next_value2 <= 1'd0; end 2'd2: begin end default: begin - builder_litedramcore_dat_w_next_value0 <= builder_litedramcore_wishbone_dat_w; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_we_next_value2 <= (litedramcore_wishbone_we & (litedramcore_wishbone_sel != 1'd0)); + end end endcase end always @(*) begin - builder_litedramcore_dat_w_next_value_ce0 <= 1'd0; - case (builder_state) + litedramcore_we_next_value_ce2 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_we_next_value_ce2 <= 1'd1; end 2'd2: begin end default: begin - builder_litedramcore_dat_w_next_value_ce0 <= 1'd1; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_we_next_value_ce2 <= 1'd1; + end end endcase end always @(*) begin - builder_litedramcore_wishbone_ack <= 1'd0; - case (builder_state) + litedramcore_wishbone_ack <= 1'd0; + case (litedramcore_state) 1'd1: begin end 2'd2: begin - builder_litedramcore_wishbone_ack <= 1'd1; + litedramcore_wishbone_ack <= 1'd1; end default: begin end endcase end always @(*) begin - builder_litedramcore_adr_next_value1 <= 14'd0; - case (builder_state) + litedramcore_wishbone_dat_r <= 32'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_adr_next_value1 <= 1'd0; end 2'd2: begin + litedramcore_wishbone_dat_r <= litedramcore_dat_r; end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_adr_next_value1 <= builder_litedramcore_wishbone_adr; - end end endcase end always @(*) begin - builder_litedramcore_adr_next_value_ce1 <= 1'd0; - case (builder_state) + litedramcore_dat_w_next_value0 <= 32'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_adr_next_value_ce1 <= 1'd1; end 2'd2: begin end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_adr_next_value_ce1 <= 1'd1; - end + litedramcore_dat_w_next_value0 <= litedramcore_wishbone_dat_w; end endcase end always @(*) begin - builder_litedramcore_we_next_value2 <= 1'd0; - case (builder_state) + litedramcore_dat_w_next_value_ce0 <= 1'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_we_next_value2 <= 1'd0; end 2'd2: begin end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_we_next_value2 <= (builder_litedramcore_wishbone_we & (builder_litedramcore_wishbone_sel != 1'd0)); - end + litedramcore_dat_w_next_value_ce0 <= 1'd1; end endcase end always @(*) begin - builder_litedramcore_we_next_value_ce2 <= 1'd0; - case (builder_state) + litedramcore_adr_next_value1 <= 14'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_we_next_value_ce2 <= 1'd1; + litedramcore_adr_next_value1 <= 1'd0; end 2'd2: begin end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_we_next_value_ce2 <= 1'd1; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_adr_next_value1 <= litedramcore_wishbone_adr; end end endcase end always @(*) begin - builder_litedramcore_wishbone_dat_r <= 32'd0; - case (builder_state) + litedramcore_adr_next_value_ce1 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_adr_next_value_ce1 <= 1'd1; end 2'd2: begin - builder_litedramcore_wishbone_dat_r <= builder_litedramcore_dat_r; end default: begin + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_adr_next_value_ce1 <= 1'd1; + end end endcase end -assign builder_litedramcore_wishbone_adr = main_wb_bus_adr; -assign builder_litedramcore_wishbone_dat_w = main_wb_bus_dat_w; -assign main_wb_bus_dat_r = builder_litedramcore_wishbone_dat_r; -assign builder_litedramcore_wishbone_sel = main_wb_bus_sel; -assign builder_litedramcore_wishbone_cyc = main_wb_bus_cyc; -assign builder_litedramcore_wishbone_stb = main_wb_bus_stb; -assign main_wb_bus_ack = builder_litedramcore_wishbone_ack; -assign builder_litedramcore_wishbone_we = main_wb_bus_we; -assign builder_litedramcore_wishbone_cti = main_wb_bus_cti; -assign builder_litedramcore_wishbone_bte = main_wb_bus_bte; -assign main_wb_bus_err = builder_litedramcore_wishbone_err; -assign builder_csrbank0_sel = (builder_interface0_bank_bus_adr[13:9] == 1'd0); -assign builder_csrbank0_init_done0_r = builder_interface0_bank_bus_dat_w[0]; +assign litedramcore_wishbone_adr = wb_bus_adr; +assign litedramcore_wishbone_dat_w = wb_bus_dat_w; +assign wb_bus_dat_r = litedramcore_wishbone_dat_r; +assign litedramcore_wishbone_sel = wb_bus_sel; +assign litedramcore_wishbone_cyc = wb_bus_cyc; +assign litedramcore_wishbone_stb = wb_bus_stb; +assign wb_bus_ack = litedramcore_wishbone_ack; +assign litedramcore_wishbone_we = wb_bus_we; +assign litedramcore_wishbone_cti = wb_bus_cti; +assign litedramcore_wishbone_bte = wb_bus_bte; +assign wb_bus_err = litedramcore_wishbone_err; +assign csrbank0_sel = (interface0_bank_bus_adr[13:9] == 1'd0); +assign csrbank0_init_done0_r = interface0_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank0_init_done0_we <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank0_init_done0_we <= (~builder_interface0_bank_bus_we); + csrbank0_init_done0_we <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd0))) begin + csrbank0_init_done0_we <= (~interface0_bank_bus_we); end end always @(*) begin - builder_csrbank0_init_done0_re <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank0_init_done0_re <= builder_interface0_bank_bus_we; + csrbank0_init_done0_re <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd0))) begin + csrbank0_init_done0_re <= interface0_bank_bus_we; end end -assign builder_csrbank0_init_error0_r = builder_interface0_bank_bus_dat_w[0]; +assign csrbank0_init_error0_r = interface0_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank0_init_error0_we <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank0_init_error0_we <= (~builder_interface0_bank_bus_we); + csrbank0_init_error0_re <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd1))) begin + csrbank0_init_error0_re <= interface0_bank_bus_we; end end always @(*) begin - builder_csrbank0_init_error0_re <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank0_init_error0_re <= builder_interface0_bank_bus_we; + csrbank0_init_error0_we <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd1))) begin + csrbank0_init_error0_we <= (~interface0_bank_bus_we); end end -assign builder_csrbank0_init_done0_w = main_init_done_storage; -assign builder_csrbank0_init_error0_w = main_init_error_storage; -assign builder_csrbank1_sel = (builder_interface1_bank_bus_adr[13:9] == 1'd1); -assign builder_csrbank1_rst0_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank0_init_done0_w = init_done_storage; +assign csrbank0_init_error0_w = init_error_storage; +assign csrbank1_sel = (interface1_bank_bus_adr[13:9] == 1'd1); +assign csrbank1_rst0_r = interface1_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank1_rst0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank1_rst0_we <= (~builder_interface1_bank_bus_we); + csrbank1_rst0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd0))) begin + csrbank1_rst0_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_rst0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank1_rst0_re <= builder_interface1_bank_bus_we; + csrbank1_rst0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd0))) begin + csrbank1_rst0_we <= (~interface1_bank_bus_we); end end -assign builder_csrbank1_half_sys8x_taps0_r = builder_interface1_bank_bus_dat_w[4:0]; +assign csrbank1_dly_sel0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - builder_csrbank1_half_sys8x_taps0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank1_half_sys8x_taps0_re <= builder_interface1_bank_bus_we; + csrbank1_dly_sel0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd1))) begin + csrbank1_dly_sel0_we <= (~interface1_bank_bus_we); end end always @(*) begin - builder_csrbank1_half_sys8x_taps0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank1_half_sys8x_taps0_we <= (~builder_interface1_bank_bus_we); + csrbank1_dly_sel0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd1))) begin + csrbank1_dly_sel0_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_wlevel_en0_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank1_half_sys8x_taps0_r = interface1_bank_bus_dat_w[4:0]; always @(*) begin - builder_csrbank1_wlevel_en0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd2))) begin - builder_csrbank1_wlevel_en0_we <= (~builder_interface1_bank_bus_we); + csrbank1_half_sys8x_taps0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd2))) begin + csrbank1_half_sys8x_taps0_we <= (~interface1_bank_bus_we); end end always @(*) begin - builder_csrbank1_wlevel_en0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd2))) begin - builder_csrbank1_wlevel_en0_re <= builder_interface1_bank_bus_we; + csrbank1_half_sys8x_taps0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd2))) begin + csrbank1_half_sys8x_taps0_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_wlevel_strobe_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank1_wlevel_en0_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_wlevel_strobe_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd3))) begin - main_a7ddrphy_wlevel_strobe_we <= (~builder_interface1_bank_bus_we); + csrbank1_wlevel_en0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd3))) begin + csrbank1_wlevel_en0_re <= interface1_bank_bus_we; end end always @(*) begin - main_a7ddrphy_wlevel_strobe_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd3))) begin - main_a7ddrphy_wlevel_strobe_re <= builder_interface1_bank_bus_we; + csrbank1_wlevel_en0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd3))) begin + csrbank1_wlevel_en0_we <= (~interface1_bank_bus_we); end end -assign builder_csrbank1_dly_sel0_r = builder_interface1_bank_bus_dat_w[1:0]; +assign a7ddrphy_wlevel_strobe_r = interface1_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank1_dly_sel0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank1_dly_sel0_re <= builder_interface1_bank_bus_we; + a7ddrphy_wlevel_strobe_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd4))) begin + a7ddrphy_wlevel_strobe_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_dly_sel0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank1_dly_sel0_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_wlevel_strobe_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd4))) begin + a7ddrphy_wlevel_strobe_we <= (~interface1_bank_bus_we); end end -assign main_a7ddrphy_rdly_dq_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd5))) begin - main_a7ddrphy_rdly_dq_rst_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd5))) begin + a7ddrphy_rdly_dq_rst_re <= interface1_bank_bus_we; end end always @(*) begin - main_a7ddrphy_rdly_dq_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd5))) begin - main_a7ddrphy_rdly_dq_rst_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd5))) begin + a7ddrphy_rdly_dq_rst_we <= (~interface1_bank_bus_we); end end -assign main_a7ddrphy_rdly_dq_inc_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_inc_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_inc_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd6))) begin - main_a7ddrphy_rdly_dq_inc_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_inc_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd6))) begin + a7ddrphy_rdly_dq_inc_re <= interface1_bank_bus_we; end end always @(*) begin - main_a7ddrphy_rdly_dq_inc_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd6))) begin - main_a7ddrphy_rdly_dq_inc_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_inc_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd6))) begin + a7ddrphy_rdly_dq_inc_we <= (~interface1_bank_bus_we); end end -assign main_a7ddrphy_rdly_dq_bitslip_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_bitslip_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd7))) begin - main_a7ddrphy_rdly_dq_bitslip_rst_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_bitslip_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd7))) begin + a7ddrphy_rdly_dq_bitslip_rst_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd7))) begin - main_a7ddrphy_rdly_dq_bitslip_rst_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_bitslip_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd7))) begin + a7ddrphy_rdly_dq_bitslip_rst_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_rdly_dq_bitslip_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_bitslip_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd8))) begin - main_a7ddrphy_rdly_dq_bitslip_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_bitslip_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd8))) begin + a7ddrphy_rdly_dq_bitslip_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd8))) begin - main_a7ddrphy_rdly_dq_bitslip_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_bitslip_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd8))) begin + a7ddrphy_rdly_dq_bitslip_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_wdly_dq_bitslip_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_wdly_dq_bitslip_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd9))) begin - main_a7ddrphy_wdly_dq_bitslip_rst_re <= builder_interface1_bank_bus_we; + a7ddrphy_wdly_dq_bitslip_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd9))) begin + a7ddrphy_wdly_dq_bitslip_rst_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd9))) begin - main_a7ddrphy_wdly_dq_bitslip_rst_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_wdly_dq_bitslip_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd9))) begin + a7ddrphy_wdly_dq_bitslip_rst_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_wdly_dq_bitslip_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_wdly_dq_bitslip_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd10))) begin - main_a7ddrphy_wdly_dq_bitslip_re <= builder_interface1_bank_bus_we; + a7ddrphy_wdly_dq_bitslip_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd10))) begin + a7ddrphy_wdly_dq_bitslip_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd10))) begin - main_a7ddrphy_wdly_dq_bitslip_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_wdly_dq_bitslip_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd10))) begin + a7ddrphy_wdly_dq_bitslip_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_rdphase0_r = builder_interface1_bank_bus_dat_w[1:0]; +assign csrbank1_rdphase0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - builder_csrbank1_rdphase0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank1_rdphase0_re <= builder_interface1_bank_bus_we; + csrbank1_rdphase0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd11))) begin + csrbank1_rdphase0_we <= (~interface1_bank_bus_we); end end always @(*) begin - builder_csrbank1_rdphase0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank1_rdphase0_we <= (~builder_interface1_bank_bus_we); + csrbank1_rdphase0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd11))) begin + csrbank1_rdphase0_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_wrphase0_r = builder_interface1_bank_bus_dat_w[1:0]; +assign csrbank1_wrphase0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - builder_csrbank1_wrphase0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank1_wrphase0_we <= (~builder_interface1_bank_bus_we); + csrbank1_wrphase0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd12))) begin + csrbank1_wrphase0_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_wrphase0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank1_wrphase0_re <= builder_interface1_bank_bus_we; + csrbank1_wrphase0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd12))) begin + csrbank1_wrphase0_we <= (~interface1_bank_bus_we); end end -assign builder_csrbank1_rst0_w = main_a7ddrphy_rst_storage; -assign builder_csrbank1_half_sys8x_taps0_w = main_a7ddrphy_half_sys8x_taps_storage[4:0]; -assign builder_csrbank1_wlevel_en0_w = main_a7ddrphy_wlevel_en_storage; -assign builder_csrbank1_dly_sel0_w = main_a7ddrphy_dly_sel_storage[1:0]; -assign builder_csrbank1_rdphase0_w = main_a7ddrphy_rdphase_storage[1:0]; -assign builder_csrbank1_wrphase0_w = main_a7ddrphy_wrphase_storage[1:0]; -assign builder_csrbank2_sel = (builder_interface2_bank_bus_adr[13:9] == 2'd2); -assign builder_csrbank2_dfii_control0_r = builder_interface2_bank_bus_dat_w[3:0]; +assign csrbank1_rst0_w = a7ddrphy_rst_storage; +assign csrbank1_dly_sel0_w = a7ddrphy_dly_sel_storage[1:0]; +assign csrbank1_half_sys8x_taps0_w = a7ddrphy_half_sys8x_taps_storage[4:0]; +assign csrbank1_wlevel_en0_w = a7ddrphy_wlevel_en_storage; +assign csrbank1_rdphase0_w = a7ddrphy_rdphase_storage[1:0]; +assign csrbank1_wrphase0_w = a7ddrphy_wrphase_storage[1:0]; +assign csrbank2_sel = (interface2_bank_bus_adr[13:9] == 2'd2); +assign csrbank2_dfii_control0_r = interface2_bank_bus_dat_w[3:0]; always @(*) begin - builder_csrbank2_dfii_control0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank2_dfii_control0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_control0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd0))) begin + csrbank2_dfii_control0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_control0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank2_dfii_control0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_control0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd0))) begin + csrbank2_dfii_control0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi0_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi0_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi0_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank2_dfii_pi0_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd1))) begin + csrbank2_dfii_pi0_command0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi0_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank2_dfii_pi0_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd1))) begin + csrbank2_dfii_pi0_command0_we <= (~interface2_bank_bus_we); end end -assign main_litedramcore_phaseinjector0_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector0_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector0_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd2))) begin - main_litedramcore_phaseinjector0_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector0_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd2))) begin + litedramcore_phaseinjector0_command_issue_re <= interface2_bank_bus_we; end end always @(*) begin - main_litedramcore_phaseinjector0_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd2))) begin - main_litedramcore_phaseinjector0_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector0_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd2))) begin + litedramcore_phaseinjector0_command_issue_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi0_address0_r = builder_interface2_bank_bus_dat_w[13:0]; +assign csrbank2_dfii_pi0_address0_r = interface2_bank_bus_dat_w[13:0]; always @(*) begin - builder_csrbank2_dfii_pi0_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd3))) begin - builder_csrbank2_dfii_pi0_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd3))) begin + csrbank2_dfii_pi0_address0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi0_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd3))) begin - builder_csrbank2_dfii_pi0_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd3))) begin + csrbank2_dfii_pi0_address0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi0_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi0_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi0_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank2_dfii_pi0_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd4))) begin + csrbank2_dfii_pi0_baddress0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank2_dfii_pi0_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd4))) begin + csrbank2_dfii_pi0_baddress0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi0_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi0_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi0_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd5))) begin - builder_csrbank2_dfii_pi0_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd5))) begin + csrbank2_dfii_pi0_wrdata0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi0_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd5))) begin - builder_csrbank2_dfii_pi0_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd5))) begin + csrbank2_dfii_pi0_wrdata0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi0_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi0_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi0_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd6))) begin - builder_csrbank2_dfii_pi0_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd6))) begin + csrbank2_dfii_pi0_rddata_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd6))) begin - builder_csrbank2_dfii_pi0_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd6))) begin + csrbank2_dfii_pi0_rddata_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi1_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi1_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd7))) begin - builder_csrbank2_dfii_pi1_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd7))) begin + csrbank2_dfii_pi1_command0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd7))) begin - builder_csrbank2_dfii_pi1_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd7))) begin + csrbank2_dfii_pi1_command0_re <= interface2_bank_bus_we; end end -assign main_litedramcore_phaseinjector1_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector1_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector1_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd8))) begin - main_litedramcore_phaseinjector1_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector1_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd8))) begin + litedramcore_phaseinjector1_command_issue_we <= (~interface2_bank_bus_we); end end always @(*) begin - main_litedramcore_phaseinjector1_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd8))) begin - main_litedramcore_phaseinjector1_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector1_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd8))) begin + litedramcore_phaseinjector1_command_issue_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_address0_r = builder_interface2_bank_bus_dat_w[13:0]; +assign csrbank2_dfii_pi1_address0_r = interface2_bank_bus_dat_w[13:0]; always @(*) begin - builder_csrbank2_dfii_pi1_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd9))) begin - builder_csrbank2_dfii_pi1_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd9))) begin + csrbank2_dfii_pi1_address0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi1_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd9))) begin - builder_csrbank2_dfii_pi1_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd9))) begin + csrbank2_dfii_pi1_address0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi1_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi1_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi1_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd10))) begin - builder_csrbank2_dfii_pi1_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd10))) begin + csrbank2_dfii_pi1_baddress0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd10))) begin - builder_csrbank2_dfii_pi1_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd10))) begin + csrbank2_dfii_pi1_baddress0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi1_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi1_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank2_dfii_pi1_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd11))) begin + csrbank2_dfii_pi1_wrdata0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank2_dfii_pi1_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd11))) begin + csrbank2_dfii_pi1_wrdata0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi1_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi1_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank2_dfii_pi1_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd12))) begin + csrbank2_dfii_pi1_rddata_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi1_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank2_dfii_pi1_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd12))) begin + csrbank2_dfii_pi1_rddata_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi2_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi2_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd13))) begin - builder_csrbank2_dfii_pi2_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd13))) begin + csrbank2_dfii_pi2_command0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi2_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd13))) begin - builder_csrbank2_dfii_pi2_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd13))) begin + csrbank2_dfii_pi2_command0_re <= interface2_bank_bus_we; end end -assign main_litedramcore_phaseinjector2_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector2_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector2_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd14))) begin - main_litedramcore_phaseinjector2_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector2_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd14))) begin + litedramcore_phaseinjector2_command_issue_we <= (~interface2_bank_bus_we); end end always @(*) begin - main_litedramcore_phaseinjector2_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd14))) begin - main_litedramcore_phaseinjector2_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector2_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd14))) begin + litedramcore_phaseinjector2_command_issue_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi2_address0_r = builder_interface2_bank_bus_dat_w[13:0]; +assign csrbank2_dfii_pi2_address0_r = interface2_bank_bus_dat_w[13:0]; always @(*) begin - builder_csrbank2_dfii_pi2_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd15))) begin - builder_csrbank2_dfii_pi2_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd15))) begin + csrbank2_dfii_pi2_address0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi2_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd15))) begin - builder_csrbank2_dfii_pi2_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd15))) begin + csrbank2_dfii_pi2_address0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi2_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi2_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi2_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd16))) begin - builder_csrbank2_dfii_pi2_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd16))) begin + csrbank2_dfii_pi2_baddress0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi2_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd16))) begin - builder_csrbank2_dfii_pi2_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd16))) begin + csrbank2_dfii_pi2_baddress0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi2_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi2_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd17))) begin - builder_csrbank2_dfii_pi2_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd17))) begin + csrbank2_dfii_pi2_wrdata0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi2_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd17))) begin - builder_csrbank2_dfii_pi2_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd17))) begin + csrbank2_dfii_pi2_wrdata0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi2_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi2_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi2_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd18))) begin - builder_csrbank2_dfii_pi2_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd18))) begin + csrbank2_dfii_pi2_rddata_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi2_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd18))) begin - builder_csrbank2_dfii_pi2_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd18))) begin + csrbank2_dfii_pi2_rddata_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi3_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi3_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd19))) begin - builder_csrbank2_dfii_pi3_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd19))) begin + csrbank2_dfii_pi3_command0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd19))) begin - builder_csrbank2_dfii_pi3_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd19))) begin + csrbank2_dfii_pi3_command0_we <= (~interface2_bank_bus_we); end end -assign main_litedramcore_phaseinjector3_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector3_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector3_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd20))) begin - main_litedramcore_phaseinjector3_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector3_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd20))) begin + litedramcore_phaseinjector3_command_issue_re <= interface2_bank_bus_we; end end always @(*) begin - main_litedramcore_phaseinjector3_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd20))) begin - main_litedramcore_phaseinjector3_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector3_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd20))) begin + litedramcore_phaseinjector3_command_issue_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_address0_r = builder_interface2_bank_bus_dat_w[13:0]; +assign csrbank2_dfii_pi3_address0_r = interface2_bank_bus_dat_w[13:0]; always @(*) begin - builder_csrbank2_dfii_pi3_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd21))) begin - builder_csrbank2_dfii_pi3_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd21))) begin + csrbank2_dfii_pi3_address0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi3_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd21))) begin - builder_csrbank2_dfii_pi3_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd21))) begin + csrbank2_dfii_pi3_address0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi3_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi3_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi3_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd22))) begin - builder_csrbank2_dfii_pi3_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd22))) begin + csrbank2_dfii_pi3_baddress0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd22))) begin - builder_csrbank2_dfii_pi3_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd22))) begin + csrbank2_dfii_pi3_baddress0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi3_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi3_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd23))) begin - builder_csrbank2_dfii_pi3_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd23))) begin + csrbank2_dfii_pi3_wrdata0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd23))) begin - builder_csrbank2_dfii_pi3_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd23))) begin + csrbank2_dfii_pi3_wrdata0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi3_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi3_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd24))) begin - builder_csrbank2_dfii_pi3_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd24))) begin + csrbank2_dfii_pi3_rddata_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi3_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd24))) begin - builder_csrbank2_dfii_pi3_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd24))) begin + csrbank2_dfii_pi3_rddata_re <= interface2_bank_bus_we; end end -assign main_litedramcore_sel = main_litedramcore_storage[0]; -assign main_litedramcore_cke = main_litedramcore_storage[1]; -assign main_litedramcore_odt = main_litedramcore_storage[2]; -assign main_litedramcore_reset_n = main_litedramcore_storage[3]; -assign builder_csrbank2_dfii_control0_w = main_litedramcore_storage[3:0]; -assign builder_csrbank2_dfii_pi0_command0_w = main_litedramcore_phaseinjector0_command_storage[5:0]; -assign builder_csrbank2_dfii_pi0_address0_w = main_litedramcore_phaseinjector0_address_storage[13:0]; -assign builder_csrbank2_dfii_pi0_baddress0_w = main_litedramcore_phaseinjector0_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi0_wrdata0_w = main_litedramcore_phaseinjector0_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi0_rddata_w = main_litedramcore_phaseinjector0_rddata_status[31:0]; -assign main_litedramcore_phaseinjector0_rddata_we = builder_csrbank2_dfii_pi0_rddata_we; -assign builder_csrbank2_dfii_pi1_command0_w = main_litedramcore_phaseinjector1_command_storage[5:0]; -assign builder_csrbank2_dfii_pi1_address0_w = main_litedramcore_phaseinjector1_address_storage[13:0]; -assign builder_csrbank2_dfii_pi1_baddress0_w = main_litedramcore_phaseinjector1_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi1_wrdata0_w = main_litedramcore_phaseinjector1_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi1_rddata_w = main_litedramcore_phaseinjector1_rddata_status[31:0]; -assign main_litedramcore_phaseinjector1_rddata_we = builder_csrbank2_dfii_pi1_rddata_we; -assign builder_csrbank2_dfii_pi2_command0_w = main_litedramcore_phaseinjector2_command_storage[5:0]; -assign builder_csrbank2_dfii_pi2_address0_w = main_litedramcore_phaseinjector2_address_storage[13:0]; -assign builder_csrbank2_dfii_pi2_baddress0_w = main_litedramcore_phaseinjector2_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi2_wrdata0_w = main_litedramcore_phaseinjector2_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi2_rddata_w = main_litedramcore_phaseinjector2_rddata_status[31:0]; -assign main_litedramcore_phaseinjector2_rddata_we = builder_csrbank2_dfii_pi2_rddata_we; -assign builder_csrbank2_dfii_pi3_command0_w = main_litedramcore_phaseinjector3_command_storage[5:0]; -assign builder_csrbank2_dfii_pi3_address0_w = main_litedramcore_phaseinjector3_address_storage[13:0]; -assign builder_csrbank2_dfii_pi3_baddress0_w = main_litedramcore_phaseinjector3_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi3_wrdata0_w = main_litedramcore_phaseinjector3_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi3_rddata_w = main_litedramcore_phaseinjector3_rddata_status[31:0]; -assign main_litedramcore_phaseinjector3_rddata_we = builder_csrbank2_dfii_pi3_rddata_we; -assign builder_csr_interconnect_adr = builder_litedramcore_adr; -assign builder_csr_interconnect_we = builder_litedramcore_we; -assign builder_csr_interconnect_dat_w = builder_litedramcore_dat_w; -assign builder_litedramcore_dat_r = builder_csr_interconnect_dat_r; -assign builder_interface0_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface1_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface2_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface0_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface1_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface2_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface0_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_interface1_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_interface2_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_csr_interconnect_dat_r = ((builder_interface0_bank_bus_dat_r | builder_interface1_bank_bus_dat_r) | builder_interface2_bank_bus_dat_r); -always @(*) begin - builder_rhs_array_muxed0 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) +assign litedramcore_sel = litedramcore_storage[0]; +assign litedramcore_cke = litedramcore_storage[1]; +assign litedramcore_odt = litedramcore_storage[2]; +assign litedramcore_reset_n = litedramcore_storage[3]; +assign csrbank2_dfii_control0_w = litedramcore_storage[3:0]; +assign litedramcore_phaseinjector0_csrfield_cs = litedramcore_phaseinjector0_command_storage[0]; +assign litedramcore_phaseinjector0_csrfield_we = litedramcore_phaseinjector0_command_storage[1]; +assign litedramcore_phaseinjector0_csrfield_cas = litedramcore_phaseinjector0_command_storage[2]; +assign litedramcore_phaseinjector0_csrfield_ras = litedramcore_phaseinjector0_command_storage[3]; +assign litedramcore_phaseinjector0_csrfield_wren = litedramcore_phaseinjector0_command_storage[4]; +assign litedramcore_phaseinjector0_csrfield_rden = litedramcore_phaseinjector0_command_storage[5]; +assign csrbank2_dfii_pi0_command0_w = litedramcore_phaseinjector0_command_storage[5:0]; +assign csrbank2_dfii_pi0_address0_w = litedramcore_phaseinjector0_address_storage[13:0]; +assign csrbank2_dfii_pi0_baddress0_w = litedramcore_phaseinjector0_baddress_storage[2:0]; +assign csrbank2_dfii_pi0_wrdata0_w = litedramcore_phaseinjector0_wrdata_storage[31:0]; +assign csrbank2_dfii_pi0_rddata_w = litedramcore_phaseinjector0_rddata_status[31:0]; +assign litedramcore_phaseinjector0_rddata_we = csrbank2_dfii_pi0_rddata_we; +assign litedramcore_phaseinjector1_csrfield_cs = litedramcore_phaseinjector1_command_storage[0]; +assign litedramcore_phaseinjector1_csrfield_we = litedramcore_phaseinjector1_command_storage[1]; +assign litedramcore_phaseinjector1_csrfield_cas = litedramcore_phaseinjector1_command_storage[2]; +assign litedramcore_phaseinjector1_csrfield_ras = litedramcore_phaseinjector1_command_storage[3]; +assign litedramcore_phaseinjector1_csrfield_wren = litedramcore_phaseinjector1_command_storage[4]; +assign litedramcore_phaseinjector1_csrfield_rden = litedramcore_phaseinjector1_command_storage[5]; +assign csrbank2_dfii_pi1_command0_w = litedramcore_phaseinjector1_command_storage[5:0]; +assign csrbank2_dfii_pi1_address0_w = litedramcore_phaseinjector1_address_storage[13:0]; +assign csrbank2_dfii_pi1_baddress0_w = litedramcore_phaseinjector1_baddress_storage[2:0]; +assign csrbank2_dfii_pi1_wrdata0_w = litedramcore_phaseinjector1_wrdata_storage[31:0]; +assign csrbank2_dfii_pi1_rddata_w = litedramcore_phaseinjector1_rddata_status[31:0]; +assign litedramcore_phaseinjector1_rddata_we = csrbank2_dfii_pi1_rddata_we; +assign litedramcore_phaseinjector2_csrfield_cs = litedramcore_phaseinjector2_command_storage[0]; +assign litedramcore_phaseinjector2_csrfield_we = litedramcore_phaseinjector2_command_storage[1]; +assign litedramcore_phaseinjector2_csrfield_cas = litedramcore_phaseinjector2_command_storage[2]; +assign litedramcore_phaseinjector2_csrfield_ras = litedramcore_phaseinjector2_command_storage[3]; +assign litedramcore_phaseinjector2_csrfield_wren = litedramcore_phaseinjector2_command_storage[4]; +assign litedramcore_phaseinjector2_csrfield_rden = litedramcore_phaseinjector2_command_storage[5]; +assign csrbank2_dfii_pi2_command0_w = litedramcore_phaseinjector2_command_storage[5:0]; +assign csrbank2_dfii_pi2_address0_w = litedramcore_phaseinjector2_address_storage[13:0]; +assign csrbank2_dfii_pi2_baddress0_w = litedramcore_phaseinjector2_baddress_storage[2:0]; +assign csrbank2_dfii_pi2_wrdata0_w = litedramcore_phaseinjector2_wrdata_storage[31:0]; +assign csrbank2_dfii_pi2_rddata_w = litedramcore_phaseinjector2_rddata_status[31:0]; +assign litedramcore_phaseinjector2_rddata_we = csrbank2_dfii_pi2_rddata_we; +assign litedramcore_phaseinjector3_csrfield_cs = litedramcore_phaseinjector3_command_storage[0]; +assign litedramcore_phaseinjector3_csrfield_we = litedramcore_phaseinjector3_command_storage[1]; +assign litedramcore_phaseinjector3_csrfield_cas = litedramcore_phaseinjector3_command_storage[2]; +assign litedramcore_phaseinjector3_csrfield_ras = litedramcore_phaseinjector3_command_storage[3]; +assign litedramcore_phaseinjector3_csrfield_wren = litedramcore_phaseinjector3_command_storage[4]; +assign litedramcore_phaseinjector3_csrfield_rden = litedramcore_phaseinjector3_command_storage[5]; +assign csrbank2_dfii_pi3_command0_w = litedramcore_phaseinjector3_command_storage[5:0]; +assign csrbank2_dfii_pi3_address0_w = litedramcore_phaseinjector3_address_storage[13:0]; +assign csrbank2_dfii_pi3_baddress0_w = litedramcore_phaseinjector3_baddress_storage[2:0]; +assign csrbank2_dfii_pi3_wrdata0_w = litedramcore_phaseinjector3_wrdata_storage[31:0]; +assign csrbank2_dfii_pi3_rddata_w = litedramcore_phaseinjector3_rddata_status[31:0]; +assign litedramcore_phaseinjector3_rddata_we = csrbank2_dfii_pi3_rddata_we; +assign csr_interconnect_adr = litedramcore_adr; +assign csr_interconnect_we = litedramcore_we; +assign csr_interconnect_dat_w = litedramcore_dat_w; +assign litedramcore_dat_r = csr_interconnect_dat_r; +assign interface0_bank_bus_adr = csr_interconnect_adr; +assign interface1_bank_bus_adr = csr_interconnect_adr; +assign interface2_bank_bus_adr = csr_interconnect_adr; +assign interface0_bank_bus_we = csr_interconnect_we; +assign interface1_bank_bus_we = csr_interconnect_we; +assign interface2_bank_bus_we = csr_interconnect_we; +assign interface0_bank_bus_dat_w = csr_interconnect_dat_w; +assign interface1_bank_bus_dat_w = csr_interconnect_dat_w; +assign interface2_bank_bus_dat_w = csr_interconnect_dat_w; +assign csr_interconnect_dat_r = ((interface0_bank_bus_dat_r | interface1_bank_bus_dat_r) | interface2_bank_bus_dat_r); +always @(*) begin + rhs_array_muxed0 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[0]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[0]; end 1'd1: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[1]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[1]; end 2'd2: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[2]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[2]; end 2'd3: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[3]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[3]; end 3'd4: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[4]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[4]; end 3'd5: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[5]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[5]; end 3'd6: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[6]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[6]; end default: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[7]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[7]; end endcase end always @(*) begin - builder_rhs_array_muxed1 <= 14'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed1 <= 14'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine0_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine0_cmd_payload_a; end 1'd1: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine1_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine1_cmd_payload_a; end 2'd2: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine2_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine2_cmd_payload_a; end 2'd3: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine3_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine3_cmd_payload_a; end 3'd4: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine4_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine4_cmd_payload_a; end 3'd5: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine5_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine5_cmd_payload_a; end 3'd6: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine6_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine6_cmd_payload_a; end default: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine7_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine7_cmd_payload_a; end endcase end always @(*) begin - builder_rhs_array_muxed2 <= 3'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed2 <= 3'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine0_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine0_cmd_payload_ba; end 1'd1: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine1_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine1_cmd_payload_ba; end 2'd2: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine2_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine2_cmd_payload_ba; end 2'd3: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine3_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine3_cmd_payload_ba; end 3'd4: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine4_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine4_cmd_payload_ba; end 3'd5: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine5_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine5_cmd_payload_ba; end 3'd6: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine6_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine6_cmd_payload_ba; end default: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine7_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine7_cmd_payload_ba; end endcase end always @(*) begin - builder_rhs_array_muxed3 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed3 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine0_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine0_cmd_payload_is_read; end 1'd1: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine1_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine1_cmd_payload_is_read; end 2'd2: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine2_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine2_cmd_payload_is_read; end 2'd3: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine3_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine3_cmd_payload_is_read; end 3'd4: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine4_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine4_cmd_payload_is_read; end 3'd5: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine5_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine5_cmd_payload_is_read; end 3'd6: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine6_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine6_cmd_payload_is_read; end default: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine7_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine7_cmd_payload_is_read; end endcase end always @(*) begin - builder_rhs_array_muxed4 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed4 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine0_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine0_cmd_payload_is_write; end 1'd1: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine1_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine1_cmd_payload_is_write; end 2'd2: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine2_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine2_cmd_payload_is_write; end 2'd3: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine3_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine3_cmd_payload_is_write; end 3'd4: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine4_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine4_cmd_payload_is_write; end 3'd5: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine5_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine5_cmd_payload_is_write; end 3'd6: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine6_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine6_cmd_payload_is_write; end default: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine7_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine7_cmd_payload_is_write; end endcase end always @(*) begin - builder_rhs_array_muxed5 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed5 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine0_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine0_cmd_payload_is_cmd; end 1'd1: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine1_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine1_cmd_payload_is_cmd; end 2'd2: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine2_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine2_cmd_payload_is_cmd; end 2'd3: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine3_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine3_cmd_payload_is_cmd; end 3'd4: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine4_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine4_cmd_payload_is_cmd; end 3'd5: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine5_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine5_cmd_payload_is_cmd; end 3'd6: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine6_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine6_cmd_payload_is_cmd; end default: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine7_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine7_cmd_payload_is_cmd; end endcase end always @(*) begin - builder_t_array_muxed0 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed0 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine0_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine0_cmd_payload_cas; end 1'd1: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine1_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine1_cmd_payload_cas; end 2'd2: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine2_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine2_cmd_payload_cas; end 2'd3: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine3_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine3_cmd_payload_cas; end 3'd4: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine4_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine4_cmd_payload_cas; end 3'd5: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine5_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine5_cmd_payload_cas; end 3'd6: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine6_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine6_cmd_payload_cas; end default: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine7_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine7_cmd_payload_cas; end endcase end always @(*) begin - builder_t_array_muxed1 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed1 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine0_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine0_cmd_payload_ras; end 1'd1: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine1_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine1_cmd_payload_ras; end 2'd2: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine2_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine2_cmd_payload_ras; end 2'd3: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine3_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine3_cmd_payload_ras; end 3'd4: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine4_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine4_cmd_payload_ras; end 3'd5: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine5_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine5_cmd_payload_ras; end 3'd6: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine6_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine6_cmd_payload_ras; end default: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine7_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine7_cmd_payload_ras; end endcase end always @(*) begin - builder_t_array_muxed2 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed2 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine0_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine0_cmd_payload_we; end 1'd1: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine1_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine1_cmd_payload_we; end 2'd2: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine2_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine2_cmd_payload_we; end 2'd3: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine3_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine3_cmd_payload_we; end 3'd4: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine4_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine4_cmd_payload_we; end 3'd5: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine5_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine5_cmd_payload_we; end 3'd6: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine6_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine6_cmd_payload_we; end default: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine7_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine7_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed6 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed6 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[0]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[0]; end 1'd1: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[1]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[1]; end 2'd2: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[2]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[2]; end 2'd3: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[3]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[3]; end 3'd4: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[4]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[4]; end 3'd5: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[5]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[5]; end 3'd6: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[6]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[6]; end default: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[7]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[7]; end endcase end always @(*) begin - builder_rhs_array_muxed7 <= 14'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed7 <= 14'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine0_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine0_cmd_payload_a; end 1'd1: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine1_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine1_cmd_payload_a; end 2'd2: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine2_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine2_cmd_payload_a; end 2'd3: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine3_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine3_cmd_payload_a; end 3'd4: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine4_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine4_cmd_payload_a; end 3'd5: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine5_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine5_cmd_payload_a; end 3'd6: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine6_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine6_cmd_payload_a; end default: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine7_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine7_cmd_payload_a; end endcase end always @(*) begin - builder_rhs_array_muxed8 <= 3'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed8 <= 3'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine0_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine0_cmd_payload_ba; end 1'd1: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine1_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine1_cmd_payload_ba; end 2'd2: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine2_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine2_cmd_payload_ba; end 2'd3: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine3_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine3_cmd_payload_ba; end 3'd4: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine4_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine4_cmd_payload_ba; end 3'd5: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine5_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine5_cmd_payload_ba; end 3'd6: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine6_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine6_cmd_payload_ba; end default: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine7_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine7_cmd_payload_ba; end endcase end always @(*) begin - builder_rhs_array_muxed9 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed9 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine0_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine0_cmd_payload_is_read; end 1'd1: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine1_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine1_cmd_payload_is_read; end 2'd2: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine2_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine2_cmd_payload_is_read; end 2'd3: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine3_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine3_cmd_payload_is_read; end 3'd4: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine4_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine4_cmd_payload_is_read; end 3'd5: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine5_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine5_cmd_payload_is_read; end 3'd6: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine6_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine6_cmd_payload_is_read; end default: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine7_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine7_cmd_payload_is_read; end endcase end always @(*) begin - builder_rhs_array_muxed10 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed10 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine0_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine0_cmd_payload_is_write; end 1'd1: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine1_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine1_cmd_payload_is_write; end 2'd2: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine2_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine2_cmd_payload_is_write; end 2'd3: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine3_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine3_cmd_payload_is_write; end 3'd4: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine4_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine4_cmd_payload_is_write; end 3'd5: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine5_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine5_cmd_payload_is_write; end 3'd6: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine6_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine6_cmd_payload_is_write; end default: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine7_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine7_cmd_payload_is_write; end endcase end always @(*) begin - builder_rhs_array_muxed11 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed11 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine0_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine0_cmd_payload_is_cmd; end 1'd1: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine1_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine1_cmd_payload_is_cmd; end 2'd2: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine2_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine2_cmd_payload_is_cmd; end 2'd3: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine3_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine3_cmd_payload_is_cmd; end 3'd4: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine4_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine4_cmd_payload_is_cmd; end 3'd5: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine5_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine5_cmd_payload_is_cmd; end 3'd6: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine6_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine6_cmd_payload_is_cmd; end default: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine7_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine7_cmd_payload_is_cmd; end endcase end always @(*) begin - builder_t_array_muxed3 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed3 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine0_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine0_cmd_payload_cas; end 1'd1: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine1_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine1_cmd_payload_cas; end 2'd2: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine2_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine2_cmd_payload_cas; end 2'd3: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine3_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine3_cmd_payload_cas; end 3'd4: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine4_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine4_cmd_payload_cas; end 3'd5: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine5_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine5_cmd_payload_cas; end 3'd6: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine6_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine6_cmd_payload_cas; end default: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine7_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine7_cmd_payload_cas; end endcase end always @(*) begin - builder_t_array_muxed4 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed4 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine0_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine0_cmd_payload_ras; end 1'd1: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine1_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine1_cmd_payload_ras; end 2'd2: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine2_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine2_cmd_payload_ras; end 2'd3: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine3_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine3_cmd_payload_ras; end 3'd4: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine4_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine4_cmd_payload_ras; end 3'd5: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine5_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine5_cmd_payload_ras; end 3'd6: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine6_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine6_cmd_payload_ras; end default: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine7_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine7_cmd_payload_ras; end endcase end always @(*) begin - builder_t_array_muxed5 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed5 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine0_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine0_cmd_payload_we; end 1'd1: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine1_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine1_cmd_payload_we; end 2'd2: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine2_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine2_cmd_payload_we; end 2'd3: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine3_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine3_cmd_payload_we; end 3'd4: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine4_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine4_cmd_payload_we; end 3'd5: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine5_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine5_cmd_payload_we; end 3'd6: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine6_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine6_cmd_payload_we; end default: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine7_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine7_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed12 <= 21'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed12 <= 21'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed12 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed12 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed13 <= 1'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed13 <= 1'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed13 <= main_user_port_cmd_payload_we; + rhs_array_muxed13 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed14 <= 1'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed14 <= 1'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed14 <= (((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed14 <= (((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed15 <= 21'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed15 <= 21'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed15 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed15 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed16 <= 1'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed16 <= 1'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed16 <= main_user_port_cmd_payload_we; + rhs_array_muxed16 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed17 <= 1'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed17 <= 1'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed17 <= (((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed17 <= (((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed18 <= 21'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed18 <= 21'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed18 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed18 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed19 <= 1'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed19 <= 1'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed19 <= main_user_port_cmd_payload_we; + rhs_array_muxed19 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed20 <= 1'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed20 <= 1'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed20 <= (((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed20 <= (((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed21 <= 21'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed21 <= 21'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed21 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed21 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed22 <= 1'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed22 <= 1'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed22 <= main_user_port_cmd_payload_we; + rhs_array_muxed22 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed23 <= 1'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed23 <= 1'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed23 <= (((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed23 <= (((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed24 <= 21'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed24 <= 21'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed24 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed24 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed25 <= 1'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed25 <= 1'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed25 <= main_user_port_cmd_payload_we; + rhs_array_muxed25 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed26 <= 1'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed26 <= 1'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed26 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed26 <= (((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed27 <= 21'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed27 <= 21'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed27 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed27 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed28 <= 1'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed28 <= 1'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed28 <= main_user_port_cmd_payload_we; + rhs_array_muxed28 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed29 <= 1'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed29 <= 1'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed29 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed29 <= (((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed30 <= 21'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed30 <= 21'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed30 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed30 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed31 <= 1'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed31 <= 1'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed31 <= main_user_port_cmd_payload_we; + rhs_array_muxed31 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed32 <= 1'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed32 <= 1'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed32 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed32 <= (((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed33 <= 21'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed33 <= 21'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed33 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed33 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed34 <= 1'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed34 <= 1'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed34 <= main_user_port_cmd_payload_we; + rhs_array_muxed34 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed35 <= 1'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed35 <= 1'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed35 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed35 <= (((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_array_muxed0 <= 3'd0; - case (main_litedramcore_steerer_sel0) + array_muxed0 <= 3'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed0 <= main_litedramcore_nop_ba[2:0]; + array_muxed0 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed0 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed0 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed0 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed1 <= 14'd0; - case (main_litedramcore_steerer_sel0) + array_muxed1 <= 14'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed1 <= main_litedramcore_nop_a; + array_muxed1 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed1 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed1 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed1 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed1 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed1 <= main_litedramcore_cmd_payload_a; + array_muxed1 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed2 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed2 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed2 <= 1'd0; + array_muxed2 <= 1'd0; end 1'd1: begin - builder_array_muxed2 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed2 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed2 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed2 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed2 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed2 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed3 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed3 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed3 <= 1'd0; + array_muxed3 <= 1'd0; end 1'd1: begin - builder_array_muxed3 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed3 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed3 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed3 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed3 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed3 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed4 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed4 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed4 <= 1'd0; + array_muxed4 <= 1'd0; end 1'd1: begin - builder_array_muxed4 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed4 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed4 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed4 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed4 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed4 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed5 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed5 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed5 <= 1'd0; + array_muxed5 <= 1'd0; end 1'd1: begin - builder_array_muxed5 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed5 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed5 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed6 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed6 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed6 <= 1'd0; + array_muxed6 <= 1'd0; end 1'd1: begin - builder_array_muxed6 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed6 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed6 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed7 <= 3'd0; - case (main_litedramcore_steerer_sel1) + array_muxed7 <= 3'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed7 <= main_litedramcore_nop_ba[2:0]; + array_muxed7 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed7 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed7 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed7 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed8 <= 14'd0; - case (main_litedramcore_steerer_sel1) + array_muxed8 <= 14'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed8 <= main_litedramcore_nop_a; + array_muxed8 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed8 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed8 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed8 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed8 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed8 <= main_litedramcore_cmd_payload_a; + array_muxed8 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed9 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed9 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed9 <= 1'd0; + array_muxed9 <= 1'd0; end 1'd1: begin - builder_array_muxed9 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed9 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed9 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed9 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed9 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed9 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed10 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed10 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed10 <= 1'd0; + array_muxed10 <= 1'd0; end 1'd1: begin - builder_array_muxed10 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed10 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed10 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed10 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed10 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed10 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed11 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed11 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed11 <= 1'd0; + array_muxed11 <= 1'd0; end 1'd1: begin - builder_array_muxed11 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed11 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed11 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed11 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed11 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed11 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed12 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed12 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed12 <= 1'd0; + array_muxed12 <= 1'd0; end 1'd1: begin - builder_array_muxed12 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed12 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed12 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed13 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed13 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed13 <= 1'd0; + array_muxed13 <= 1'd0; end 1'd1: begin - builder_array_muxed13 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed13 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed13 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed14 <= 3'd0; - case (main_litedramcore_steerer_sel2) + array_muxed14 <= 3'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed14 <= main_litedramcore_nop_ba[2:0]; + array_muxed14 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed14 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed14 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed14 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed15 <= 14'd0; - case (main_litedramcore_steerer_sel2) + array_muxed15 <= 14'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed15 <= main_litedramcore_nop_a; + array_muxed15 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed15 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed15 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed15 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed15 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed15 <= main_litedramcore_cmd_payload_a; + array_muxed15 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed16 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed16 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed16 <= 1'd0; + array_muxed16 <= 1'd0; end 1'd1: begin - builder_array_muxed16 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed16 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed16 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed16 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed16 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed16 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed17 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed17 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed17 <= 1'd0; + array_muxed17 <= 1'd0; end 1'd1: begin - builder_array_muxed17 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed17 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed17 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed17 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed17 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed17 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed18 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed18 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed18 <= 1'd0; + array_muxed18 <= 1'd0; end 1'd1: begin - builder_array_muxed18 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed18 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed18 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed18 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed18 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed18 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed19 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed19 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed19 <= 1'd0; + array_muxed19 <= 1'd0; end 1'd1: begin - builder_array_muxed19 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed19 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed19 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed20 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed20 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed20 <= 1'd0; + array_muxed20 <= 1'd0; end 1'd1: begin - builder_array_muxed20 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed20 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed20 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed21 <= 3'd0; - case (main_litedramcore_steerer_sel3) + array_muxed21 <= 3'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed21 <= main_litedramcore_nop_ba[2:0]; + array_muxed21 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed21 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed21 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed21 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed22 <= 14'd0; - case (main_litedramcore_steerer_sel3) + array_muxed22 <= 14'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed22 <= main_litedramcore_nop_a; + array_muxed22 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed22 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed22 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed22 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed22 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed22 <= main_litedramcore_cmd_payload_a; + array_muxed22 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed23 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed23 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed23 <= 1'd0; + array_muxed23 <= 1'd0; end 1'd1: begin - builder_array_muxed23 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed23 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed23 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed23 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed23 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed23 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed24 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed24 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed24 <= 1'd0; + array_muxed24 <= 1'd0; end 1'd1: begin - builder_array_muxed24 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed24 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed24 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed24 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed24 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed24 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed25 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed25 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed25 <= 1'd0; + array_muxed25 <= 1'd0; end 1'd1: begin - builder_array_muxed25 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed25 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed25 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed25 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed25 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed25 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed26 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed26 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed26 <= 1'd0; + array_muxed26 <= 1'd0; end 1'd1: begin - builder_array_muxed26 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed26 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed26 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed27 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed27 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed27 <= 1'd0; + array_muxed27 <= 1'd0; end 1'd1: begin - builder_array_muxed27 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed27 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed27 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end -assign builder_xilinxasyncresetsynchronizerimpl0 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl1 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl2 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl3 = (~main_locked); +assign xilinxasyncresetsynchronizerimpl0 = (~locked); +assign xilinxasyncresetsynchronizerimpl1 = (~locked); +assign xilinxasyncresetsynchronizerimpl2 = (~locked); +assign xilinxasyncresetsynchronizerimpl3 = (~locked); //------------------------------------------------------------------------------ @@ -11320,1044 +11763,1044 @@ assign builder_xilinxasyncresetsynchronizerimpl3 = (~main_locked); //------------------------------------------------------------------------------ always @(posedge iodelay_clk) begin - if ((main_reset_counter != 1'd0)) begin - main_reset_counter <= (main_reset_counter - 1'd1); + if ((reset_counter != 1'd0)) begin + reset_counter <= (reset_counter - 1'd1); end else begin - main_ic_reset <= 1'd0; + ic_reset <= 1'd0; end if (iodelay_rst) begin - main_reset_counter <= 4'd15; - main_ic_reset <= 1'd1; + reset_counter <= 4'd15; + ic_reset <= 1'd1; end end always @(posedge sys_clk) begin - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= main_a7ddrphy_dqs_oe_delay_tappeddelayline; - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0; - main_a7ddrphy_dqspattern_o1 <= main_a7ddrphy_dqspattern_o0; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value0 <= (main_a7ddrphy_bitslip0_value0 + 1'd1); + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= a7ddrphy_dqs_oe_delay_tappeddelayline; + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0; + a7ddrphy_dqspattern_o1 <= a7ddrphy_dqspattern_o0; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value0 <= (a7ddrphy_bitslip0_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value0 <= 3'd7; end - main_a7ddrphy_bitslip0_r0 <= {main_a7ddrphy_dqspattern_o1, main_a7ddrphy_bitslip0_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value0 <= (main_a7ddrphy_bitslip1_value0 + 1'd1); + a7ddrphy_bitslip0_r0 <= {a7ddrphy_dqspattern_o1, a7ddrphy_bitslip0_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value0 <= (a7ddrphy_bitslip1_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value0 <= 3'd7; end - main_a7ddrphy_bitslip1_r0 <= {main_a7ddrphy_dqspattern_o1, main_a7ddrphy_bitslip1_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value1 <= (main_a7ddrphy_bitslip0_value1 + 1'd1); + a7ddrphy_bitslip1_r0 <= {a7ddrphy_dqspattern_o1, a7ddrphy_bitslip1_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value1 <= (a7ddrphy_bitslip0_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value1 <= 3'd7; end - main_a7ddrphy_bitslip0_r1 <= {{main_a7ddrphy_dfi_p3_wrdata_mask[2], main_a7ddrphy_dfi_p3_wrdata_mask[0], main_a7ddrphy_dfi_p2_wrdata_mask[2], main_a7ddrphy_dfi_p2_wrdata_mask[0], main_a7ddrphy_dfi_p1_wrdata_mask[2], main_a7ddrphy_dfi_p1_wrdata_mask[0], main_a7ddrphy_dfi_p0_wrdata_mask[2], main_a7ddrphy_dfi_p0_wrdata_mask[0]}, main_a7ddrphy_bitslip0_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value1 <= (main_a7ddrphy_bitslip1_value1 + 1'd1); + a7ddrphy_bitslip0_r1 <= {{a7ddrphy_dfi_p3_wrdata_mask[2], a7ddrphy_dfi_p3_wrdata_mask[0], a7ddrphy_dfi_p2_wrdata_mask[2], a7ddrphy_dfi_p2_wrdata_mask[0], a7ddrphy_dfi_p1_wrdata_mask[2], a7ddrphy_dfi_p1_wrdata_mask[0], a7ddrphy_dfi_p0_wrdata_mask[2], a7ddrphy_dfi_p0_wrdata_mask[0]}, a7ddrphy_bitslip0_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value1 <= (a7ddrphy_bitslip1_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value1 <= 3'd7; end - main_a7ddrphy_bitslip1_r1 <= {{main_a7ddrphy_dfi_p3_wrdata_mask[3], main_a7ddrphy_dfi_p3_wrdata_mask[1], main_a7ddrphy_dfi_p2_wrdata_mask[3], main_a7ddrphy_dfi_p2_wrdata_mask[1], main_a7ddrphy_dfi_p1_wrdata_mask[3], main_a7ddrphy_dfi_p1_wrdata_mask[1], main_a7ddrphy_dfi_p0_wrdata_mask[3], main_a7ddrphy_dfi_p0_wrdata_mask[1]}, main_a7ddrphy_bitslip1_r1[15:8]}; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= main_a7ddrphy_dq_oe_delay_tappeddelayline; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value2 <= (main_a7ddrphy_bitslip0_value2 + 1'd1); + a7ddrphy_bitslip1_r1 <= {{a7ddrphy_dfi_p3_wrdata_mask[3], a7ddrphy_dfi_p3_wrdata_mask[1], a7ddrphy_dfi_p2_wrdata_mask[3], a7ddrphy_dfi_p2_wrdata_mask[1], a7ddrphy_dfi_p1_wrdata_mask[3], a7ddrphy_dfi_p1_wrdata_mask[1], a7ddrphy_dfi_p0_wrdata_mask[3], a7ddrphy_dfi_p0_wrdata_mask[1]}, a7ddrphy_bitslip1_r1[15:8]}; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= a7ddrphy_dq_oe_delay_tappeddelayline; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value2 <= (a7ddrphy_bitslip0_value2 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value2 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value2 <= 3'd7; end - main_a7ddrphy_bitslip0_r2 <= {{main_a7ddrphy_dfi_p3_wrdata[16], main_a7ddrphy_dfi_p3_wrdata[0], main_a7ddrphy_dfi_p2_wrdata[16], main_a7ddrphy_dfi_p2_wrdata[0], main_a7ddrphy_dfi_p1_wrdata[16], main_a7ddrphy_dfi_p1_wrdata[0], main_a7ddrphy_dfi_p0_wrdata[16], main_a7ddrphy_dfi_p0_wrdata[0]}, main_a7ddrphy_bitslip0_r2[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value3 <= (main_a7ddrphy_bitslip0_value3 + 1'd1); + a7ddrphy_bitslip0_r2 <= {{a7ddrphy_dfi_p3_wrdata[16], a7ddrphy_dfi_p3_wrdata[0], a7ddrphy_dfi_p2_wrdata[16], a7ddrphy_dfi_p2_wrdata[0], a7ddrphy_dfi_p1_wrdata[16], a7ddrphy_dfi_p1_wrdata[0], a7ddrphy_dfi_p0_wrdata[16], a7ddrphy_dfi_p0_wrdata[0]}, a7ddrphy_bitslip0_r2[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value3 <= (a7ddrphy_bitslip0_value3 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value3 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value3 <= 3'd7; end - main_a7ddrphy_bitslip0_r3 <= {main_a7ddrphy_bitslip03, main_a7ddrphy_bitslip0_r3[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value2 <= (main_a7ddrphy_bitslip1_value2 + 1'd1); + a7ddrphy_bitslip0_r3 <= {a7ddrphy_bitslip03, a7ddrphy_bitslip0_r3[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value2 <= (a7ddrphy_bitslip1_value2 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value2 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value2 <= 3'd7; end - main_a7ddrphy_bitslip1_r2 <= {{main_a7ddrphy_dfi_p3_wrdata[17], main_a7ddrphy_dfi_p3_wrdata[1], main_a7ddrphy_dfi_p2_wrdata[17], main_a7ddrphy_dfi_p2_wrdata[1], main_a7ddrphy_dfi_p1_wrdata[17], main_a7ddrphy_dfi_p1_wrdata[1], main_a7ddrphy_dfi_p0_wrdata[17], main_a7ddrphy_dfi_p0_wrdata[1]}, main_a7ddrphy_bitslip1_r2[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value3 <= (main_a7ddrphy_bitslip1_value3 + 1'd1); + a7ddrphy_bitslip1_r2 <= {{a7ddrphy_dfi_p3_wrdata[17], a7ddrphy_dfi_p3_wrdata[1], a7ddrphy_dfi_p2_wrdata[17], a7ddrphy_dfi_p2_wrdata[1], a7ddrphy_dfi_p1_wrdata[17], a7ddrphy_dfi_p1_wrdata[1], a7ddrphy_dfi_p0_wrdata[17], a7ddrphy_dfi_p0_wrdata[1]}, a7ddrphy_bitslip1_r2[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value3 <= (a7ddrphy_bitslip1_value3 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value3 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value3 <= 3'd7; end - main_a7ddrphy_bitslip1_r3 <= {main_a7ddrphy_bitslip13, main_a7ddrphy_bitslip1_r3[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip2_value0 <= (main_a7ddrphy_bitslip2_value0 + 1'd1); + a7ddrphy_bitslip1_r3 <= {a7ddrphy_bitslip13, a7ddrphy_bitslip1_r3[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip2_value0 <= (a7ddrphy_bitslip2_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip2_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip2_value0 <= 3'd7; end - main_a7ddrphy_bitslip2_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[18], main_a7ddrphy_dfi_p3_wrdata[2], main_a7ddrphy_dfi_p2_wrdata[18], main_a7ddrphy_dfi_p2_wrdata[2], main_a7ddrphy_dfi_p1_wrdata[18], main_a7ddrphy_dfi_p1_wrdata[2], main_a7ddrphy_dfi_p0_wrdata[18], main_a7ddrphy_dfi_p0_wrdata[2]}, main_a7ddrphy_bitslip2_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip2_value1 <= (main_a7ddrphy_bitslip2_value1 + 1'd1); + a7ddrphy_bitslip2_r0 <= {{a7ddrphy_dfi_p3_wrdata[18], a7ddrphy_dfi_p3_wrdata[2], a7ddrphy_dfi_p2_wrdata[18], a7ddrphy_dfi_p2_wrdata[2], a7ddrphy_dfi_p1_wrdata[18], a7ddrphy_dfi_p1_wrdata[2], a7ddrphy_dfi_p0_wrdata[18], a7ddrphy_dfi_p0_wrdata[2]}, a7ddrphy_bitslip2_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip2_value1 <= (a7ddrphy_bitslip2_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip2_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip2_value1 <= 3'd7; end - main_a7ddrphy_bitslip2_r1 <= {main_a7ddrphy_bitslip21, main_a7ddrphy_bitslip2_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip3_value0 <= (main_a7ddrphy_bitslip3_value0 + 1'd1); + a7ddrphy_bitslip2_r1 <= {a7ddrphy_bitslip21, a7ddrphy_bitslip2_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip3_value0 <= (a7ddrphy_bitslip3_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip3_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip3_value0 <= 3'd7; end - main_a7ddrphy_bitslip3_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[19], main_a7ddrphy_dfi_p3_wrdata[3], main_a7ddrphy_dfi_p2_wrdata[19], main_a7ddrphy_dfi_p2_wrdata[3], main_a7ddrphy_dfi_p1_wrdata[19], main_a7ddrphy_dfi_p1_wrdata[3], main_a7ddrphy_dfi_p0_wrdata[19], main_a7ddrphy_dfi_p0_wrdata[3]}, main_a7ddrphy_bitslip3_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip3_value1 <= (main_a7ddrphy_bitslip3_value1 + 1'd1); + a7ddrphy_bitslip3_r0 <= {{a7ddrphy_dfi_p3_wrdata[19], a7ddrphy_dfi_p3_wrdata[3], a7ddrphy_dfi_p2_wrdata[19], a7ddrphy_dfi_p2_wrdata[3], a7ddrphy_dfi_p1_wrdata[19], a7ddrphy_dfi_p1_wrdata[3], a7ddrphy_dfi_p0_wrdata[19], a7ddrphy_dfi_p0_wrdata[3]}, a7ddrphy_bitslip3_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip3_value1 <= (a7ddrphy_bitslip3_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip3_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip3_value1 <= 3'd7; end - main_a7ddrphy_bitslip3_r1 <= {main_a7ddrphy_bitslip31, main_a7ddrphy_bitslip3_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip4_value0 <= (main_a7ddrphy_bitslip4_value0 + 1'd1); + a7ddrphy_bitslip3_r1 <= {a7ddrphy_bitslip31, a7ddrphy_bitslip3_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip4_value0 <= (a7ddrphy_bitslip4_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip4_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip4_value0 <= 3'd7; end - main_a7ddrphy_bitslip4_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[20], main_a7ddrphy_dfi_p3_wrdata[4], main_a7ddrphy_dfi_p2_wrdata[20], main_a7ddrphy_dfi_p2_wrdata[4], main_a7ddrphy_dfi_p1_wrdata[20], main_a7ddrphy_dfi_p1_wrdata[4], main_a7ddrphy_dfi_p0_wrdata[20], main_a7ddrphy_dfi_p0_wrdata[4]}, main_a7ddrphy_bitslip4_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip4_value1 <= (main_a7ddrphy_bitslip4_value1 + 1'd1); + a7ddrphy_bitslip4_r0 <= {{a7ddrphy_dfi_p3_wrdata[20], a7ddrphy_dfi_p3_wrdata[4], a7ddrphy_dfi_p2_wrdata[20], a7ddrphy_dfi_p2_wrdata[4], a7ddrphy_dfi_p1_wrdata[20], a7ddrphy_dfi_p1_wrdata[4], a7ddrphy_dfi_p0_wrdata[20], a7ddrphy_dfi_p0_wrdata[4]}, a7ddrphy_bitslip4_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip4_value1 <= (a7ddrphy_bitslip4_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip4_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip4_value1 <= 3'd7; end - main_a7ddrphy_bitslip4_r1 <= {main_a7ddrphy_bitslip41, main_a7ddrphy_bitslip4_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip5_value0 <= (main_a7ddrphy_bitslip5_value0 + 1'd1); + a7ddrphy_bitslip4_r1 <= {a7ddrphy_bitslip41, a7ddrphy_bitslip4_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip5_value0 <= (a7ddrphy_bitslip5_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip5_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip5_value0 <= 3'd7; end - main_a7ddrphy_bitslip5_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[21], main_a7ddrphy_dfi_p3_wrdata[5], main_a7ddrphy_dfi_p2_wrdata[21], main_a7ddrphy_dfi_p2_wrdata[5], main_a7ddrphy_dfi_p1_wrdata[21], main_a7ddrphy_dfi_p1_wrdata[5], main_a7ddrphy_dfi_p0_wrdata[21], main_a7ddrphy_dfi_p0_wrdata[5]}, main_a7ddrphy_bitslip5_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip5_value1 <= (main_a7ddrphy_bitslip5_value1 + 1'd1); + a7ddrphy_bitslip5_r0 <= {{a7ddrphy_dfi_p3_wrdata[21], a7ddrphy_dfi_p3_wrdata[5], a7ddrphy_dfi_p2_wrdata[21], a7ddrphy_dfi_p2_wrdata[5], a7ddrphy_dfi_p1_wrdata[21], a7ddrphy_dfi_p1_wrdata[5], a7ddrphy_dfi_p0_wrdata[21], a7ddrphy_dfi_p0_wrdata[5]}, a7ddrphy_bitslip5_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip5_value1 <= (a7ddrphy_bitslip5_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip5_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip5_value1 <= 3'd7; end - main_a7ddrphy_bitslip5_r1 <= {main_a7ddrphy_bitslip51, main_a7ddrphy_bitslip5_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip6_value0 <= (main_a7ddrphy_bitslip6_value0 + 1'd1); + a7ddrphy_bitslip5_r1 <= {a7ddrphy_bitslip51, a7ddrphy_bitslip5_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip6_value0 <= (a7ddrphy_bitslip6_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip6_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip6_value0 <= 3'd7; end - main_a7ddrphy_bitslip6_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[22], main_a7ddrphy_dfi_p3_wrdata[6], main_a7ddrphy_dfi_p2_wrdata[22], main_a7ddrphy_dfi_p2_wrdata[6], main_a7ddrphy_dfi_p1_wrdata[22], main_a7ddrphy_dfi_p1_wrdata[6], main_a7ddrphy_dfi_p0_wrdata[22], main_a7ddrphy_dfi_p0_wrdata[6]}, main_a7ddrphy_bitslip6_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip6_value1 <= (main_a7ddrphy_bitslip6_value1 + 1'd1); + a7ddrphy_bitslip6_r0 <= {{a7ddrphy_dfi_p3_wrdata[22], a7ddrphy_dfi_p3_wrdata[6], a7ddrphy_dfi_p2_wrdata[22], a7ddrphy_dfi_p2_wrdata[6], a7ddrphy_dfi_p1_wrdata[22], a7ddrphy_dfi_p1_wrdata[6], a7ddrphy_dfi_p0_wrdata[22], a7ddrphy_dfi_p0_wrdata[6]}, a7ddrphy_bitslip6_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip6_value1 <= (a7ddrphy_bitslip6_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip6_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip6_value1 <= 3'd7; end - main_a7ddrphy_bitslip6_r1 <= {main_a7ddrphy_bitslip61, main_a7ddrphy_bitslip6_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip7_value0 <= (main_a7ddrphy_bitslip7_value0 + 1'd1); + a7ddrphy_bitslip6_r1 <= {a7ddrphy_bitslip61, a7ddrphy_bitslip6_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip7_value0 <= (a7ddrphy_bitslip7_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip7_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip7_value0 <= 3'd7; end - main_a7ddrphy_bitslip7_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[23], main_a7ddrphy_dfi_p3_wrdata[7], main_a7ddrphy_dfi_p2_wrdata[23], main_a7ddrphy_dfi_p2_wrdata[7], main_a7ddrphy_dfi_p1_wrdata[23], main_a7ddrphy_dfi_p1_wrdata[7], main_a7ddrphy_dfi_p0_wrdata[23], main_a7ddrphy_dfi_p0_wrdata[7]}, main_a7ddrphy_bitslip7_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip7_value1 <= (main_a7ddrphy_bitslip7_value1 + 1'd1); + a7ddrphy_bitslip7_r0 <= {{a7ddrphy_dfi_p3_wrdata[23], a7ddrphy_dfi_p3_wrdata[7], a7ddrphy_dfi_p2_wrdata[23], a7ddrphy_dfi_p2_wrdata[7], a7ddrphy_dfi_p1_wrdata[23], a7ddrphy_dfi_p1_wrdata[7], a7ddrphy_dfi_p0_wrdata[23], a7ddrphy_dfi_p0_wrdata[7]}, a7ddrphy_bitslip7_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip7_value1 <= (a7ddrphy_bitslip7_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip7_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip7_value1 <= 3'd7; end - main_a7ddrphy_bitslip7_r1 <= {main_a7ddrphy_bitslip71, main_a7ddrphy_bitslip7_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip8_value0 <= (main_a7ddrphy_bitslip8_value0 + 1'd1); + a7ddrphy_bitslip7_r1 <= {a7ddrphy_bitslip71, a7ddrphy_bitslip7_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip8_value0 <= (a7ddrphy_bitslip8_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip8_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip8_value0 <= 3'd7; end - main_a7ddrphy_bitslip8_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[24], main_a7ddrphy_dfi_p3_wrdata[8], main_a7ddrphy_dfi_p2_wrdata[24], main_a7ddrphy_dfi_p2_wrdata[8], main_a7ddrphy_dfi_p1_wrdata[24], main_a7ddrphy_dfi_p1_wrdata[8], main_a7ddrphy_dfi_p0_wrdata[24], main_a7ddrphy_dfi_p0_wrdata[8]}, main_a7ddrphy_bitslip8_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip8_value1 <= (main_a7ddrphy_bitslip8_value1 + 1'd1); + a7ddrphy_bitslip8_r0 <= {{a7ddrphy_dfi_p3_wrdata[24], a7ddrphy_dfi_p3_wrdata[8], a7ddrphy_dfi_p2_wrdata[24], a7ddrphy_dfi_p2_wrdata[8], a7ddrphy_dfi_p1_wrdata[24], a7ddrphy_dfi_p1_wrdata[8], a7ddrphy_dfi_p0_wrdata[24], a7ddrphy_dfi_p0_wrdata[8]}, a7ddrphy_bitslip8_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip8_value1 <= (a7ddrphy_bitslip8_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip8_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip8_value1 <= 3'd7; end - main_a7ddrphy_bitslip8_r1 <= {main_a7ddrphy_bitslip81, main_a7ddrphy_bitslip8_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip9_value0 <= (main_a7ddrphy_bitslip9_value0 + 1'd1); + a7ddrphy_bitslip8_r1 <= {a7ddrphy_bitslip81, a7ddrphy_bitslip8_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip9_value0 <= (a7ddrphy_bitslip9_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip9_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip9_value0 <= 3'd7; end - main_a7ddrphy_bitslip9_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[25], main_a7ddrphy_dfi_p3_wrdata[9], main_a7ddrphy_dfi_p2_wrdata[25], main_a7ddrphy_dfi_p2_wrdata[9], main_a7ddrphy_dfi_p1_wrdata[25], main_a7ddrphy_dfi_p1_wrdata[9], main_a7ddrphy_dfi_p0_wrdata[25], main_a7ddrphy_dfi_p0_wrdata[9]}, main_a7ddrphy_bitslip9_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip9_value1 <= (main_a7ddrphy_bitslip9_value1 + 1'd1); + a7ddrphy_bitslip9_r0 <= {{a7ddrphy_dfi_p3_wrdata[25], a7ddrphy_dfi_p3_wrdata[9], a7ddrphy_dfi_p2_wrdata[25], a7ddrphy_dfi_p2_wrdata[9], a7ddrphy_dfi_p1_wrdata[25], a7ddrphy_dfi_p1_wrdata[9], a7ddrphy_dfi_p0_wrdata[25], a7ddrphy_dfi_p0_wrdata[9]}, a7ddrphy_bitslip9_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip9_value1 <= (a7ddrphy_bitslip9_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip9_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip9_value1 <= 3'd7; end - main_a7ddrphy_bitslip9_r1 <= {main_a7ddrphy_bitslip91, main_a7ddrphy_bitslip9_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip10_value0 <= (main_a7ddrphy_bitslip10_value0 + 1'd1); + a7ddrphy_bitslip9_r1 <= {a7ddrphy_bitslip91, a7ddrphy_bitslip9_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip10_value0 <= (a7ddrphy_bitslip10_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip10_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip10_value0 <= 3'd7; end - main_a7ddrphy_bitslip10_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[26], main_a7ddrphy_dfi_p3_wrdata[10], main_a7ddrphy_dfi_p2_wrdata[26], main_a7ddrphy_dfi_p2_wrdata[10], main_a7ddrphy_dfi_p1_wrdata[26], main_a7ddrphy_dfi_p1_wrdata[10], main_a7ddrphy_dfi_p0_wrdata[26], main_a7ddrphy_dfi_p0_wrdata[10]}, main_a7ddrphy_bitslip10_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip10_value1 <= (main_a7ddrphy_bitslip10_value1 + 1'd1); + a7ddrphy_bitslip10_r0 <= {{a7ddrphy_dfi_p3_wrdata[26], a7ddrphy_dfi_p3_wrdata[10], a7ddrphy_dfi_p2_wrdata[26], a7ddrphy_dfi_p2_wrdata[10], a7ddrphy_dfi_p1_wrdata[26], a7ddrphy_dfi_p1_wrdata[10], a7ddrphy_dfi_p0_wrdata[26], a7ddrphy_dfi_p0_wrdata[10]}, a7ddrphy_bitslip10_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip10_value1 <= (a7ddrphy_bitslip10_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip10_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip10_value1 <= 3'd7; end - main_a7ddrphy_bitslip10_r1 <= {main_a7ddrphy_bitslip101, main_a7ddrphy_bitslip10_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip11_value0 <= (main_a7ddrphy_bitslip11_value0 + 1'd1); + a7ddrphy_bitslip10_r1 <= {a7ddrphy_bitslip101, a7ddrphy_bitslip10_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip11_value0 <= (a7ddrphy_bitslip11_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip11_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip11_value0 <= 3'd7; end - main_a7ddrphy_bitslip11_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[27], main_a7ddrphy_dfi_p3_wrdata[11], main_a7ddrphy_dfi_p2_wrdata[27], main_a7ddrphy_dfi_p2_wrdata[11], main_a7ddrphy_dfi_p1_wrdata[27], main_a7ddrphy_dfi_p1_wrdata[11], main_a7ddrphy_dfi_p0_wrdata[27], main_a7ddrphy_dfi_p0_wrdata[11]}, main_a7ddrphy_bitslip11_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip11_value1 <= (main_a7ddrphy_bitslip11_value1 + 1'd1); + a7ddrphy_bitslip11_r0 <= {{a7ddrphy_dfi_p3_wrdata[27], a7ddrphy_dfi_p3_wrdata[11], a7ddrphy_dfi_p2_wrdata[27], a7ddrphy_dfi_p2_wrdata[11], a7ddrphy_dfi_p1_wrdata[27], a7ddrphy_dfi_p1_wrdata[11], a7ddrphy_dfi_p0_wrdata[27], a7ddrphy_dfi_p0_wrdata[11]}, a7ddrphy_bitslip11_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip11_value1 <= (a7ddrphy_bitslip11_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip11_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip11_value1 <= 3'd7; end - main_a7ddrphy_bitslip11_r1 <= {main_a7ddrphy_bitslip111, main_a7ddrphy_bitslip11_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip12_value0 <= (main_a7ddrphy_bitslip12_value0 + 1'd1); + a7ddrphy_bitslip11_r1 <= {a7ddrphy_bitslip111, a7ddrphy_bitslip11_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip12_value0 <= (a7ddrphy_bitslip12_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip12_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip12_value0 <= 3'd7; end - main_a7ddrphy_bitslip12_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[28], main_a7ddrphy_dfi_p3_wrdata[12], main_a7ddrphy_dfi_p2_wrdata[28], main_a7ddrphy_dfi_p2_wrdata[12], main_a7ddrphy_dfi_p1_wrdata[28], main_a7ddrphy_dfi_p1_wrdata[12], main_a7ddrphy_dfi_p0_wrdata[28], main_a7ddrphy_dfi_p0_wrdata[12]}, main_a7ddrphy_bitslip12_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip12_value1 <= (main_a7ddrphy_bitslip12_value1 + 1'd1); + a7ddrphy_bitslip12_r0 <= {{a7ddrphy_dfi_p3_wrdata[28], a7ddrphy_dfi_p3_wrdata[12], a7ddrphy_dfi_p2_wrdata[28], a7ddrphy_dfi_p2_wrdata[12], a7ddrphy_dfi_p1_wrdata[28], a7ddrphy_dfi_p1_wrdata[12], a7ddrphy_dfi_p0_wrdata[28], a7ddrphy_dfi_p0_wrdata[12]}, a7ddrphy_bitslip12_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip12_value1 <= (a7ddrphy_bitslip12_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip12_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip12_value1 <= 3'd7; end - main_a7ddrphy_bitslip12_r1 <= {main_a7ddrphy_bitslip121, main_a7ddrphy_bitslip12_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip13_value0 <= (main_a7ddrphy_bitslip13_value0 + 1'd1); + a7ddrphy_bitslip12_r1 <= {a7ddrphy_bitslip121, a7ddrphy_bitslip12_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip13_value0 <= (a7ddrphy_bitslip13_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip13_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip13_value0 <= 3'd7; end - main_a7ddrphy_bitslip13_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[29], main_a7ddrphy_dfi_p3_wrdata[13], main_a7ddrphy_dfi_p2_wrdata[29], main_a7ddrphy_dfi_p2_wrdata[13], main_a7ddrphy_dfi_p1_wrdata[29], main_a7ddrphy_dfi_p1_wrdata[13], main_a7ddrphy_dfi_p0_wrdata[29], main_a7ddrphy_dfi_p0_wrdata[13]}, main_a7ddrphy_bitslip13_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip13_value1 <= (main_a7ddrphy_bitslip13_value1 + 1'd1); + a7ddrphy_bitslip13_r0 <= {{a7ddrphy_dfi_p3_wrdata[29], a7ddrphy_dfi_p3_wrdata[13], a7ddrphy_dfi_p2_wrdata[29], a7ddrphy_dfi_p2_wrdata[13], a7ddrphy_dfi_p1_wrdata[29], a7ddrphy_dfi_p1_wrdata[13], a7ddrphy_dfi_p0_wrdata[29], a7ddrphy_dfi_p0_wrdata[13]}, a7ddrphy_bitslip13_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip13_value1 <= (a7ddrphy_bitslip13_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip13_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip13_value1 <= 3'd7; end - main_a7ddrphy_bitslip13_r1 <= {main_a7ddrphy_bitslip131, main_a7ddrphy_bitslip13_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip14_value0 <= (main_a7ddrphy_bitslip14_value0 + 1'd1); + a7ddrphy_bitslip13_r1 <= {a7ddrphy_bitslip131, a7ddrphy_bitslip13_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip14_value0 <= (a7ddrphy_bitslip14_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip14_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip14_value0 <= 3'd7; end - main_a7ddrphy_bitslip14_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[30], main_a7ddrphy_dfi_p3_wrdata[14], main_a7ddrphy_dfi_p2_wrdata[30], main_a7ddrphy_dfi_p2_wrdata[14], main_a7ddrphy_dfi_p1_wrdata[30], main_a7ddrphy_dfi_p1_wrdata[14], main_a7ddrphy_dfi_p0_wrdata[30], main_a7ddrphy_dfi_p0_wrdata[14]}, main_a7ddrphy_bitslip14_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip14_value1 <= (main_a7ddrphy_bitslip14_value1 + 1'd1); + a7ddrphy_bitslip14_r0 <= {{a7ddrphy_dfi_p3_wrdata[30], a7ddrphy_dfi_p3_wrdata[14], a7ddrphy_dfi_p2_wrdata[30], a7ddrphy_dfi_p2_wrdata[14], a7ddrphy_dfi_p1_wrdata[30], a7ddrphy_dfi_p1_wrdata[14], a7ddrphy_dfi_p0_wrdata[30], a7ddrphy_dfi_p0_wrdata[14]}, a7ddrphy_bitslip14_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip14_value1 <= (a7ddrphy_bitslip14_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip14_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip14_value1 <= 3'd7; end - main_a7ddrphy_bitslip14_r1 <= {main_a7ddrphy_bitslip141, main_a7ddrphy_bitslip14_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip15_value0 <= (main_a7ddrphy_bitslip15_value0 + 1'd1); + a7ddrphy_bitslip14_r1 <= {a7ddrphy_bitslip141, a7ddrphy_bitslip14_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip15_value0 <= (a7ddrphy_bitslip15_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip15_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip15_value0 <= 3'd7; end - main_a7ddrphy_bitslip15_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[31], main_a7ddrphy_dfi_p3_wrdata[15], main_a7ddrphy_dfi_p2_wrdata[31], main_a7ddrphy_dfi_p2_wrdata[15], main_a7ddrphy_dfi_p1_wrdata[31], main_a7ddrphy_dfi_p1_wrdata[15], main_a7ddrphy_dfi_p0_wrdata[31], main_a7ddrphy_dfi_p0_wrdata[15]}, main_a7ddrphy_bitslip15_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip15_value1 <= (main_a7ddrphy_bitslip15_value1 + 1'd1); + a7ddrphy_bitslip15_r0 <= {{a7ddrphy_dfi_p3_wrdata[31], a7ddrphy_dfi_p3_wrdata[15], a7ddrphy_dfi_p2_wrdata[31], a7ddrphy_dfi_p2_wrdata[15], a7ddrphy_dfi_p1_wrdata[31], a7ddrphy_dfi_p1_wrdata[15], a7ddrphy_dfi_p0_wrdata[31], a7ddrphy_dfi_p0_wrdata[15]}, a7ddrphy_bitslip15_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip15_value1 <= (a7ddrphy_bitslip15_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip15_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip15_value1 <= 3'd7; end - main_a7ddrphy_bitslip15_r1 <= {main_a7ddrphy_bitslip151, main_a7ddrphy_bitslip15_r1[15:8]}; - main_a7ddrphy_rddata_en_tappeddelayline0 <= (((main_a7ddrphy_dfi_p0_rddata_en | main_a7ddrphy_dfi_p1_rddata_en) | main_a7ddrphy_dfi_p2_rddata_en) | main_a7ddrphy_dfi_p3_rddata_en); - main_a7ddrphy_rddata_en_tappeddelayline1 <= main_a7ddrphy_rddata_en_tappeddelayline0; - main_a7ddrphy_rddata_en_tappeddelayline2 <= main_a7ddrphy_rddata_en_tappeddelayline1; - main_a7ddrphy_rddata_en_tappeddelayline3 <= main_a7ddrphy_rddata_en_tappeddelayline2; - main_a7ddrphy_rddata_en_tappeddelayline4 <= main_a7ddrphy_rddata_en_tappeddelayline3; - main_a7ddrphy_rddata_en_tappeddelayline5 <= main_a7ddrphy_rddata_en_tappeddelayline4; - main_a7ddrphy_rddata_en_tappeddelayline6 <= main_a7ddrphy_rddata_en_tappeddelayline5; - main_a7ddrphy_rddata_en_tappeddelayline7 <= main_a7ddrphy_rddata_en_tappeddelayline6; - main_a7ddrphy_wrdata_en_tappeddelayline0 <= (((main_a7ddrphy_dfi_p0_wrdata_en | main_a7ddrphy_dfi_p1_wrdata_en) | main_a7ddrphy_dfi_p2_wrdata_en) | main_a7ddrphy_dfi_p3_wrdata_en); - main_a7ddrphy_wrdata_en_tappeddelayline1 <= main_a7ddrphy_wrdata_en_tappeddelayline0; - main_a7ddrphy_wrdata_en_tappeddelayline2 <= main_a7ddrphy_wrdata_en_tappeddelayline1; - if (main_litedramcore_inti_p0_rddata_valid) begin - main_litedramcore_phaseinjector0_rddata_status <= main_litedramcore_inti_p0_rddata; + a7ddrphy_bitslip15_r1 <= {a7ddrphy_bitslip151, a7ddrphy_bitslip15_r1[15:8]}; + a7ddrphy_rddata_en_tappeddelayline0 <= (((a7ddrphy_dfi_p0_rddata_en | a7ddrphy_dfi_p1_rddata_en) | a7ddrphy_dfi_p2_rddata_en) | a7ddrphy_dfi_p3_rddata_en); + a7ddrphy_rddata_en_tappeddelayline1 <= a7ddrphy_rddata_en_tappeddelayline0; + a7ddrphy_rddata_en_tappeddelayline2 <= a7ddrphy_rddata_en_tappeddelayline1; + a7ddrphy_rddata_en_tappeddelayline3 <= a7ddrphy_rddata_en_tappeddelayline2; + a7ddrphy_rddata_en_tappeddelayline4 <= a7ddrphy_rddata_en_tappeddelayline3; + a7ddrphy_rddata_en_tappeddelayline5 <= a7ddrphy_rddata_en_tappeddelayline4; + a7ddrphy_rddata_en_tappeddelayline6 <= a7ddrphy_rddata_en_tappeddelayline5; + a7ddrphy_rddata_en_tappeddelayline7 <= a7ddrphy_rddata_en_tappeddelayline6; + a7ddrphy_wrdata_en_tappeddelayline0 <= (((a7ddrphy_dfi_p0_wrdata_en | a7ddrphy_dfi_p1_wrdata_en) | a7ddrphy_dfi_p2_wrdata_en) | a7ddrphy_dfi_p3_wrdata_en); + a7ddrphy_wrdata_en_tappeddelayline1 <= a7ddrphy_wrdata_en_tappeddelayline0; + a7ddrphy_wrdata_en_tappeddelayline2 <= a7ddrphy_wrdata_en_tappeddelayline1; + if (litedramcore_csr_dfi_p0_rddata_valid) begin + litedramcore_phaseinjector0_rddata_status <= litedramcore_csr_dfi_p0_rddata; end - if (main_litedramcore_inti_p1_rddata_valid) begin - main_litedramcore_phaseinjector1_rddata_status <= main_litedramcore_inti_p1_rddata; + if (litedramcore_csr_dfi_p1_rddata_valid) begin + litedramcore_phaseinjector1_rddata_status <= litedramcore_csr_dfi_p1_rddata; end - if (main_litedramcore_inti_p2_rddata_valid) begin - main_litedramcore_phaseinjector2_rddata_status <= main_litedramcore_inti_p2_rddata; + if (litedramcore_csr_dfi_p2_rddata_valid) begin + litedramcore_phaseinjector2_rddata_status <= litedramcore_csr_dfi_p2_rddata; end - if (main_litedramcore_inti_p3_rddata_valid) begin - main_litedramcore_phaseinjector3_rddata_status <= main_litedramcore_inti_p3_rddata; - end - if ((main_litedramcore_timer_wait & (~main_litedramcore_timer_done0))) begin - main_litedramcore_timer_count1 <= (main_litedramcore_timer_count1 - 1'd1); + if (litedramcore_csr_dfi_p3_rddata_valid) begin + litedramcore_phaseinjector3_rddata_status <= litedramcore_csr_dfi_p3_rddata; + end + if ((litedramcore_timer_wait & (~litedramcore_timer_done0))) begin + litedramcore_timer_count1 <= (litedramcore_timer_count1 - 1'd1); end else begin - main_litedramcore_timer_count1 <= 10'd781; + litedramcore_timer_count1 <= 10'd781; end - main_litedramcore_postponer_req_o <= 1'd0; - if (main_litedramcore_postponer_req_i) begin - main_litedramcore_postponer_count <= (main_litedramcore_postponer_count - 1'd1); - if ((main_litedramcore_postponer_count == 1'd0)) begin - main_litedramcore_postponer_count <= 1'd0; - main_litedramcore_postponer_req_o <= 1'd1; - end + litedramcore_postponer_req_o <= 1'd0; + if (litedramcore_postponer_req_i) begin + litedramcore_postponer_count <= (litedramcore_postponer_count - 1'd1); + if ((litedramcore_postponer_count == 1'd0)) begin + litedramcore_postponer_count <= 1'd0; + litedramcore_postponer_req_o <= 1'd1; + end end - if (main_litedramcore_sequencer_start0) begin - main_litedramcore_sequencer_count <= 1'd0; - end else begin - if (main_litedramcore_sequencer_done1) begin - if ((main_litedramcore_sequencer_count != 1'd0)) begin - main_litedramcore_sequencer_count <= (main_litedramcore_sequencer_count - 1'd1); - end - end - end - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd0; - if ((main_litedramcore_sequencer_start1 & (main_litedramcore_sequencer_counter == 1'd0))) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd1; - end - if ((main_litedramcore_sequencer_counter == 2'd3)) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd1; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd0; - end - if ((main_litedramcore_sequencer_counter == 6'd35)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd1; - end - if ((main_litedramcore_sequencer_counter == 6'd35)) begin - main_litedramcore_sequencer_counter <= 1'd0; - end else begin - if ((main_litedramcore_sequencer_counter != 1'd0)) begin - main_litedramcore_sequencer_counter <= (main_litedramcore_sequencer_counter + 1'd1); + if (litedramcore_sequencer_start0) begin + litedramcore_sequencer_count <= 1'd0; + end else begin + if (litedramcore_sequencer_done1) begin + if ((litedramcore_sequencer_count != 1'd0)) begin + litedramcore_sequencer_count <= (litedramcore_sequencer_count - 1'd1); + end + end + end + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_sequencer_done1 <= 1'd0; + if ((litedramcore_sequencer_start1 & (litedramcore_sequencer_counter == 1'd0))) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd1; + end + if ((litedramcore_sequencer_counter == 2'd3)) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd1; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd0; + end + if ((litedramcore_sequencer_counter == 6'd35)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_sequencer_done1 <= 1'd1; + end + if ((litedramcore_sequencer_counter == 6'd35)) begin + litedramcore_sequencer_counter <= 1'd0; + end else begin + if ((litedramcore_sequencer_counter != 1'd0)) begin + litedramcore_sequencer_counter <= (litedramcore_sequencer_counter + 1'd1); end else begin - if (main_litedramcore_sequencer_start1) begin - main_litedramcore_sequencer_counter <= 1'd1; + if (litedramcore_sequencer_start1) begin + litedramcore_sequencer_counter <= 1'd1; end end end - if ((main_litedramcore_zqcs_timer_wait & (~main_litedramcore_zqcs_timer_done0))) begin - main_litedramcore_zqcs_timer_count1 <= (main_litedramcore_zqcs_timer_count1 - 1'd1); + if ((litedramcore_zqcs_timer_wait & (~litedramcore_zqcs_timer_done0))) begin + litedramcore_zqcs_timer_count1 <= (litedramcore_zqcs_timer_count1 - 1'd1); end else begin - main_litedramcore_zqcs_timer_count1 <= 27'd99999999; + litedramcore_zqcs_timer_count1 <= 27'd99999999; end - main_litedramcore_zqcs_executer_done <= 1'd0; - if ((main_litedramcore_zqcs_executer_start & (main_litedramcore_zqcs_executer_counter == 1'd0))) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd1; + litedramcore_zqcs_executer_done <= 1'd0; + if ((litedramcore_zqcs_executer_start & (litedramcore_zqcs_executer_counter == 1'd0))) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd1; end - if ((main_litedramcore_zqcs_executer_counter == 2'd3)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd1; + if ((litedramcore_zqcs_executer_counter == 2'd3)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd1; end - if ((main_litedramcore_zqcs_executer_counter == 5'd19)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_zqcs_executer_done <= 1'd1; + if ((litedramcore_zqcs_executer_counter == 5'd19)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_zqcs_executer_done <= 1'd1; end - if ((main_litedramcore_zqcs_executer_counter == 5'd19)) begin - main_litedramcore_zqcs_executer_counter <= 1'd0; + if ((litedramcore_zqcs_executer_counter == 5'd19)) begin + litedramcore_zqcs_executer_counter <= 1'd0; end else begin - if ((main_litedramcore_zqcs_executer_counter != 1'd0)) begin - main_litedramcore_zqcs_executer_counter <= (main_litedramcore_zqcs_executer_counter + 1'd1); + if ((litedramcore_zqcs_executer_counter != 1'd0)) begin + litedramcore_zqcs_executer_counter <= (litedramcore_zqcs_executer_counter + 1'd1); end else begin - if (main_litedramcore_zqcs_executer_start) begin - main_litedramcore_zqcs_executer_counter <= 1'd1; + if (litedramcore_zqcs_executer_start) begin + litedramcore_zqcs_executer_counter <= 1'd1; end end end - builder_refresher_state <= builder_refresher_next_state; - if (main_litedramcore_bankmachine0_row_close) begin - main_litedramcore_bankmachine0_row_opened <= 1'd0; + litedramcore_refresher_state <= litedramcore_refresher_next_state; + if (litedramcore_bankmachine0_row_close) begin + litedramcore_bankmachine0_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine0_row_open) begin - main_litedramcore_bankmachine0_row_opened <= 1'd1; - main_litedramcore_bankmachine0_row <= main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine0_row_open) begin + litedramcore_bankmachine0_row_opened <= 1'd1; + litedramcore_bankmachine0_row <= litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine0_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine0_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine0_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (litedramcore_bankmachine0_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (litedramcore_bankmachine0_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine0_cmd_buffer_source_valid) | main_litedramcore_bankmachine0_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine0_cmd_buffer_source_valid <= main_litedramcore_bankmachine0_cmd_buffer_sink_valid; - main_litedramcore_bankmachine0_cmd_buffer_source_first <= main_litedramcore_bankmachine0_cmd_buffer_sink_first; - main_litedramcore_bankmachine0_cmd_buffer_source_last <= main_litedramcore_bankmachine0_cmd_buffer_sink_last; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready)) begin + litedramcore_bankmachine0_cmd_buffer_source_valid <= litedramcore_bankmachine0_cmd_buffer_sink_valid; + litedramcore_bankmachine0_cmd_buffer_source_first <= litedramcore_bankmachine0_cmd_buffer_sink_first; + litedramcore_bankmachine0_cmd_buffer_source_last <= litedramcore_bankmachine0_cmd_buffer_sink_last; + litedramcore_bankmachine0_cmd_buffer_source_payload_we <= litedramcore_bankmachine0_cmd_buffer_sink_payload_we; + litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine0_twtpcon_valid) begin - main_litedramcore_bankmachine0_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine0_twtpcon_valid) begin + litedramcore_bankmachine0_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd1; + litedramcore_bankmachine0_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd0; + litedramcore_bankmachine0_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_twtpcon_ready)) begin - main_litedramcore_bankmachine0_twtpcon_count <= (main_litedramcore_bankmachine0_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine0_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_twtpcon_ready)) begin + litedramcore_bankmachine0_twtpcon_count <= (litedramcore_bankmachine0_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine0_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine0_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine0_trccon_valid) begin - main_litedramcore_bankmachine0_trccon_count <= 3'd5; + if (litedramcore_bankmachine0_trccon_valid) begin + litedramcore_bankmachine0_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd1; + litedramcore_bankmachine0_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd0; + litedramcore_bankmachine0_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_trccon_ready)) begin - main_litedramcore_bankmachine0_trccon_count <= (main_litedramcore_bankmachine0_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine0_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_trccon_ready)) begin + litedramcore_bankmachine0_trccon_count <= (litedramcore_bankmachine0_trccon_count - 1'd1); + if ((litedramcore_bankmachine0_trccon_count == 1'd1)) begin + litedramcore_bankmachine0_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine0_trascon_valid) begin - main_litedramcore_bankmachine0_trascon_count <= 3'd4; + if (litedramcore_bankmachine0_trascon_valid) begin + litedramcore_bankmachine0_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd1; + litedramcore_bankmachine0_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd0; + litedramcore_bankmachine0_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_trascon_count <= (main_litedramcore_bankmachine0_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine0_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_trascon_count <= (litedramcore_bankmachine0_trascon_count - 1'd1); + if ((litedramcore_bankmachine0_trascon_count == 1'd1)) begin + litedramcore_bankmachine0_trascon_ready <= 1'd1; end end end - builder_bankmachine0_state <= builder_bankmachine0_next_state; - if (main_litedramcore_bankmachine1_row_close) begin - main_litedramcore_bankmachine1_row_opened <= 1'd0; + litedramcore_bankmachine0_state <= litedramcore_bankmachine0_next_state; + if (litedramcore_bankmachine1_row_close) begin + litedramcore_bankmachine1_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine1_row_open) begin - main_litedramcore_bankmachine1_row_opened <= 1'd1; - main_litedramcore_bankmachine1_row <= main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine1_row_open) begin + litedramcore_bankmachine1_row_opened <= 1'd1; + litedramcore_bankmachine1_row <= litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine1_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine1_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine1_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (litedramcore_bankmachine1_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (litedramcore_bankmachine1_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine1_cmd_buffer_source_valid) | main_litedramcore_bankmachine1_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine1_cmd_buffer_source_valid <= main_litedramcore_bankmachine1_cmd_buffer_sink_valid; - main_litedramcore_bankmachine1_cmd_buffer_source_first <= main_litedramcore_bankmachine1_cmd_buffer_sink_first; - main_litedramcore_bankmachine1_cmd_buffer_source_last <= main_litedramcore_bankmachine1_cmd_buffer_sink_last; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready)) begin + litedramcore_bankmachine1_cmd_buffer_source_valid <= litedramcore_bankmachine1_cmd_buffer_sink_valid; + litedramcore_bankmachine1_cmd_buffer_source_first <= litedramcore_bankmachine1_cmd_buffer_sink_first; + litedramcore_bankmachine1_cmd_buffer_source_last <= litedramcore_bankmachine1_cmd_buffer_sink_last; + litedramcore_bankmachine1_cmd_buffer_source_payload_we <= litedramcore_bankmachine1_cmd_buffer_sink_payload_we; + litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine1_twtpcon_valid) begin - main_litedramcore_bankmachine1_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine1_twtpcon_valid) begin + litedramcore_bankmachine1_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd1; + litedramcore_bankmachine1_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd0; + litedramcore_bankmachine1_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_twtpcon_ready)) begin - main_litedramcore_bankmachine1_twtpcon_count <= (main_litedramcore_bankmachine1_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine1_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_twtpcon_ready)) begin + litedramcore_bankmachine1_twtpcon_count <= (litedramcore_bankmachine1_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine1_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine1_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine1_trccon_valid) begin - main_litedramcore_bankmachine1_trccon_count <= 3'd5; + if (litedramcore_bankmachine1_trccon_valid) begin + litedramcore_bankmachine1_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd1; + litedramcore_bankmachine1_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd0; + litedramcore_bankmachine1_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_trccon_ready)) begin - main_litedramcore_bankmachine1_trccon_count <= (main_litedramcore_bankmachine1_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine1_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_trccon_ready)) begin + litedramcore_bankmachine1_trccon_count <= (litedramcore_bankmachine1_trccon_count - 1'd1); + if ((litedramcore_bankmachine1_trccon_count == 1'd1)) begin + litedramcore_bankmachine1_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine1_trascon_valid) begin - main_litedramcore_bankmachine1_trascon_count <= 3'd4; + if (litedramcore_bankmachine1_trascon_valid) begin + litedramcore_bankmachine1_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd1; + litedramcore_bankmachine1_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd0; + litedramcore_bankmachine1_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_trascon_count <= (main_litedramcore_bankmachine1_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine1_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_trascon_count <= (litedramcore_bankmachine1_trascon_count - 1'd1); + if ((litedramcore_bankmachine1_trascon_count == 1'd1)) begin + litedramcore_bankmachine1_trascon_ready <= 1'd1; end end end - builder_bankmachine1_state <= builder_bankmachine1_next_state; - if (main_litedramcore_bankmachine2_row_close) begin - main_litedramcore_bankmachine2_row_opened <= 1'd0; + litedramcore_bankmachine1_state <= litedramcore_bankmachine1_next_state; + if (litedramcore_bankmachine2_row_close) begin + litedramcore_bankmachine2_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine2_row_open) begin - main_litedramcore_bankmachine2_row_opened <= 1'd1; - main_litedramcore_bankmachine2_row <= main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine2_row_open) begin + litedramcore_bankmachine2_row_opened <= 1'd1; + litedramcore_bankmachine2_row <= litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine2_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine2_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine2_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (litedramcore_bankmachine2_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (litedramcore_bankmachine2_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine2_cmd_buffer_source_valid) | main_litedramcore_bankmachine2_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine2_cmd_buffer_source_valid <= main_litedramcore_bankmachine2_cmd_buffer_sink_valid; - main_litedramcore_bankmachine2_cmd_buffer_source_first <= main_litedramcore_bankmachine2_cmd_buffer_sink_first; - main_litedramcore_bankmachine2_cmd_buffer_source_last <= main_litedramcore_bankmachine2_cmd_buffer_sink_last; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready)) begin + litedramcore_bankmachine2_cmd_buffer_source_valid <= litedramcore_bankmachine2_cmd_buffer_sink_valid; + litedramcore_bankmachine2_cmd_buffer_source_first <= litedramcore_bankmachine2_cmd_buffer_sink_first; + litedramcore_bankmachine2_cmd_buffer_source_last <= litedramcore_bankmachine2_cmd_buffer_sink_last; + litedramcore_bankmachine2_cmd_buffer_source_payload_we <= litedramcore_bankmachine2_cmd_buffer_sink_payload_we; + litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine2_twtpcon_valid) begin - main_litedramcore_bankmachine2_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine2_twtpcon_valid) begin + litedramcore_bankmachine2_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd1; + litedramcore_bankmachine2_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd0; + litedramcore_bankmachine2_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_twtpcon_ready)) begin - main_litedramcore_bankmachine2_twtpcon_count <= (main_litedramcore_bankmachine2_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine2_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_twtpcon_ready)) begin + litedramcore_bankmachine2_twtpcon_count <= (litedramcore_bankmachine2_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine2_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine2_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine2_trccon_valid) begin - main_litedramcore_bankmachine2_trccon_count <= 3'd5; + if (litedramcore_bankmachine2_trccon_valid) begin + litedramcore_bankmachine2_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd1; + litedramcore_bankmachine2_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd0; + litedramcore_bankmachine2_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_trccon_ready)) begin - main_litedramcore_bankmachine2_trccon_count <= (main_litedramcore_bankmachine2_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine2_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_trccon_ready)) begin + litedramcore_bankmachine2_trccon_count <= (litedramcore_bankmachine2_trccon_count - 1'd1); + if ((litedramcore_bankmachine2_trccon_count == 1'd1)) begin + litedramcore_bankmachine2_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine2_trascon_valid) begin - main_litedramcore_bankmachine2_trascon_count <= 3'd4; + if (litedramcore_bankmachine2_trascon_valid) begin + litedramcore_bankmachine2_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd1; + litedramcore_bankmachine2_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd0; + litedramcore_bankmachine2_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_trascon_count <= (main_litedramcore_bankmachine2_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine2_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_trascon_count <= (litedramcore_bankmachine2_trascon_count - 1'd1); + if ((litedramcore_bankmachine2_trascon_count == 1'd1)) begin + litedramcore_bankmachine2_trascon_ready <= 1'd1; end end end - builder_bankmachine2_state <= builder_bankmachine2_next_state; - if (main_litedramcore_bankmachine3_row_close) begin - main_litedramcore_bankmachine3_row_opened <= 1'd0; + litedramcore_bankmachine2_state <= litedramcore_bankmachine2_next_state; + if (litedramcore_bankmachine3_row_close) begin + litedramcore_bankmachine3_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine3_row_open) begin - main_litedramcore_bankmachine3_row_opened <= 1'd1; - main_litedramcore_bankmachine3_row <= main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine3_row_open) begin + litedramcore_bankmachine3_row_opened <= 1'd1; + litedramcore_bankmachine3_row <= litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine3_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine3_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine3_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (litedramcore_bankmachine3_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (litedramcore_bankmachine3_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine3_cmd_buffer_source_valid) | main_litedramcore_bankmachine3_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine3_cmd_buffer_source_valid <= main_litedramcore_bankmachine3_cmd_buffer_sink_valid; - main_litedramcore_bankmachine3_cmd_buffer_source_first <= main_litedramcore_bankmachine3_cmd_buffer_sink_first; - main_litedramcore_bankmachine3_cmd_buffer_source_last <= main_litedramcore_bankmachine3_cmd_buffer_sink_last; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready)) begin + litedramcore_bankmachine3_cmd_buffer_source_valid <= litedramcore_bankmachine3_cmd_buffer_sink_valid; + litedramcore_bankmachine3_cmd_buffer_source_first <= litedramcore_bankmachine3_cmd_buffer_sink_first; + litedramcore_bankmachine3_cmd_buffer_source_last <= litedramcore_bankmachine3_cmd_buffer_sink_last; + litedramcore_bankmachine3_cmd_buffer_source_payload_we <= litedramcore_bankmachine3_cmd_buffer_sink_payload_we; + litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine3_twtpcon_valid) begin - main_litedramcore_bankmachine3_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine3_twtpcon_valid) begin + litedramcore_bankmachine3_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd1; + litedramcore_bankmachine3_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd0; + litedramcore_bankmachine3_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_twtpcon_ready)) begin - main_litedramcore_bankmachine3_twtpcon_count <= (main_litedramcore_bankmachine3_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine3_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_twtpcon_ready)) begin + litedramcore_bankmachine3_twtpcon_count <= (litedramcore_bankmachine3_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine3_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine3_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine3_trccon_valid) begin - main_litedramcore_bankmachine3_trccon_count <= 3'd5; + if (litedramcore_bankmachine3_trccon_valid) begin + litedramcore_bankmachine3_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd1; + litedramcore_bankmachine3_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd0; + litedramcore_bankmachine3_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_trccon_ready)) begin - main_litedramcore_bankmachine3_trccon_count <= (main_litedramcore_bankmachine3_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine3_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_trccon_ready)) begin + litedramcore_bankmachine3_trccon_count <= (litedramcore_bankmachine3_trccon_count - 1'd1); + if ((litedramcore_bankmachine3_trccon_count == 1'd1)) begin + litedramcore_bankmachine3_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine3_trascon_valid) begin - main_litedramcore_bankmachine3_trascon_count <= 3'd4; + if (litedramcore_bankmachine3_trascon_valid) begin + litedramcore_bankmachine3_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd1; + litedramcore_bankmachine3_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd0; + litedramcore_bankmachine3_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_trascon_count <= (main_litedramcore_bankmachine3_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine3_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_trascon_count <= (litedramcore_bankmachine3_trascon_count - 1'd1); + if ((litedramcore_bankmachine3_trascon_count == 1'd1)) begin + litedramcore_bankmachine3_trascon_ready <= 1'd1; end end end - builder_bankmachine3_state <= builder_bankmachine3_next_state; - if (main_litedramcore_bankmachine4_row_close) begin - main_litedramcore_bankmachine4_row_opened <= 1'd0; + litedramcore_bankmachine3_state <= litedramcore_bankmachine3_next_state; + if (litedramcore_bankmachine4_row_close) begin + litedramcore_bankmachine4_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine4_row_open) begin - main_litedramcore_bankmachine4_row_opened <= 1'd1; - main_litedramcore_bankmachine4_row <= main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine4_row_open) begin + litedramcore_bankmachine4_row_opened <= 1'd1; + litedramcore_bankmachine4_row <= litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine4_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine4_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine4_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (litedramcore_bankmachine4_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (litedramcore_bankmachine4_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine4_cmd_buffer_source_valid) | main_litedramcore_bankmachine4_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine4_cmd_buffer_source_valid <= main_litedramcore_bankmachine4_cmd_buffer_sink_valid; - main_litedramcore_bankmachine4_cmd_buffer_source_first <= main_litedramcore_bankmachine4_cmd_buffer_sink_first; - main_litedramcore_bankmachine4_cmd_buffer_source_last <= main_litedramcore_bankmachine4_cmd_buffer_sink_last; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready)) begin + litedramcore_bankmachine4_cmd_buffer_source_valid <= litedramcore_bankmachine4_cmd_buffer_sink_valid; + litedramcore_bankmachine4_cmd_buffer_source_first <= litedramcore_bankmachine4_cmd_buffer_sink_first; + litedramcore_bankmachine4_cmd_buffer_source_last <= litedramcore_bankmachine4_cmd_buffer_sink_last; + litedramcore_bankmachine4_cmd_buffer_source_payload_we <= litedramcore_bankmachine4_cmd_buffer_sink_payload_we; + litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine4_twtpcon_valid) begin - main_litedramcore_bankmachine4_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine4_twtpcon_valid) begin + litedramcore_bankmachine4_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd1; + litedramcore_bankmachine4_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd0; + litedramcore_bankmachine4_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_twtpcon_ready)) begin - main_litedramcore_bankmachine4_twtpcon_count <= (main_litedramcore_bankmachine4_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine4_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_twtpcon_ready)) begin + litedramcore_bankmachine4_twtpcon_count <= (litedramcore_bankmachine4_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine4_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine4_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine4_trccon_valid) begin - main_litedramcore_bankmachine4_trccon_count <= 3'd5; + if (litedramcore_bankmachine4_trccon_valid) begin + litedramcore_bankmachine4_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd1; + litedramcore_bankmachine4_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd0; + litedramcore_bankmachine4_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_trccon_ready)) begin - main_litedramcore_bankmachine4_trccon_count <= (main_litedramcore_bankmachine4_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine4_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_trccon_ready)) begin + litedramcore_bankmachine4_trccon_count <= (litedramcore_bankmachine4_trccon_count - 1'd1); + if ((litedramcore_bankmachine4_trccon_count == 1'd1)) begin + litedramcore_bankmachine4_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine4_trascon_valid) begin - main_litedramcore_bankmachine4_trascon_count <= 3'd4; + if (litedramcore_bankmachine4_trascon_valid) begin + litedramcore_bankmachine4_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd1; + litedramcore_bankmachine4_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd0; + litedramcore_bankmachine4_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_trascon_count <= (main_litedramcore_bankmachine4_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine4_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_trascon_count <= (litedramcore_bankmachine4_trascon_count - 1'd1); + if ((litedramcore_bankmachine4_trascon_count == 1'd1)) begin + litedramcore_bankmachine4_trascon_ready <= 1'd1; end end end - builder_bankmachine4_state <= builder_bankmachine4_next_state; - if (main_litedramcore_bankmachine5_row_close) begin - main_litedramcore_bankmachine5_row_opened <= 1'd0; + litedramcore_bankmachine4_state <= litedramcore_bankmachine4_next_state; + if (litedramcore_bankmachine5_row_close) begin + litedramcore_bankmachine5_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine5_row_open) begin - main_litedramcore_bankmachine5_row_opened <= 1'd1; - main_litedramcore_bankmachine5_row <= main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine5_row_open) begin + litedramcore_bankmachine5_row_opened <= 1'd1; + litedramcore_bankmachine5_row <= litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine5_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine5_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine5_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (litedramcore_bankmachine5_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (litedramcore_bankmachine5_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine5_cmd_buffer_source_valid) | main_litedramcore_bankmachine5_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine5_cmd_buffer_source_valid <= main_litedramcore_bankmachine5_cmd_buffer_sink_valid; - main_litedramcore_bankmachine5_cmd_buffer_source_first <= main_litedramcore_bankmachine5_cmd_buffer_sink_first; - main_litedramcore_bankmachine5_cmd_buffer_source_last <= main_litedramcore_bankmachine5_cmd_buffer_sink_last; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready)) begin + litedramcore_bankmachine5_cmd_buffer_source_valid <= litedramcore_bankmachine5_cmd_buffer_sink_valid; + litedramcore_bankmachine5_cmd_buffer_source_first <= litedramcore_bankmachine5_cmd_buffer_sink_first; + litedramcore_bankmachine5_cmd_buffer_source_last <= litedramcore_bankmachine5_cmd_buffer_sink_last; + litedramcore_bankmachine5_cmd_buffer_source_payload_we <= litedramcore_bankmachine5_cmd_buffer_sink_payload_we; + litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine5_twtpcon_valid) begin - main_litedramcore_bankmachine5_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine5_twtpcon_valid) begin + litedramcore_bankmachine5_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd1; + litedramcore_bankmachine5_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd0; + litedramcore_bankmachine5_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_twtpcon_ready)) begin - main_litedramcore_bankmachine5_twtpcon_count <= (main_litedramcore_bankmachine5_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine5_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_twtpcon_ready)) begin + litedramcore_bankmachine5_twtpcon_count <= (litedramcore_bankmachine5_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine5_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine5_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine5_trccon_valid) begin - main_litedramcore_bankmachine5_trccon_count <= 3'd5; + if (litedramcore_bankmachine5_trccon_valid) begin + litedramcore_bankmachine5_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd1; + litedramcore_bankmachine5_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd0; + litedramcore_bankmachine5_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_trccon_ready)) begin - main_litedramcore_bankmachine5_trccon_count <= (main_litedramcore_bankmachine5_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine5_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_trccon_ready)) begin + litedramcore_bankmachine5_trccon_count <= (litedramcore_bankmachine5_trccon_count - 1'd1); + if ((litedramcore_bankmachine5_trccon_count == 1'd1)) begin + litedramcore_bankmachine5_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine5_trascon_valid) begin - main_litedramcore_bankmachine5_trascon_count <= 3'd4; + if (litedramcore_bankmachine5_trascon_valid) begin + litedramcore_bankmachine5_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd1; + litedramcore_bankmachine5_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd0; + litedramcore_bankmachine5_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_trascon_count <= (main_litedramcore_bankmachine5_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine5_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_trascon_count <= (litedramcore_bankmachine5_trascon_count - 1'd1); + if ((litedramcore_bankmachine5_trascon_count == 1'd1)) begin + litedramcore_bankmachine5_trascon_ready <= 1'd1; end end end - builder_bankmachine5_state <= builder_bankmachine5_next_state; - if (main_litedramcore_bankmachine6_row_close) begin - main_litedramcore_bankmachine6_row_opened <= 1'd0; + litedramcore_bankmachine5_state <= litedramcore_bankmachine5_next_state; + if (litedramcore_bankmachine6_row_close) begin + litedramcore_bankmachine6_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine6_row_open) begin - main_litedramcore_bankmachine6_row_opened <= 1'd1; - main_litedramcore_bankmachine6_row <= main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine6_row_open) begin + litedramcore_bankmachine6_row_opened <= 1'd1; + litedramcore_bankmachine6_row <= litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine6_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine6_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine6_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (litedramcore_bankmachine6_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (litedramcore_bankmachine6_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine6_cmd_buffer_source_valid) | main_litedramcore_bankmachine6_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine6_cmd_buffer_source_valid <= main_litedramcore_bankmachine6_cmd_buffer_sink_valid; - main_litedramcore_bankmachine6_cmd_buffer_source_first <= main_litedramcore_bankmachine6_cmd_buffer_sink_first; - main_litedramcore_bankmachine6_cmd_buffer_source_last <= main_litedramcore_bankmachine6_cmd_buffer_sink_last; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready)) begin + litedramcore_bankmachine6_cmd_buffer_source_valid <= litedramcore_bankmachine6_cmd_buffer_sink_valid; + litedramcore_bankmachine6_cmd_buffer_source_first <= litedramcore_bankmachine6_cmd_buffer_sink_first; + litedramcore_bankmachine6_cmd_buffer_source_last <= litedramcore_bankmachine6_cmd_buffer_sink_last; + litedramcore_bankmachine6_cmd_buffer_source_payload_we <= litedramcore_bankmachine6_cmd_buffer_sink_payload_we; + litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine6_twtpcon_valid) begin - main_litedramcore_bankmachine6_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine6_twtpcon_valid) begin + litedramcore_bankmachine6_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd1; + litedramcore_bankmachine6_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd0; + litedramcore_bankmachine6_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_twtpcon_ready)) begin - main_litedramcore_bankmachine6_twtpcon_count <= (main_litedramcore_bankmachine6_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine6_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_twtpcon_ready)) begin + litedramcore_bankmachine6_twtpcon_count <= (litedramcore_bankmachine6_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine6_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine6_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine6_trccon_valid) begin - main_litedramcore_bankmachine6_trccon_count <= 3'd5; + if (litedramcore_bankmachine6_trccon_valid) begin + litedramcore_bankmachine6_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd1; + litedramcore_bankmachine6_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd0; + litedramcore_bankmachine6_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_trccon_ready)) begin - main_litedramcore_bankmachine6_trccon_count <= (main_litedramcore_bankmachine6_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine6_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_trccon_ready)) begin + litedramcore_bankmachine6_trccon_count <= (litedramcore_bankmachine6_trccon_count - 1'd1); + if ((litedramcore_bankmachine6_trccon_count == 1'd1)) begin + litedramcore_bankmachine6_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine6_trascon_valid) begin - main_litedramcore_bankmachine6_trascon_count <= 3'd4; + if (litedramcore_bankmachine6_trascon_valid) begin + litedramcore_bankmachine6_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd1; + litedramcore_bankmachine6_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd0; + litedramcore_bankmachine6_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_trascon_count <= (main_litedramcore_bankmachine6_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine6_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_trascon_count <= (litedramcore_bankmachine6_trascon_count - 1'd1); + if ((litedramcore_bankmachine6_trascon_count == 1'd1)) begin + litedramcore_bankmachine6_trascon_ready <= 1'd1; end end end - builder_bankmachine6_state <= builder_bankmachine6_next_state; - if (main_litedramcore_bankmachine7_row_close) begin - main_litedramcore_bankmachine7_row_opened <= 1'd0; + litedramcore_bankmachine6_state <= litedramcore_bankmachine6_next_state; + if (litedramcore_bankmachine7_row_close) begin + litedramcore_bankmachine7_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine7_row_open) begin - main_litedramcore_bankmachine7_row_opened <= 1'd1; - main_litedramcore_bankmachine7_row <= main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine7_row_open) begin + litedramcore_bankmachine7_row_opened <= 1'd1; + litedramcore_bankmachine7_row <= litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine7_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine7_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine7_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (litedramcore_bankmachine7_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (litedramcore_bankmachine7_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine7_cmd_buffer_source_valid) | main_litedramcore_bankmachine7_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine7_cmd_buffer_source_valid <= main_litedramcore_bankmachine7_cmd_buffer_sink_valid; - main_litedramcore_bankmachine7_cmd_buffer_source_first <= main_litedramcore_bankmachine7_cmd_buffer_sink_first; - main_litedramcore_bankmachine7_cmd_buffer_source_last <= main_litedramcore_bankmachine7_cmd_buffer_sink_last; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready)) begin + litedramcore_bankmachine7_cmd_buffer_source_valid <= litedramcore_bankmachine7_cmd_buffer_sink_valid; + litedramcore_bankmachine7_cmd_buffer_source_first <= litedramcore_bankmachine7_cmd_buffer_sink_first; + litedramcore_bankmachine7_cmd_buffer_source_last <= litedramcore_bankmachine7_cmd_buffer_sink_last; + litedramcore_bankmachine7_cmd_buffer_source_payload_we <= litedramcore_bankmachine7_cmd_buffer_sink_payload_we; + litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine7_twtpcon_valid) begin - main_litedramcore_bankmachine7_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine7_twtpcon_valid) begin + litedramcore_bankmachine7_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd1; + litedramcore_bankmachine7_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd0; + litedramcore_bankmachine7_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_twtpcon_ready)) begin - main_litedramcore_bankmachine7_twtpcon_count <= (main_litedramcore_bankmachine7_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine7_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_twtpcon_ready)) begin + litedramcore_bankmachine7_twtpcon_count <= (litedramcore_bankmachine7_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine7_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine7_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine7_trccon_valid) begin - main_litedramcore_bankmachine7_trccon_count <= 3'd5; + if (litedramcore_bankmachine7_trccon_valid) begin + litedramcore_bankmachine7_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd1; + litedramcore_bankmachine7_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd0; + litedramcore_bankmachine7_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_trccon_ready)) begin - main_litedramcore_bankmachine7_trccon_count <= (main_litedramcore_bankmachine7_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine7_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_trccon_ready)) begin + litedramcore_bankmachine7_trccon_count <= (litedramcore_bankmachine7_trccon_count - 1'd1); + if ((litedramcore_bankmachine7_trccon_count == 1'd1)) begin + litedramcore_bankmachine7_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine7_trascon_valid) begin - main_litedramcore_bankmachine7_trascon_count <= 3'd4; + if (litedramcore_bankmachine7_trascon_valid) begin + litedramcore_bankmachine7_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd1; + litedramcore_bankmachine7_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd0; + litedramcore_bankmachine7_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_trascon_count <= (main_litedramcore_bankmachine7_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine7_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_trascon_count <= (litedramcore_bankmachine7_trascon_count - 1'd1); + if ((litedramcore_bankmachine7_trascon_count == 1'd1)) begin + litedramcore_bankmachine7_trascon_ready <= 1'd1; end end end - builder_bankmachine7_state <= builder_bankmachine7_next_state; - if ((~main_litedramcore_en0)) begin - main_litedramcore_time0 <= 5'd31; + litedramcore_bankmachine7_state <= litedramcore_bankmachine7_next_state; + if ((~litedramcore_en0)) begin + litedramcore_time0 <= 5'd31; end else begin - if ((~main_litedramcore_max_time0)) begin - main_litedramcore_time0 <= (main_litedramcore_time0 - 1'd1); + if ((~litedramcore_max_time0)) begin + litedramcore_time0 <= (litedramcore_time0 - 1'd1); end end - if ((~main_litedramcore_en1)) begin - main_litedramcore_time1 <= 4'd15; + if ((~litedramcore_en1)) begin + litedramcore_time1 <= 4'd15; end else begin - if ((~main_litedramcore_max_time1)) begin - main_litedramcore_time1 <= (main_litedramcore_time1 - 1'd1); + if ((~litedramcore_max_time1)) begin + litedramcore_time1 <= (litedramcore_time1 - 1'd1); end end - if (main_litedramcore_choose_cmd_ce) begin - case (main_litedramcore_choose_cmd_grant) + if (litedramcore_choose_cmd_ce) begin + case (litedramcore_choose_cmd_grant) 1'd0: begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end end end @@ -12367,26 +12810,26 @@ always @(posedge sys_clk) begin end end 1'd1: begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end end end @@ -12396,26 +12839,26 @@ always @(posedge sys_clk) begin end end 2'd2: begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end end end @@ -12425,26 +12868,26 @@ always @(posedge sys_clk) begin end end 2'd3: begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end end end @@ -12454,26 +12897,26 @@ always @(posedge sys_clk) begin end end 3'd4: begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end end end @@ -12483,26 +12926,26 @@ always @(posedge sys_clk) begin end end 3'd5: begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end end end @@ -12512,26 +12955,26 @@ always @(posedge sys_clk) begin end end 3'd6: begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end end end @@ -12541,26 +12984,26 @@ always @(posedge sys_clk) begin end end 3'd7: begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end end end @@ -12571,29 +13014,29 @@ always @(posedge sys_clk) begin end endcase end - if (main_litedramcore_choose_req_ce) begin - case (main_litedramcore_choose_req_grant) + if (litedramcore_choose_req_ce) begin + case (litedramcore_choose_req_grant) 1'd0: begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end end end @@ -12603,26 +13046,26 @@ always @(posedge sys_clk) begin end end 1'd1: begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end end end @@ -12632,26 +13075,26 @@ always @(posedge sys_clk) begin end end 2'd2: begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end end end @@ -12661,26 +13104,26 @@ always @(posedge sys_clk) begin end end 2'd3: begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end end end @@ -12690,26 +13133,26 @@ always @(posedge sys_clk) begin end end 3'd4: begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end end end @@ -12719,26 +13162,26 @@ always @(posedge sys_clk) begin end end 3'd5: begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end end end @@ -12748,26 +13191,26 @@ always @(posedge sys_clk) begin end end 3'd6: begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end end end @@ -12777,26 +13220,26 @@ always @(posedge sys_clk) begin end end 3'd7: begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end end end @@ -12807,644 +13250,644 @@ always @(posedge sys_clk) begin end endcase end - main_litedramcore_dfi_p0_cs_n <= 1'd0; - main_litedramcore_dfi_p0_bank <= builder_array_muxed0; - main_litedramcore_dfi_p0_address <= builder_array_muxed1; - main_litedramcore_dfi_p0_cas_n <= (~builder_array_muxed2); - main_litedramcore_dfi_p0_ras_n <= (~builder_array_muxed3); - main_litedramcore_dfi_p0_we_n <= (~builder_array_muxed4); - main_litedramcore_dfi_p0_rddata_en <= builder_array_muxed5; - main_litedramcore_dfi_p0_wrdata_en <= builder_array_muxed6; - main_litedramcore_dfi_p1_cs_n <= 1'd0; - main_litedramcore_dfi_p1_bank <= builder_array_muxed7; - main_litedramcore_dfi_p1_address <= builder_array_muxed8; - main_litedramcore_dfi_p1_cas_n <= (~builder_array_muxed9); - main_litedramcore_dfi_p1_ras_n <= (~builder_array_muxed10); - main_litedramcore_dfi_p1_we_n <= (~builder_array_muxed11); - main_litedramcore_dfi_p1_rddata_en <= builder_array_muxed12; - main_litedramcore_dfi_p1_wrdata_en <= builder_array_muxed13; - main_litedramcore_dfi_p2_cs_n <= 1'd0; - main_litedramcore_dfi_p2_bank <= builder_array_muxed14; - main_litedramcore_dfi_p2_address <= builder_array_muxed15; - main_litedramcore_dfi_p2_cas_n <= (~builder_array_muxed16); - main_litedramcore_dfi_p2_ras_n <= (~builder_array_muxed17); - main_litedramcore_dfi_p2_we_n <= (~builder_array_muxed18); - main_litedramcore_dfi_p2_rddata_en <= builder_array_muxed19; - main_litedramcore_dfi_p2_wrdata_en <= builder_array_muxed20; - main_litedramcore_dfi_p3_cs_n <= 1'd0; - main_litedramcore_dfi_p3_bank <= builder_array_muxed21; - main_litedramcore_dfi_p3_address <= builder_array_muxed22; - main_litedramcore_dfi_p3_cas_n <= (~builder_array_muxed23); - main_litedramcore_dfi_p3_ras_n <= (~builder_array_muxed24); - main_litedramcore_dfi_p3_we_n <= (~builder_array_muxed25); - main_litedramcore_dfi_p3_rddata_en <= builder_array_muxed26; - main_litedramcore_dfi_p3_wrdata_en <= builder_array_muxed27; - if (main_litedramcore_trrdcon_valid) begin - main_litedramcore_trrdcon_count <= 1'd1; + litedramcore_dfi_p0_cs_n <= 1'd0; + litedramcore_dfi_p0_bank <= array_muxed0; + litedramcore_dfi_p0_address <= array_muxed1; + litedramcore_dfi_p0_cas_n <= (~array_muxed2); + litedramcore_dfi_p0_ras_n <= (~array_muxed3); + litedramcore_dfi_p0_we_n <= (~array_muxed4); + litedramcore_dfi_p0_rddata_en <= array_muxed5; + litedramcore_dfi_p0_wrdata_en <= array_muxed6; + litedramcore_dfi_p1_cs_n <= 1'd0; + litedramcore_dfi_p1_bank <= array_muxed7; + litedramcore_dfi_p1_address <= array_muxed8; + litedramcore_dfi_p1_cas_n <= (~array_muxed9); + litedramcore_dfi_p1_ras_n <= (~array_muxed10); + litedramcore_dfi_p1_we_n <= (~array_muxed11); + litedramcore_dfi_p1_rddata_en <= array_muxed12; + litedramcore_dfi_p1_wrdata_en <= array_muxed13; + litedramcore_dfi_p2_cs_n <= 1'd0; + litedramcore_dfi_p2_bank <= array_muxed14; + litedramcore_dfi_p2_address <= array_muxed15; + litedramcore_dfi_p2_cas_n <= (~array_muxed16); + litedramcore_dfi_p2_ras_n <= (~array_muxed17); + litedramcore_dfi_p2_we_n <= (~array_muxed18); + litedramcore_dfi_p2_rddata_en <= array_muxed19; + litedramcore_dfi_p2_wrdata_en <= array_muxed20; + litedramcore_dfi_p3_cs_n <= 1'd0; + litedramcore_dfi_p3_bank <= array_muxed21; + litedramcore_dfi_p3_address <= array_muxed22; + litedramcore_dfi_p3_cas_n <= (~array_muxed23); + litedramcore_dfi_p3_ras_n <= (~array_muxed24); + litedramcore_dfi_p3_we_n <= (~array_muxed25); + litedramcore_dfi_p3_rddata_en <= array_muxed26; + litedramcore_dfi_p3_wrdata_en <= array_muxed27; + if (litedramcore_trrdcon_valid) begin + litedramcore_trrdcon_count <= 1'd1; if (1'd0) begin - main_litedramcore_trrdcon_ready <= 1'd1; + litedramcore_trrdcon_ready <= 1'd1; end else begin - main_litedramcore_trrdcon_ready <= 1'd0; + litedramcore_trrdcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_trrdcon_ready)) begin - main_litedramcore_trrdcon_count <= (main_litedramcore_trrdcon_count - 1'd1); - if ((main_litedramcore_trrdcon_count == 1'd1)) begin - main_litedramcore_trrdcon_ready <= 1'd1; + if ((~litedramcore_trrdcon_ready)) begin + litedramcore_trrdcon_count <= (litedramcore_trrdcon_count - 1'd1); + if ((litedramcore_trrdcon_count == 1'd1)) begin + litedramcore_trrdcon_ready <= 1'd1; end end end - main_litedramcore_tfawcon_window <= {main_litedramcore_tfawcon_window, main_litedramcore_tfawcon_valid}; - if ((main_litedramcore_tfawcon_count < 3'd4)) begin - if ((main_litedramcore_tfawcon_count == 2'd3)) begin - main_litedramcore_tfawcon_ready <= (~main_litedramcore_tfawcon_valid); + litedramcore_tfawcon_window <= {litedramcore_tfawcon_window, litedramcore_tfawcon_valid}; + if ((litedramcore_tfawcon_count < 3'd4)) begin + if ((litedramcore_tfawcon_count == 2'd3)) begin + litedramcore_tfawcon_ready <= (~litedramcore_tfawcon_valid); end else begin - main_litedramcore_tfawcon_ready <= 1'd1; + litedramcore_tfawcon_ready <= 1'd1; end end - if (main_litedramcore_tccdcon_valid) begin - main_litedramcore_tccdcon_count <= 1'd0; + if (litedramcore_tccdcon_valid) begin + litedramcore_tccdcon_count <= 1'd0; if (1'd1) begin - main_litedramcore_tccdcon_ready <= 1'd1; + litedramcore_tccdcon_ready <= 1'd1; end else begin - main_litedramcore_tccdcon_ready <= 1'd0; + litedramcore_tccdcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_tccdcon_ready)) begin - main_litedramcore_tccdcon_count <= (main_litedramcore_tccdcon_count - 1'd1); - if ((main_litedramcore_tccdcon_count == 1'd1)) begin - main_litedramcore_tccdcon_ready <= 1'd1; + if ((~litedramcore_tccdcon_ready)) begin + litedramcore_tccdcon_count <= (litedramcore_tccdcon_count - 1'd1); + if ((litedramcore_tccdcon_count == 1'd1)) begin + litedramcore_tccdcon_ready <= 1'd1; end end end - if (main_litedramcore_twtrcon_valid) begin - main_litedramcore_twtrcon_count <= 3'd4; + if (litedramcore_twtrcon_valid) begin + litedramcore_twtrcon_count <= 3'd4; if (1'd0) begin - main_litedramcore_twtrcon_ready <= 1'd1; + litedramcore_twtrcon_ready <= 1'd1; end else begin - main_litedramcore_twtrcon_ready <= 1'd0; + litedramcore_twtrcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_twtrcon_ready)) begin - main_litedramcore_twtrcon_count <= (main_litedramcore_twtrcon_count - 1'd1); - if ((main_litedramcore_twtrcon_count == 1'd1)) begin - main_litedramcore_twtrcon_ready <= 1'd1; + if ((~litedramcore_twtrcon_ready)) begin + litedramcore_twtrcon_count <= (litedramcore_twtrcon_count - 1'd1); + if ((litedramcore_twtrcon_count == 1'd1)) begin + litedramcore_twtrcon_ready <= 1'd1; end end end - builder_multiplexer_state <= builder_multiplexer_next_state; - builder_new_master_wdata_ready0 <= ((((((((1'd0 | ((builder_roundrobin0_grant == 1'd0) & main_litedramcore_interface_bank0_wdata_ready)) | ((builder_roundrobin1_grant == 1'd0) & main_litedramcore_interface_bank1_wdata_ready)) | ((builder_roundrobin2_grant == 1'd0) & main_litedramcore_interface_bank2_wdata_ready)) | ((builder_roundrobin3_grant == 1'd0) & main_litedramcore_interface_bank3_wdata_ready)) | ((builder_roundrobin4_grant == 1'd0) & main_litedramcore_interface_bank4_wdata_ready)) | ((builder_roundrobin5_grant == 1'd0) & main_litedramcore_interface_bank5_wdata_ready)) | ((builder_roundrobin6_grant == 1'd0) & main_litedramcore_interface_bank6_wdata_ready)) | ((builder_roundrobin7_grant == 1'd0) & main_litedramcore_interface_bank7_wdata_ready)); - builder_new_master_wdata_ready1 <= builder_new_master_wdata_ready0; - builder_new_master_rdata_valid0 <= ((((((((1'd0 | ((builder_roundrobin0_grant == 1'd0) & main_litedramcore_interface_bank0_rdata_valid)) | ((builder_roundrobin1_grant == 1'd0) & main_litedramcore_interface_bank1_rdata_valid)) | ((builder_roundrobin2_grant == 1'd0) & main_litedramcore_interface_bank2_rdata_valid)) | ((builder_roundrobin3_grant == 1'd0) & main_litedramcore_interface_bank3_rdata_valid)) | ((builder_roundrobin4_grant == 1'd0) & main_litedramcore_interface_bank4_rdata_valid)) | ((builder_roundrobin5_grant == 1'd0) & main_litedramcore_interface_bank5_rdata_valid)) | ((builder_roundrobin6_grant == 1'd0) & main_litedramcore_interface_bank6_rdata_valid)) | ((builder_roundrobin7_grant == 1'd0) & main_litedramcore_interface_bank7_rdata_valid)); - builder_new_master_rdata_valid1 <= builder_new_master_rdata_valid0; - builder_new_master_rdata_valid2 <= builder_new_master_rdata_valid1; - builder_new_master_rdata_valid3 <= builder_new_master_rdata_valid2; - builder_new_master_rdata_valid4 <= builder_new_master_rdata_valid3; - builder_new_master_rdata_valid5 <= builder_new_master_rdata_valid4; - builder_new_master_rdata_valid6 <= builder_new_master_rdata_valid5; - builder_new_master_rdata_valid7 <= builder_new_master_rdata_valid6; - builder_new_master_rdata_valid8 <= builder_new_master_rdata_valid7; - builder_state <= builder_next_state; - if (builder_litedramcore_dat_w_next_value_ce0) begin - builder_litedramcore_dat_w <= builder_litedramcore_dat_w_next_value0; + litedramcore_multiplexer_state <= litedramcore_multiplexer_next_state; + litedramcore_new_master_wdata_ready0 <= ((((((((1'd0 | ((litedramcore_roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_wdata_ready)) | ((litedramcore_roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_wdata_ready)) | ((litedramcore_roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_wdata_ready)) | ((litedramcore_roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_wdata_ready)) | ((litedramcore_roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_wdata_ready)) | ((litedramcore_roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_wdata_ready)) | ((litedramcore_roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_wdata_ready)) | ((litedramcore_roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_wdata_ready)); + litedramcore_new_master_wdata_ready1 <= litedramcore_new_master_wdata_ready0; + litedramcore_new_master_rdata_valid0 <= ((((((((1'd0 | ((litedramcore_roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_rdata_valid)) | ((litedramcore_roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_rdata_valid)) | ((litedramcore_roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_rdata_valid)) | ((litedramcore_roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_rdata_valid)) | ((litedramcore_roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_rdata_valid)) | ((litedramcore_roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_rdata_valid)) | ((litedramcore_roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_rdata_valid)) | ((litedramcore_roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_rdata_valid)); + litedramcore_new_master_rdata_valid1 <= litedramcore_new_master_rdata_valid0; + litedramcore_new_master_rdata_valid2 <= litedramcore_new_master_rdata_valid1; + litedramcore_new_master_rdata_valid3 <= litedramcore_new_master_rdata_valid2; + litedramcore_new_master_rdata_valid4 <= litedramcore_new_master_rdata_valid3; + litedramcore_new_master_rdata_valid5 <= litedramcore_new_master_rdata_valid4; + litedramcore_new_master_rdata_valid6 <= litedramcore_new_master_rdata_valid5; + litedramcore_new_master_rdata_valid7 <= litedramcore_new_master_rdata_valid6; + litedramcore_new_master_rdata_valid8 <= litedramcore_new_master_rdata_valid7; + litedramcore_state <= litedramcore_next_state; + if (litedramcore_dat_w_next_value_ce0) begin + litedramcore_dat_w <= litedramcore_dat_w_next_value0; end - if (builder_litedramcore_adr_next_value_ce1) begin - builder_litedramcore_adr <= builder_litedramcore_adr_next_value1; + if (litedramcore_adr_next_value_ce1) begin + litedramcore_adr <= litedramcore_adr_next_value1; end - if (builder_litedramcore_we_next_value_ce2) begin - builder_litedramcore_we <= builder_litedramcore_we_next_value2; + if (litedramcore_we_next_value_ce2) begin + litedramcore_we <= litedramcore_we_next_value2; end - builder_interface0_bank_bus_dat_r <= 1'd0; - if (builder_csrbank0_sel) begin - case (builder_interface0_bank_bus_adr[8:0]) + interface0_bank_bus_dat_r <= 1'd0; + if (csrbank0_sel) begin + case (interface0_bank_bus_adr[8:0]) 1'd0: begin - builder_interface0_bank_bus_dat_r <= builder_csrbank0_init_done0_w; + interface0_bank_bus_dat_r <= csrbank0_init_done0_w; end 1'd1: begin - builder_interface0_bank_bus_dat_r <= builder_csrbank0_init_error0_w; + interface0_bank_bus_dat_r <= csrbank0_init_error0_w; end endcase end - if (builder_csrbank0_init_done0_re) begin - main_init_done_storage <= builder_csrbank0_init_done0_r; + if (csrbank0_init_done0_re) begin + init_done_storage <= csrbank0_init_done0_r; end - main_init_done_re <= builder_csrbank0_init_done0_re; - if (builder_csrbank0_init_error0_re) begin - main_init_error_storage <= builder_csrbank0_init_error0_r; + init_done_re <= csrbank0_init_done0_re; + if (csrbank0_init_error0_re) begin + init_error_storage <= csrbank0_init_error0_r; end - main_init_error_re <= builder_csrbank0_init_error0_re; - builder_interface1_bank_bus_dat_r <= 1'd0; - if (builder_csrbank1_sel) begin - case (builder_interface1_bank_bus_adr[8:0]) + init_error_re <= csrbank0_init_error0_re; + interface1_bank_bus_dat_r <= 1'd0; + if (csrbank1_sel) begin + case (interface1_bank_bus_adr[8:0]) 1'd0: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_rst0_w; + interface1_bank_bus_dat_r <= csrbank1_rst0_w; end 1'd1: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_half_sys8x_taps0_w; + interface1_bank_bus_dat_r <= csrbank1_dly_sel0_w; end 2'd2: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_wlevel_en0_w; + interface1_bank_bus_dat_r <= csrbank1_half_sys8x_taps0_w; end 2'd3: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_wlevel_strobe_w; + interface1_bank_bus_dat_r <= csrbank1_wlevel_en0_w; end 3'd4: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_dly_sel0_w; + interface1_bank_bus_dat_r <= a7ddrphy_wlevel_strobe_w; end 3'd5: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_rst_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_rst_w; end 3'd6: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_inc_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_inc_w; end 3'd7: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_bitslip_rst_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_bitslip_rst_w; end 4'd8: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_bitslip_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_bitslip_w; end 4'd9: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_wdly_dq_bitslip_rst_w; + interface1_bank_bus_dat_r <= a7ddrphy_wdly_dq_bitslip_rst_w; end 4'd10: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_wdly_dq_bitslip_w; + interface1_bank_bus_dat_r <= a7ddrphy_wdly_dq_bitslip_w; end 4'd11: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_rdphase0_w; + interface1_bank_bus_dat_r <= csrbank1_rdphase0_w; end 4'd12: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_wrphase0_w; + interface1_bank_bus_dat_r <= csrbank1_wrphase0_w; end endcase end - if (builder_csrbank1_rst0_re) begin - main_a7ddrphy_rst_storage <= builder_csrbank1_rst0_r; + if (csrbank1_rst0_re) begin + a7ddrphy_rst_storage <= csrbank1_rst0_r; end - main_a7ddrphy_rst_re <= builder_csrbank1_rst0_re; - if (builder_csrbank1_half_sys8x_taps0_re) begin - main_a7ddrphy_half_sys8x_taps_storage[4:0] <= builder_csrbank1_half_sys8x_taps0_r; + a7ddrphy_rst_re <= csrbank1_rst0_re; + if (csrbank1_dly_sel0_re) begin + a7ddrphy_dly_sel_storage[1:0] <= csrbank1_dly_sel0_r; end - main_a7ddrphy_half_sys8x_taps_re <= builder_csrbank1_half_sys8x_taps0_re; - if (builder_csrbank1_wlevel_en0_re) begin - main_a7ddrphy_wlevel_en_storage <= builder_csrbank1_wlevel_en0_r; + a7ddrphy_dly_sel_re <= csrbank1_dly_sel0_re; + if (csrbank1_half_sys8x_taps0_re) begin + a7ddrphy_half_sys8x_taps_storage[4:0] <= csrbank1_half_sys8x_taps0_r; end - main_a7ddrphy_wlevel_en_re <= builder_csrbank1_wlevel_en0_re; - if (builder_csrbank1_dly_sel0_re) begin - main_a7ddrphy_dly_sel_storage[1:0] <= builder_csrbank1_dly_sel0_r; + a7ddrphy_half_sys8x_taps_re <= csrbank1_half_sys8x_taps0_re; + if (csrbank1_wlevel_en0_re) begin + a7ddrphy_wlevel_en_storage <= csrbank1_wlevel_en0_r; end - main_a7ddrphy_dly_sel_re <= builder_csrbank1_dly_sel0_re; - if (builder_csrbank1_rdphase0_re) begin - main_a7ddrphy_rdphase_storage[1:0] <= builder_csrbank1_rdphase0_r; + a7ddrphy_wlevel_en_re <= csrbank1_wlevel_en0_re; + if (csrbank1_rdphase0_re) begin + a7ddrphy_rdphase_storage[1:0] <= csrbank1_rdphase0_r; end - main_a7ddrphy_rdphase_re <= builder_csrbank1_rdphase0_re; - if (builder_csrbank1_wrphase0_re) begin - main_a7ddrphy_wrphase_storage[1:0] <= builder_csrbank1_wrphase0_r; + a7ddrphy_rdphase_re <= csrbank1_rdphase0_re; + if (csrbank1_wrphase0_re) begin + a7ddrphy_wrphase_storage[1:0] <= csrbank1_wrphase0_r; end - main_a7ddrphy_wrphase_re <= builder_csrbank1_wrphase0_re; - builder_interface2_bank_bus_dat_r <= 1'd0; - if (builder_csrbank2_sel) begin - case (builder_interface2_bank_bus_adr[8:0]) + a7ddrphy_wrphase_re <= csrbank1_wrphase0_re; + interface2_bank_bus_dat_r <= 1'd0; + if (csrbank2_sel) begin + case (interface2_bank_bus_adr[8:0]) 1'd0: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_control0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_control0_w; end 1'd1: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_command0_w; end 2'd2: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector0_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector0_command_issue_w; end 2'd3: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_address0_w; end 3'd4: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_baddress0_w; end 3'd5: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_wrdata0_w; end 3'd6: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_rddata_w; end 3'd7: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_command0_w; end 4'd8: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector1_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector1_command_issue_w; end 4'd9: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_address0_w; end 4'd10: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_baddress0_w; end 4'd11: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_wrdata0_w; end 4'd12: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_rddata_w; end 4'd13: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_command0_w; end 4'd14: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector2_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector2_command_issue_w; end 4'd15: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_address0_w; end 5'd16: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_baddress0_w; end 5'd17: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_wrdata0_w; end 5'd18: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_rddata_w; end 5'd19: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_command0_w; end 5'd20: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector3_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector3_command_issue_w; end 5'd21: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_address0_w; end 5'd22: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_baddress0_w; end 5'd23: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_wrdata0_w; end 5'd24: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_rddata_w; end endcase end - if (builder_csrbank2_dfii_control0_re) begin - main_litedramcore_storage[3:0] <= builder_csrbank2_dfii_control0_r; + if (csrbank2_dfii_control0_re) begin + litedramcore_storage[3:0] <= csrbank2_dfii_control0_r; end - main_litedramcore_re <= builder_csrbank2_dfii_control0_re; - if (builder_csrbank2_dfii_pi0_command0_re) begin - main_litedramcore_phaseinjector0_command_storage[5:0] <= builder_csrbank2_dfii_pi0_command0_r; + litedramcore_re <= csrbank2_dfii_control0_re; + if (csrbank2_dfii_pi0_command0_re) begin + litedramcore_phaseinjector0_command_storage[5:0] <= csrbank2_dfii_pi0_command0_r; end - main_litedramcore_phaseinjector0_command_re <= builder_csrbank2_dfii_pi0_command0_re; - if (builder_csrbank2_dfii_pi0_address0_re) begin - main_litedramcore_phaseinjector0_address_storage[13:0] <= builder_csrbank2_dfii_pi0_address0_r; + litedramcore_phaseinjector0_command_re <= csrbank2_dfii_pi0_command0_re; + if (csrbank2_dfii_pi0_address0_re) begin + litedramcore_phaseinjector0_address_storage[13:0] <= csrbank2_dfii_pi0_address0_r; end - main_litedramcore_phaseinjector0_address_re <= builder_csrbank2_dfii_pi0_address0_re; - if (builder_csrbank2_dfii_pi0_baddress0_re) begin - main_litedramcore_phaseinjector0_baddress_storage[2:0] <= builder_csrbank2_dfii_pi0_baddress0_r; + litedramcore_phaseinjector0_address_re <= csrbank2_dfii_pi0_address0_re; + if (csrbank2_dfii_pi0_baddress0_re) begin + litedramcore_phaseinjector0_baddress_storage[2:0] <= csrbank2_dfii_pi0_baddress0_r; end - main_litedramcore_phaseinjector0_baddress_re <= builder_csrbank2_dfii_pi0_baddress0_re; - if (builder_csrbank2_dfii_pi0_wrdata0_re) begin - main_litedramcore_phaseinjector0_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi0_wrdata0_r; + litedramcore_phaseinjector0_baddress_re <= csrbank2_dfii_pi0_baddress0_re; + if (csrbank2_dfii_pi0_wrdata0_re) begin + litedramcore_phaseinjector0_wrdata_storage[31:0] <= csrbank2_dfii_pi0_wrdata0_r; end - main_litedramcore_phaseinjector0_wrdata_re <= builder_csrbank2_dfii_pi0_wrdata0_re; - main_litedramcore_phaseinjector0_rddata_re <= builder_csrbank2_dfii_pi0_rddata_re; - if (builder_csrbank2_dfii_pi1_command0_re) begin - main_litedramcore_phaseinjector1_command_storage[5:0] <= builder_csrbank2_dfii_pi1_command0_r; + litedramcore_phaseinjector0_wrdata_re <= csrbank2_dfii_pi0_wrdata0_re; + litedramcore_phaseinjector0_rddata_re <= csrbank2_dfii_pi0_rddata_re; + if (csrbank2_dfii_pi1_command0_re) begin + litedramcore_phaseinjector1_command_storage[5:0] <= csrbank2_dfii_pi1_command0_r; end - main_litedramcore_phaseinjector1_command_re <= builder_csrbank2_dfii_pi1_command0_re; - if (builder_csrbank2_dfii_pi1_address0_re) begin - main_litedramcore_phaseinjector1_address_storage[13:0] <= builder_csrbank2_dfii_pi1_address0_r; + litedramcore_phaseinjector1_command_re <= csrbank2_dfii_pi1_command0_re; + if (csrbank2_dfii_pi1_address0_re) begin + litedramcore_phaseinjector1_address_storage[13:0] <= csrbank2_dfii_pi1_address0_r; end - main_litedramcore_phaseinjector1_address_re <= builder_csrbank2_dfii_pi1_address0_re; - if (builder_csrbank2_dfii_pi1_baddress0_re) begin - main_litedramcore_phaseinjector1_baddress_storage[2:0] <= builder_csrbank2_dfii_pi1_baddress0_r; + litedramcore_phaseinjector1_address_re <= csrbank2_dfii_pi1_address0_re; + if (csrbank2_dfii_pi1_baddress0_re) begin + litedramcore_phaseinjector1_baddress_storage[2:0] <= csrbank2_dfii_pi1_baddress0_r; end - main_litedramcore_phaseinjector1_baddress_re <= builder_csrbank2_dfii_pi1_baddress0_re; - if (builder_csrbank2_dfii_pi1_wrdata0_re) begin - main_litedramcore_phaseinjector1_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi1_wrdata0_r; + litedramcore_phaseinjector1_baddress_re <= csrbank2_dfii_pi1_baddress0_re; + if (csrbank2_dfii_pi1_wrdata0_re) begin + litedramcore_phaseinjector1_wrdata_storage[31:0] <= csrbank2_dfii_pi1_wrdata0_r; end - main_litedramcore_phaseinjector1_wrdata_re <= builder_csrbank2_dfii_pi1_wrdata0_re; - main_litedramcore_phaseinjector1_rddata_re <= builder_csrbank2_dfii_pi1_rddata_re; - if (builder_csrbank2_dfii_pi2_command0_re) begin - main_litedramcore_phaseinjector2_command_storage[5:0] <= builder_csrbank2_dfii_pi2_command0_r; + litedramcore_phaseinjector1_wrdata_re <= csrbank2_dfii_pi1_wrdata0_re; + litedramcore_phaseinjector1_rddata_re <= csrbank2_dfii_pi1_rddata_re; + if (csrbank2_dfii_pi2_command0_re) begin + litedramcore_phaseinjector2_command_storage[5:0] <= csrbank2_dfii_pi2_command0_r; end - main_litedramcore_phaseinjector2_command_re <= builder_csrbank2_dfii_pi2_command0_re; - if (builder_csrbank2_dfii_pi2_address0_re) begin - main_litedramcore_phaseinjector2_address_storage[13:0] <= builder_csrbank2_dfii_pi2_address0_r; + litedramcore_phaseinjector2_command_re <= csrbank2_dfii_pi2_command0_re; + if (csrbank2_dfii_pi2_address0_re) begin + litedramcore_phaseinjector2_address_storage[13:0] <= csrbank2_dfii_pi2_address0_r; end - main_litedramcore_phaseinjector2_address_re <= builder_csrbank2_dfii_pi2_address0_re; - if (builder_csrbank2_dfii_pi2_baddress0_re) begin - main_litedramcore_phaseinjector2_baddress_storage[2:0] <= builder_csrbank2_dfii_pi2_baddress0_r; + litedramcore_phaseinjector2_address_re <= csrbank2_dfii_pi2_address0_re; + if (csrbank2_dfii_pi2_baddress0_re) begin + litedramcore_phaseinjector2_baddress_storage[2:0] <= csrbank2_dfii_pi2_baddress0_r; end - main_litedramcore_phaseinjector2_baddress_re <= builder_csrbank2_dfii_pi2_baddress0_re; - if (builder_csrbank2_dfii_pi2_wrdata0_re) begin - main_litedramcore_phaseinjector2_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi2_wrdata0_r; + litedramcore_phaseinjector2_baddress_re <= csrbank2_dfii_pi2_baddress0_re; + if (csrbank2_dfii_pi2_wrdata0_re) begin + litedramcore_phaseinjector2_wrdata_storage[31:0] <= csrbank2_dfii_pi2_wrdata0_r; end - main_litedramcore_phaseinjector2_wrdata_re <= builder_csrbank2_dfii_pi2_wrdata0_re; - main_litedramcore_phaseinjector2_rddata_re <= builder_csrbank2_dfii_pi2_rddata_re; - if (builder_csrbank2_dfii_pi3_command0_re) begin - main_litedramcore_phaseinjector3_command_storage[5:0] <= builder_csrbank2_dfii_pi3_command0_r; + litedramcore_phaseinjector2_wrdata_re <= csrbank2_dfii_pi2_wrdata0_re; + litedramcore_phaseinjector2_rddata_re <= csrbank2_dfii_pi2_rddata_re; + if (csrbank2_dfii_pi3_command0_re) begin + litedramcore_phaseinjector3_command_storage[5:0] <= csrbank2_dfii_pi3_command0_r; end - main_litedramcore_phaseinjector3_command_re <= builder_csrbank2_dfii_pi3_command0_re; - if (builder_csrbank2_dfii_pi3_address0_re) begin - main_litedramcore_phaseinjector3_address_storage[13:0] <= builder_csrbank2_dfii_pi3_address0_r; + litedramcore_phaseinjector3_command_re <= csrbank2_dfii_pi3_command0_re; + if (csrbank2_dfii_pi3_address0_re) begin + litedramcore_phaseinjector3_address_storage[13:0] <= csrbank2_dfii_pi3_address0_r; end - main_litedramcore_phaseinjector3_address_re <= builder_csrbank2_dfii_pi3_address0_re; - if (builder_csrbank2_dfii_pi3_baddress0_re) begin - main_litedramcore_phaseinjector3_baddress_storage[2:0] <= builder_csrbank2_dfii_pi3_baddress0_r; + litedramcore_phaseinjector3_address_re <= csrbank2_dfii_pi3_address0_re; + if (csrbank2_dfii_pi3_baddress0_re) begin + litedramcore_phaseinjector3_baddress_storage[2:0] <= csrbank2_dfii_pi3_baddress0_r; end - main_litedramcore_phaseinjector3_baddress_re <= builder_csrbank2_dfii_pi3_baddress0_re; - if (builder_csrbank2_dfii_pi3_wrdata0_re) begin - main_litedramcore_phaseinjector3_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi3_wrdata0_r; + litedramcore_phaseinjector3_baddress_re <= csrbank2_dfii_pi3_baddress0_re; + if (csrbank2_dfii_pi3_wrdata0_re) begin + litedramcore_phaseinjector3_wrdata_storage[31:0] <= csrbank2_dfii_pi3_wrdata0_r; end - main_litedramcore_phaseinjector3_wrdata_re <= builder_csrbank2_dfii_pi3_wrdata0_re; - main_litedramcore_phaseinjector3_rddata_re <= builder_csrbank2_dfii_pi3_rddata_re; + litedramcore_phaseinjector3_wrdata_re <= csrbank2_dfii_pi3_wrdata0_re; + litedramcore_phaseinjector3_rddata_re <= csrbank2_dfii_pi3_rddata_re; if (sys_rst) begin - main_a7ddrphy_rst_storage <= 1'd0; - main_a7ddrphy_rst_re <= 1'd0; - main_a7ddrphy_half_sys8x_taps_storage <= 5'd8; - main_a7ddrphy_half_sys8x_taps_re <= 1'd0; - main_a7ddrphy_wlevel_en_storage <= 1'd0; - main_a7ddrphy_wlevel_en_re <= 1'd0; - main_a7ddrphy_dly_sel_storage <= 2'd0; - main_a7ddrphy_dly_sel_re <= 1'd0; - main_a7ddrphy_rdphase_storage <= 2'd2; - main_a7ddrphy_rdphase_re <= 1'd0; - main_a7ddrphy_wrphase_storage <= 2'd3; - main_a7ddrphy_wrphase_re <= 1'd0; - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; - main_a7ddrphy_dqspattern_o1 <= 8'd0; - main_a7ddrphy_bitslip0_value0 <= 3'd7; - main_a7ddrphy_bitslip1_value0 <= 3'd7; - main_a7ddrphy_bitslip0_value1 <= 3'd7; - main_a7ddrphy_bitslip1_value1 <= 3'd7; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; - main_a7ddrphy_bitslip0_value2 <= 3'd7; - main_a7ddrphy_bitslip0_value3 <= 3'd7; - main_a7ddrphy_bitslip1_value2 <= 3'd7; - main_a7ddrphy_bitslip1_value3 <= 3'd7; - main_a7ddrphy_bitslip2_value0 <= 3'd7; - main_a7ddrphy_bitslip2_value1 <= 3'd7; - main_a7ddrphy_bitslip3_value0 <= 3'd7; - main_a7ddrphy_bitslip3_value1 <= 3'd7; - main_a7ddrphy_bitslip4_value0 <= 3'd7; - main_a7ddrphy_bitslip4_value1 <= 3'd7; - main_a7ddrphy_bitslip5_value0 <= 3'd7; - main_a7ddrphy_bitslip5_value1 <= 3'd7; - main_a7ddrphy_bitslip6_value0 <= 3'd7; - main_a7ddrphy_bitslip6_value1 <= 3'd7; - main_a7ddrphy_bitslip7_value0 <= 3'd7; - main_a7ddrphy_bitslip7_value1 <= 3'd7; - main_a7ddrphy_bitslip8_value0 <= 3'd7; - main_a7ddrphy_bitslip8_value1 <= 3'd7; - main_a7ddrphy_bitslip9_value0 <= 3'd7; - main_a7ddrphy_bitslip9_value1 <= 3'd7; - main_a7ddrphy_bitslip10_value0 <= 3'd7; - main_a7ddrphy_bitslip10_value1 <= 3'd7; - main_a7ddrphy_bitslip11_value0 <= 3'd7; - main_a7ddrphy_bitslip11_value1 <= 3'd7; - main_a7ddrphy_bitslip12_value0 <= 3'd7; - main_a7ddrphy_bitslip12_value1 <= 3'd7; - main_a7ddrphy_bitslip13_value0 <= 3'd7; - main_a7ddrphy_bitslip13_value1 <= 3'd7; - main_a7ddrphy_bitslip14_value0 <= 3'd7; - main_a7ddrphy_bitslip14_value1 <= 3'd7; - main_a7ddrphy_bitslip15_value0 <= 3'd7; - main_a7ddrphy_bitslip15_value1 <= 3'd7; - main_a7ddrphy_rddata_en_tappeddelayline0 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline1 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline2 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline3 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline4 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline5 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline6 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline7 <= 1'd0; - main_a7ddrphy_wrdata_en_tappeddelayline0 <= 1'd0; - main_a7ddrphy_wrdata_en_tappeddelayline1 <= 1'd0; - main_a7ddrphy_wrdata_en_tappeddelayline2 <= 1'd0; - main_litedramcore_storage <= 4'd1; - main_litedramcore_re <= 1'd0; - main_litedramcore_phaseinjector0_command_storage <= 6'd0; - main_litedramcore_phaseinjector0_command_re <= 1'd0; - main_litedramcore_phaseinjector0_address_re <= 1'd0; - main_litedramcore_phaseinjector0_baddress_re <= 1'd0; - main_litedramcore_phaseinjector0_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector0_rddata_status <= 32'd0; - main_litedramcore_phaseinjector0_rddata_re <= 1'd0; - main_litedramcore_phaseinjector1_command_storage <= 6'd0; - main_litedramcore_phaseinjector1_command_re <= 1'd0; - main_litedramcore_phaseinjector1_address_re <= 1'd0; - main_litedramcore_phaseinjector1_baddress_re <= 1'd0; - main_litedramcore_phaseinjector1_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector1_rddata_status <= 32'd0; - main_litedramcore_phaseinjector1_rddata_re <= 1'd0; - main_litedramcore_phaseinjector2_command_storage <= 6'd0; - main_litedramcore_phaseinjector2_command_re <= 1'd0; - main_litedramcore_phaseinjector2_address_re <= 1'd0; - main_litedramcore_phaseinjector2_baddress_re <= 1'd0; - main_litedramcore_phaseinjector2_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector2_rddata_status <= 32'd0; - main_litedramcore_phaseinjector2_rddata_re <= 1'd0; - main_litedramcore_phaseinjector3_command_storage <= 6'd0; - main_litedramcore_phaseinjector3_command_re <= 1'd0; - main_litedramcore_phaseinjector3_address_re <= 1'd0; - main_litedramcore_phaseinjector3_baddress_re <= 1'd0; - main_litedramcore_phaseinjector3_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector3_rddata_status <= 32'd0; - main_litedramcore_phaseinjector3_rddata_re <= 1'd0; - main_litedramcore_dfi_p0_address <= 14'd0; - main_litedramcore_dfi_p0_bank <= 3'd0; - main_litedramcore_dfi_p0_cas_n <= 1'd1; - main_litedramcore_dfi_p0_cs_n <= 1'd1; - main_litedramcore_dfi_p0_ras_n <= 1'd1; - main_litedramcore_dfi_p0_we_n <= 1'd1; - main_litedramcore_dfi_p0_wrdata_en <= 1'd0; - main_litedramcore_dfi_p0_rddata_en <= 1'd0; - main_litedramcore_dfi_p1_address <= 14'd0; - main_litedramcore_dfi_p1_bank <= 3'd0; - main_litedramcore_dfi_p1_cas_n <= 1'd1; - main_litedramcore_dfi_p1_cs_n <= 1'd1; - main_litedramcore_dfi_p1_ras_n <= 1'd1; - main_litedramcore_dfi_p1_we_n <= 1'd1; - main_litedramcore_dfi_p1_wrdata_en <= 1'd0; - main_litedramcore_dfi_p1_rddata_en <= 1'd0; - main_litedramcore_dfi_p2_address <= 14'd0; - main_litedramcore_dfi_p2_bank <= 3'd0; - main_litedramcore_dfi_p2_cas_n <= 1'd1; - main_litedramcore_dfi_p2_cs_n <= 1'd1; - main_litedramcore_dfi_p2_ras_n <= 1'd1; - main_litedramcore_dfi_p2_we_n <= 1'd1; - main_litedramcore_dfi_p2_wrdata_en <= 1'd0; - main_litedramcore_dfi_p2_rddata_en <= 1'd0; - main_litedramcore_dfi_p3_address <= 14'd0; - main_litedramcore_dfi_p3_bank <= 3'd0; - main_litedramcore_dfi_p3_cas_n <= 1'd1; - main_litedramcore_dfi_p3_cs_n <= 1'd1; - main_litedramcore_dfi_p3_ras_n <= 1'd1; - main_litedramcore_dfi_p3_we_n <= 1'd1; - main_litedramcore_dfi_p3_wrdata_en <= 1'd0; - main_litedramcore_dfi_p3_rddata_en <= 1'd0; - main_litedramcore_cmd_payload_a <= 14'd0; - main_litedramcore_cmd_payload_ba <= 3'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_timer_count1 <= 10'd781; - main_litedramcore_postponer_req_o <= 1'd0; - main_litedramcore_postponer_count <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd0; - main_litedramcore_sequencer_counter <= 6'd0; - main_litedramcore_sequencer_count <= 1'd0; - main_litedramcore_zqcs_timer_count1 <= 27'd99999999; - main_litedramcore_zqcs_executer_done <= 1'd0; - main_litedramcore_zqcs_executer_counter <= 5'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine0_row <= 14'd0; - main_litedramcore_bankmachine0_row_opened <= 1'd0; - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine0_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine0_trccon_ready <= 1'd0; - main_litedramcore_bankmachine0_trccon_count <= 3'd0; - main_litedramcore_bankmachine0_trascon_ready <= 1'd0; - main_litedramcore_bankmachine0_trascon_count <= 3'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine1_row <= 14'd0; - main_litedramcore_bankmachine1_row_opened <= 1'd0; - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine1_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine1_trccon_ready <= 1'd0; - main_litedramcore_bankmachine1_trccon_count <= 3'd0; - main_litedramcore_bankmachine1_trascon_ready <= 1'd0; - main_litedramcore_bankmachine1_trascon_count <= 3'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine2_row <= 14'd0; - main_litedramcore_bankmachine2_row_opened <= 1'd0; - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine2_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine2_trccon_ready <= 1'd0; - main_litedramcore_bankmachine2_trccon_count <= 3'd0; - main_litedramcore_bankmachine2_trascon_ready <= 1'd0; - main_litedramcore_bankmachine2_trascon_count <= 3'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine3_row <= 14'd0; - main_litedramcore_bankmachine3_row_opened <= 1'd0; - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine3_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine3_trccon_ready <= 1'd0; - main_litedramcore_bankmachine3_trccon_count <= 3'd0; - main_litedramcore_bankmachine3_trascon_ready <= 1'd0; - main_litedramcore_bankmachine3_trascon_count <= 3'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine4_row <= 14'd0; - main_litedramcore_bankmachine4_row_opened <= 1'd0; - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine4_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine4_trccon_ready <= 1'd0; - main_litedramcore_bankmachine4_trccon_count <= 3'd0; - main_litedramcore_bankmachine4_trascon_ready <= 1'd0; - main_litedramcore_bankmachine4_trascon_count <= 3'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine5_row <= 14'd0; - main_litedramcore_bankmachine5_row_opened <= 1'd0; - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine5_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine5_trccon_ready <= 1'd0; - main_litedramcore_bankmachine5_trccon_count <= 3'd0; - main_litedramcore_bankmachine5_trascon_ready <= 1'd0; - main_litedramcore_bankmachine5_trascon_count <= 3'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine6_row <= 14'd0; - main_litedramcore_bankmachine6_row_opened <= 1'd0; - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine6_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine6_trccon_ready <= 1'd0; - main_litedramcore_bankmachine6_trccon_count <= 3'd0; - main_litedramcore_bankmachine6_trascon_ready <= 1'd0; - main_litedramcore_bankmachine6_trascon_count <= 3'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine7_row <= 14'd0; - main_litedramcore_bankmachine7_row_opened <= 1'd0; - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine7_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine7_trccon_ready <= 1'd0; - main_litedramcore_bankmachine7_trccon_count <= 3'd0; - main_litedramcore_bankmachine7_trascon_ready <= 1'd0; - main_litedramcore_bankmachine7_trascon_count <= 3'd0; - main_litedramcore_choose_cmd_grant <= 3'd0; - main_litedramcore_choose_req_grant <= 3'd0; - main_litedramcore_trrdcon_ready <= 1'd0; - main_litedramcore_trrdcon_count <= 1'd0; - main_litedramcore_tfawcon_ready <= 1'd1; - main_litedramcore_tfawcon_window <= 5'd0; - main_litedramcore_tccdcon_ready <= 1'd0; - main_litedramcore_tccdcon_count <= 1'd0; - main_litedramcore_twtrcon_ready <= 1'd0; - main_litedramcore_twtrcon_count <= 3'd0; - main_litedramcore_time0 <= 5'd0; - main_litedramcore_time1 <= 4'd0; - main_init_done_storage <= 1'd0; - main_init_done_re <= 1'd0; - main_init_error_storage <= 1'd0; - main_init_error_re <= 1'd0; - builder_refresher_state <= 2'd0; - builder_bankmachine0_state <= 4'd0; - builder_bankmachine1_state <= 4'd0; - builder_bankmachine2_state <= 4'd0; - builder_bankmachine3_state <= 4'd0; - builder_bankmachine4_state <= 4'd0; - builder_bankmachine5_state <= 4'd0; - builder_bankmachine6_state <= 4'd0; - builder_bankmachine7_state <= 4'd0; - builder_multiplexer_state <= 4'd0; - builder_new_master_wdata_ready0 <= 1'd0; - builder_new_master_wdata_ready1 <= 1'd0; - builder_new_master_rdata_valid0 <= 1'd0; - builder_new_master_rdata_valid1 <= 1'd0; - builder_new_master_rdata_valid2 <= 1'd0; - builder_new_master_rdata_valid3 <= 1'd0; - builder_new_master_rdata_valid4 <= 1'd0; - builder_new_master_rdata_valid5 <= 1'd0; - builder_new_master_rdata_valid6 <= 1'd0; - builder_new_master_rdata_valid7 <= 1'd0; - builder_new_master_rdata_valid8 <= 1'd0; - builder_litedramcore_we <= 1'd0; - builder_state <= 2'd0; + a7ddrphy_rst_storage <= 1'd0; + a7ddrphy_rst_re <= 1'd0; + a7ddrphy_dly_sel_storage <= 2'd0; + a7ddrphy_dly_sel_re <= 1'd0; + a7ddrphy_half_sys8x_taps_storage <= 5'd8; + a7ddrphy_half_sys8x_taps_re <= 1'd0; + a7ddrphy_wlevel_en_storage <= 1'd0; + a7ddrphy_wlevel_en_re <= 1'd0; + a7ddrphy_rdphase_storage <= 2'd2; + a7ddrphy_rdphase_re <= 1'd0; + a7ddrphy_wrphase_storage <= 2'd3; + a7ddrphy_wrphase_re <= 1'd0; + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; + a7ddrphy_dqspattern_o1 <= 8'd0; + a7ddrphy_bitslip0_value0 <= 3'd7; + a7ddrphy_bitslip1_value0 <= 3'd7; + a7ddrphy_bitslip0_value1 <= 3'd7; + a7ddrphy_bitslip1_value1 <= 3'd7; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; + a7ddrphy_bitslip0_value2 <= 3'd7; + a7ddrphy_bitslip0_value3 <= 3'd7; + a7ddrphy_bitslip1_value2 <= 3'd7; + a7ddrphy_bitslip1_value3 <= 3'd7; + a7ddrphy_bitslip2_value0 <= 3'd7; + a7ddrphy_bitslip2_value1 <= 3'd7; + a7ddrphy_bitslip3_value0 <= 3'd7; + a7ddrphy_bitslip3_value1 <= 3'd7; + a7ddrphy_bitslip4_value0 <= 3'd7; + a7ddrphy_bitslip4_value1 <= 3'd7; + a7ddrphy_bitslip5_value0 <= 3'd7; + a7ddrphy_bitslip5_value1 <= 3'd7; + a7ddrphy_bitslip6_value0 <= 3'd7; + a7ddrphy_bitslip6_value1 <= 3'd7; + a7ddrphy_bitslip7_value0 <= 3'd7; + a7ddrphy_bitslip7_value1 <= 3'd7; + a7ddrphy_bitslip8_value0 <= 3'd7; + a7ddrphy_bitslip8_value1 <= 3'd7; + a7ddrphy_bitslip9_value0 <= 3'd7; + a7ddrphy_bitslip9_value1 <= 3'd7; + a7ddrphy_bitslip10_value0 <= 3'd7; + a7ddrphy_bitslip10_value1 <= 3'd7; + a7ddrphy_bitslip11_value0 <= 3'd7; + a7ddrphy_bitslip11_value1 <= 3'd7; + a7ddrphy_bitslip12_value0 <= 3'd7; + a7ddrphy_bitslip12_value1 <= 3'd7; + a7ddrphy_bitslip13_value0 <= 3'd7; + a7ddrphy_bitslip13_value1 <= 3'd7; + a7ddrphy_bitslip14_value0 <= 3'd7; + a7ddrphy_bitslip14_value1 <= 3'd7; + a7ddrphy_bitslip15_value0 <= 3'd7; + a7ddrphy_bitslip15_value1 <= 3'd7; + a7ddrphy_rddata_en_tappeddelayline0 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline1 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline2 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline3 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline4 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline5 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline6 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline7 <= 1'd0; + a7ddrphy_wrdata_en_tappeddelayline0 <= 1'd0; + a7ddrphy_wrdata_en_tappeddelayline1 <= 1'd0; + a7ddrphy_wrdata_en_tappeddelayline2 <= 1'd0; + litedramcore_storage <= 4'd1; + litedramcore_re <= 1'd0; + litedramcore_phaseinjector0_command_storage <= 6'd0; + litedramcore_phaseinjector0_command_re <= 1'd0; + litedramcore_phaseinjector0_address_re <= 1'd0; + litedramcore_phaseinjector0_baddress_re <= 1'd0; + litedramcore_phaseinjector0_wrdata_re <= 1'd0; + litedramcore_phaseinjector0_rddata_status <= 32'd0; + litedramcore_phaseinjector0_rddata_re <= 1'd0; + litedramcore_phaseinjector1_command_storage <= 6'd0; + litedramcore_phaseinjector1_command_re <= 1'd0; + litedramcore_phaseinjector1_address_re <= 1'd0; + litedramcore_phaseinjector1_baddress_re <= 1'd0; + litedramcore_phaseinjector1_wrdata_re <= 1'd0; + litedramcore_phaseinjector1_rddata_status <= 32'd0; + litedramcore_phaseinjector1_rddata_re <= 1'd0; + litedramcore_phaseinjector2_command_storage <= 6'd0; + litedramcore_phaseinjector2_command_re <= 1'd0; + litedramcore_phaseinjector2_address_re <= 1'd0; + litedramcore_phaseinjector2_baddress_re <= 1'd0; + litedramcore_phaseinjector2_wrdata_re <= 1'd0; + litedramcore_phaseinjector2_rddata_status <= 32'd0; + litedramcore_phaseinjector2_rddata_re <= 1'd0; + litedramcore_phaseinjector3_command_storage <= 6'd0; + litedramcore_phaseinjector3_command_re <= 1'd0; + litedramcore_phaseinjector3_address_re <= 1'd0; + litedramcore_phaseinjector3_baddress_re <= 1'd0; + litedramcore_phaseinjector3_wrdata_re <= 1'd0; + litedramcore_phaseinjector3_rddata_status <= 32'd0; + litedramcore_phaseinjector3_rddata_re <= 1'd0; + litedramcore_dfi_p0_address <= 14'd0; + litedramcore_dfi_p0_bank <= 3'd0; + litedramcore_dfi_p0_cas_n <= 1'd1; + litedramcore_dfi_p0_cs_n <= 1'd1; + litedramcore_dfi_p0_ras_n <= 1'd1; + litedramcore_dfi_p0_we_n <= 1'd1; + litedramcore_dfi_p0_wrdata_en <= 1'd0; + litedramcore_dfi_p0_rddata_en <= 1'd0; + litedramcore_dfi_p1_address <= 14'd0; + litedramcore_dfi_p1_bank <= 3'd0; + litedramcore_dfi_p1_cas_n <= 1'd1; + litedramcore_dfi_p1_cs_n <= 1'd1; + litedramcore_dfi_p1_ras_n <= 1'd1; + litedramcore_dfi_p1_we_n <= 1'd1; + litedramcore_dfi_p1_wrdata_en <= 1'd0; + litedramcore_dfi_p1_rddata_en <= 1'd0; + litedramcore_dfi_p2_address <= 14'd0; + litedramcore_dfi_p2_bank <= 3'd0; + litedramcore_dfi_p2_cas_n <= 1'd1; + litedramcore_dfi_p2_cs_n <= 1'd1; + litedramcore_dfi_p2_ras_n <= 1'd1; + litedramcore_dfi_p2_we_n <= 1'd1; + litedramcore_dfi_p2_wrdata_en <= 1'd0; + litedramcore_dfi_p2_rddata_en <= 1'd0; + litedramcore_dfi_p3_address <= 14'd0; + litedramcore_dfi_p3_bank <= 3'd0; + litedramcore_dfi_p3_cas_n <= 1'd1; + litedramcore_dfi_p3_cs_n <= 1'd1; + litedramcore_dfi_p3_ras_n <= 1'd1; + litedramcore_dfi_p3_we_n <= 1'd1; + litedramcore_dfi_p3_wrdata_en <= 1'd0; + litedramcore_dfi_p3_rddata_en <= 1'd0; + litedramcore_cmd_payload_a <= 14'd0; + litedramcore_cmd_payload_ba <= 3'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_timer_count1 <= 10'd781; + litedramcore_postponer_req_o <= 1'd0; + litedramcore_postponer_count <= 1'd0; + litedramcore_sequencer_done1 <= 1'd0; + litedramcore_sequencer_counter <= 6'd0; + litedramcore_sequencer_count <= 1'd0; + litedramcore_zqcs_timer_count1 <= 27'd99999999; + litedramcore_zqcs_executer_done <= 1'd0; + litedramcore_zqcs_executer_counter <= 5'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine0_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine0_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine0_row <= 14'd0; + litedramcore_bankmachine0_row_opened <= 1'd0; + litedramcore_bankmachine0_twtpcon_ready <= 1'd0; + litedramcore_bankmachine0_twtpcon_count <= 3'd0; + litedramcore_bankmachine0_trccon_ready <= 1'd0; + litedramcore_bankmachine0_trccon_count <= 3'd0; + litedramcore_bankmachine0_trascon_ready <= 1'd0; + litedramcore_bankmachine0_trascon_count <= 3'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine1_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine1_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine1_row <= 14'd0; + litedramcore_bankmachine1_row_opened <= 1'd0; + litedramcore_bankmachine1_twtpcon_ready <= 1'd0; + litedramcore_bankmachine1_twtpcon_count <= 3'd0; + litedramcore_bankmachine1_trccon_ready <= 1'd0; + litedramcore_bankmachine1_trccon_count <= 3'd0; + litedramcore_bankmachine1_trascon_ready <= 1'd0; + litedramcore_bankmachine1_trascon_count <= 3'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine2_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine2_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine2_row <= 14'd0; + litedramcore_bankmachine2_row_opened <= 1'd0; + litedramcore_bankmachine2_twtpcon_ready <= 1'd0; + litedramcore_bankmachine2_twtpcon_count <= 3'd0; + litedramcore_bankmachine2_trccon_ready <= 1'd0; + litedramcore_bankmachine2_trccon_count <= 3'd0; + litedramcore_bankmachine2_trascon_ready <= 1'd0; + litedramcore_bankmachine2_trascon_count <= 3'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine3_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine3_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine3_row <= 14'd0; + litedramcore_bankmachine3_row_opened <= 1'd0; + litedramcore_bankmachine3_twtpcon_ready <= 1'd0; + litedramcore_bankmachine3_twtpcon_count <= 3'd0; + litedramcore_bankmachine3_trccon_ready <= 1'd0; + litedramcore_bankmachine3_trccon_count <= 3'd0; + litedramcore_bankmachine3_trascon_ready <= 1'd0; + litedramcore_bankmachine3_trascon_count <= 3'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine4_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine4_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine4_row <= 14'd0; + litedramcore_bankmachine4_row_opened <= 1'd0; + litedramcore_bankmachine4_twtpcon_ready <= 1'd0; + litedramcore_bankmachine4_twtpcon_count <= 3'd0; + litedramcore_bankmachine4_trccon_ready <= 1'd0; + litedramcore_bankmachine4_trccon_count <= 3'd0; + litedramcore_bankmachine4_trascon_ready <= 1'd0; + litedramcore_bankmachine4_trascon_count <= 3'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine5_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine5_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine5_row <= 14'd0; + litedramcore_bankmachine5_row_opened <= 1'd0; + litedramcore_bankmachine5_twtpcon_ready <= 1'd0; + litedramcore_bankmachine5_twtpcon_count <= 3'd0; + litedramcore_bankmachine5_trccon_ready <= 1'd0; + litedramcore_bankmachine5_trccon_count <= 3'd0; + litedramcore_bankmachine5_trascon_ready <= 1'd0; + litedramcore_bankmachine5_trascon_count <= 3'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine6_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine6_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine6_row <= 14'd0; + litedramcore_bankmachine6_row_opened <= 1'd0; + litedramcore_bankmachine6_twtpcon_ready <= 1'd0; + litedramcore_bankmachine6_twtpcon_count <= 3'd0; + litedramcore_bankmachine6_trccon_ready <= 1'd0; + litedramcore_bankmachine6_trccon_count <= 3'd0; + litedramcore_bankmachine6_trascon_ready <= 1'd0; + litedramcore_bankmachine6_trascon_count <= 3'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine7_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine7_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine7_row <= 14'd0; + litedramcore_bankmachine7_row_opened <= 1'd0; + litedramcore_bankmachine7_twtpcon_ready <= 1'd0; + litedramcore_bankmachine7_twtpcon_count <= 3'd0; + litedramcore_bankmachine7_trccon_ready <= 1'd0; + litedramcore_bankmachine7_trccon_count <= 3'd0; + litedramcore_bankmachine7_trascon_ready <= 1'd0; + litedramcore_bankmachine7_trascon_count <= 3'd0; + litedramcore_choose_cmd_grant <= 3'd0; + litedramcore_choose_req_grant <= 3'd0; + litedramcore_trrdcon_ready <= 1'd0; + litedramcore_trrdcon_count <= 1'd0; + litedramcore_tfawcon_ready <= 1'd1; + litedramcore_tfawcon_window <= 5'd0; + litedramcore_tccdcon_ready <= 1'd0; + litedramcore_tccdcon_count <= 1'd0; + litedramcore_twtrcon_ready <= 1'd0; + litedramcore_twtrcon_count <= 3'd0; + litedramcore_time0 <= 5'd0; + litedramcore_time1 <= 4'd0; + init_done_storage <= 1'd0; + init_done_re <= 1'd0; + init_error_storage <= 1'd0; + init_error_re <= 1'd0; + litedramcore_we <= 1'd0; + litedramcore_refresher_state <= 2'd0; + litedramcore_bankmachine0_state <= 4'd0; + litedramcore_bankmachine1_state <= 4'd0; + litedramcore_bankmachine2_state <= 4'd0; + litedramcore_bankmachine3_state <= 4'd0; + litedramcore_bankmachine4_state <= 4'd0; + litedramcore_bankmachine5_state <= 4'd0; + litedramcore_bankmachine6_state <= 4'd0; + litedramcore_bankmachine7_state <= 4'd0; + litedramcore_multiplexer_state <= 4'd0; + litedramcore_new_master_wdata_ready0 <= 1'd0; + litedramcore_new_master_wdata_ready1 <= 1'd0; + litedramcore_new_master_rdata_valid0 <= 1'd0; + litedramcore_new_master_rdata_valid1 <= 1'd0; + litedramcore_new_master_rdata_valid2 <= 1'd0; + litedramcore_new_master_rdata_valid3 <= 1'd0; + litedramcore_new_master_rdata_valid4 <= 1'd0; + litedramcore_new_master_rdata_valid5 <= 1'd0; + litedramcore_new_master_rdata_valid6 <= 1'd0; + litedramcore_new_master_rdata_valid7 <= 1'd0; + litedramcore_new_master_rdata_valid8 <= 1'd0; + litedramcore_state <= 2'd0; end end @@ -13454,28 +13897,28 @@ end //------------------------------------------------------------------------------ BUFG BUFG( - .I(main_clkout0), - .O(main_clkout_buf0) + .I(clkout0), + .O(clkout_buf0) ); BUFG BUFG_1( - .I(main_clkout1), - .O(main_clkout_buf1) + .I(clkout1), + .O(clkout_buf1) ); BUFG BUFG_2( - .I(main_clkout2), - .O(main_clkout_buf2) + .I(clkout2), + .O(clkout_buf2) ); BUFG BUFG_3( - .I(main_clkout3), - .O(main_clkout_buf3) + .I(clkout3), + .O(clkout_buf3) ); IDELAYCTRL IDELAYCTRL( .REFCLK(iodelay_clk), - .RST(main_ic_reset) + .RST(ic_reset) ); OSERDESE2 #( @@ -13496,12 +13939,12 @@ OSERDESE2 #( .D7(1'd0), .D8(1'd1), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(main_a7ddrphy_sd_clk_se_nodelay) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_sd_clk_se_nodelay) ); OBUFDS OBUFDS( - .I(main_a7ddrphy_sd_clk_se_nodelay), + .I(a7ddrphy_sd_clk_se_nodelay), .O(ddram_clk_p), .OB(ddram_clk_n) ); @@ -13515,16 +13958,16 @@ OSERDESE2 #( ) OSERDESE2_1 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_reset_n), - .D2(main_a7ddrphy_dfi_p0_reset_n), - .D3(main_a7ddrphy_dfi_p1_reset_n), - .D4(main_a7ddrphy_dfi_p1_reset_n), - .D5(main_a7ddrphy_dfi_p2_reset_n), - .D6(main_a7ddrphy_dfi_p2_reset_n), - .D7(main_a7ddrphy_dfi_p3_reset_n), - .D8(main_a7ddrphy_dfi_p3_reset_n), + .D1(a7ddrphy_dfi_p0_reset_n), + .D2(a7ddrphy_dfi_p0_reset_n), + .D3(a7ddrphy_dfi_p1_reset_n), + .D4(a7ddrphy_dfi_p1_reset_n), + .D5(a7ddrphy_dfi_p2_reset_n), + .D6(a7ddrphy_dfi_p2_reset_n), + .D7(a7ddrphy_dfi_p3_reset_n), + .D8(a7ddrphy_dfi_p3_reset_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_reset_n) ); @@ -13537,16 +13980,16 @@ OSERDESE2 #( ) OSERDESE2_2 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_cs_n), - .D2(main_a7ddrphy_dfi_p0_cs_n), - .D3(main_a7ddrphy_dfi_p1_cs_n), - .D4(main_a7ddrphy_dfi_p1_cs_n), - .D5(main_a7ddrphy_dfi_p2_cs_n), - .D6(main_a7ddrphy_dfi_p2_cs_n), - .D7(main_a7ddrphy_dfi_p3_cs_n), - .D8(main_a7ddrphy_dfi_p3_cs_n), + .D1(a7ddrphy_dfi_p0_cs_n), + .D2(a7ddrphy_dfi_p0_cs_n), + .D3(a7ddrphy_dfi_p1_cs_n), + .D4(a7ddrphy_dfi_p1_cs_n), + .D5(a7ddrphy_dfi_p2_cs_n), + .D6(a7ddrphy_dfi_p2_cs_n), + .D7(a7ddrphy_dfi_p3_cs_n), + .D8(a7ddrphy_dfi_p3_cs_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_cs_n) ); @@ -13559,16 +14002,16 @@ OSERDESE2 #( ) OSERDESE2_3 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[0]), - .D2(main_a7ddrphy_dfi_p0_address[0]), - .D3(main_a7ddrphy_dfi_p1_address[0]), - .D4(main_a7ddrphy_dfi_p1_address[0]), - .D5(main_a7ddrphy_dfi_p2_address[0]), - .D6(main_a7ddrphy_dfi_p2_address[0]), - .D7(main_a7ddrphy_dfi_p3_address[0]), - .D8(main_a7ddrphy_dfi_p3_address[0]), + .D1(a7ddrphy_dfi_p0_address[0]), + .D2(a7ddrphy_dfi_p0_address[0]), + .D3(a7ddrphy_dfi_p1_address[0]), + .D4(a7ddrphy_dfi_p1_address[0]), + .D5(a7ddrphy_dfi_p2_address[0]), + .D6(a7ddrphy_dfi_p2_address[0]), + .D7(a7ddrphy_dfi_p3_address[0]), + .D8(a7ddrphy_dfi_p3_address[0]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[0]) ); @@ -13581,16 +14024,16 @@ OSERDESE2 #( ) OSERDESE2_4 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[1]), - .D2(main_a7ddrphy_dfi_p0_address[1]), - .D3(main_a7ddrphy_dfi_p1_address[1]), - .D4(main_a7ddrphy_dfi_p1_address[1]), - .D5(main_a7ddrphy_dfi_p2_address[1]), - .D6(main_a7ddrphy_dfi_p2_address[1]), - .D7(main_a7ddrphy_dfi_p3_address[1]), - .D8(main_a7ddrphy_dfi_p3_address[1]), + .D1(a7ddrphy_dfi_p0_address[1]), + .D2(a7ddrphy_dfi_p0_address[1]), + .D3(a7ddrphy_dfi_p1_address[1]), + .D4(a7ddrphy_dfi_p1_address[1]), + .D5(a7ddrphy_dfi_p2_address[1]), + .D6(a7ddrphy_dfi_p2_address[1]), + .D7(a7ddrphy_dfi_p3_address[1]), + .D8(a7ddrphy_dfi_p3_address[1]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[1]) ); @@ -13603,16 +14046,16 @@ OSERDESE2 #( ) OSERDESE2_5 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[2]), - .D2(main_a7ddrphy_dfi_p0_address[2]), - .D3(main_a7ddrphy_dfi_p1_address[2]), - .D4(main_a7ddrphy_dfi_p1_address[2]), - .D5(main_a7ddrphy_dfi_p2_address[2]), - .D6(main_a7ddrphy_dfi_p2_address[2]), - .D7(main_a7ddrphy_dfi_p3_address[2]), - .D8(main_a7ddrphy_dfi_p3_address[2]), + .D1(a7ddrphy_dfi_p0_address[2]), + .D2(a7ddrphy_dfi_p0_address[2]), + .D3(a7ddrphy_dfi_p1_address[2]), + .D4(a7ddrphy_dfi_p1_address[2]), + .D5(a7ddrphy_dfi_p2_address[2]), + .D6(a7ddrphy_dfi_p2_address[2]), + .D7(a7ddrphy_dfi_p3_address[2]), + .D8(a7ddrphy_dfi_p3_address[2]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[2]) ); @@ -13625,16 +14068,16 @@ OSERDESE2 #( ) OSERDESE2_6 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[3]), - .D2(main_a7ddrphy_dfi_p0_address[3]), - .D3(main_a7ddrphy_dfi_p1_address[3]), - .D4(main_a7ddrphy_dfi_p1_address[3]), - .D5(main_a7ddrphy_dfi_p2_address[3]), - .D6(main_a7ddrphy_dfi_p2_address[3]), - .D7(main_a7ddrphy_dfi_p3_address[3]), - .D8(main_a7ddrphy_dfi_p3_address[3]), + .D1(a7ddrphy_dfi_p0_address[3]), + .D2(a7ddrphy_dfi_p0_address[3]), + .D3(a7ddrphy_dfi_p1_address[3]), + .D4(a7ddrphy_dfi_p1_address[3]), + .D5(a7ddrphy_dfi_p2_address[3]), + .D6(a7ddrphy_dfi_p2_address[3]), + .D7(a7ddrphy_dfi_p3_address[3]), + .D8(a7ddrphy_dfi_p3_address[3]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[3]) ); @@ -13647,16 +14090,16 @@ OSERDESE2 #( ) OSERDESE2_7 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[4]), - .D2(main_a7ddrphy_dfi_p0_address[4]), - .D3(main_a7ddrphy_dfi_p1_address[4]), - .D4(main_a7ddrphy_dfi_p1_address[4]), - .D5(main_a7ddrphy_dfi_p2_address[4]), - .D6(main_a7ddrphy_dfi_p2_address[4]), - .D7(main_a7ddrphy_dfi_p3_address[4]), - .D8(main_a7ddrphy_dfi_p3_address[4]), + .D1(a7ddrphy_dfi_p0_address[4]), + .D2(a7ddrphy_dfi_p0_address[4]), + .D3(a7ddrphy_dfi_p1_address[4]), + .D4(a7ddrphy_dfi_p1_address[4]), + .D5(a7ddrphy_dfi_p2_address[4]), + .D6(a7ddrphy_dfi_p2_address[4]), + .D7(a7ddrphy_dfi_p3_address[4]), + .D8(a7ddrphy_dfi_p3_address[4]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[4]) ); @@ -13669,16 +14112,16 @@ OSERDESE2 #( ) OSERDESE2_8 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[5]), - .D2(main_a7ddrphy_dfi_p0_address[5]), - .D3(main_a7ddrphy_dfi_p1_address[5]), - .D4(main_a7ddrphy_dfi_p1_address[5]), - .D5(main_a7ddrphy_dfi_p2_address[5]), - .D6(main_a7ddrphy_dfi_p2_address[5]), - .D7(main_a7ddrphy_dfi_p3_address[5]), - .D8(main_a7ddrphy_dfi_p3_address[5]), + .D1(a7ddrphy_dfi_p0_address[5]), + .D2(a7ddrphy_dfi_p0_address[5]), + .D3(a7ddrphy_dfi_p1_address[5]), + .D4(a7ddrphy_dfi_p1_address[5]), + .D5(a7ddrphy_dfi_p2_address[5]), + .D6(a7ddrphy_dfi_p2_address[5]), + .D7(a7ddrphy_dfi_p3_address[5]), + .D8(a7ddrphy_dfi_p3_address[5]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[5]) ); @@ -13691,16 +14134,16 @@ OSERDESE2 #( ) OSERDESE2_9 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[6]), - .D2(main_a7ddrphy_dfi_p0_address[6]), - .D3(main_a7ddrphy_dfi_p1_address[6]), - .D4(main_a7ddrphy_dfi_p1_address[6]), - .D5(main_a7ddrphy_dfi_p2_address[6]), - .D6(main_a7ddrphy_dfi_p2_address[6]), - .D7(main_a7ddrphy_dfi_p3_address[6]), - .D8(main_a7ddrphy_dfi_p3_address[6]), + .D1(a7ddrphy_dfi_p0_address[6]), + .D2(a7ddrphy_dfi_p0_address[6]), + .D3(a7ddrphy_dfi_p1_address[6]), + .D4(a7ddrphy_dfi_p1_address[6]), + .D5(a7ddrphy_dfi_p2_address[6]), + .D6(a7ddrphy_dfi_p2_address[6]), + .D7(a7ddrphy_dfi_p3_address[6]), + .D8(a7ddrphy_dfi_p3_address[6]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[6]) ); @@ -13713,16 +14156,16 @@ OSERDESE2 #( ) OSERDESE2_10 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[7]), - .D2(main_a7ddrphy_dfi_p0_address[7]), - .D3(main_a7ddrphy_dfi_p1_address[7]), - .D4(main_a7ddrphy_dfi_p1_address[7]), - .D5(main_a7ddrphy_dfi_p2_address[7]), - .D6(main_a7ddrphy_dfi_p2_address[7]), - .D7(main_a7ddrphy_dfi_p3_address[7]), - .D8(main_a7ddrphy_dfi_p3_address[7]), + .D1(a7ddrphy_dfi_p0_address[7]), + .D2(a7ddrphy_dfi_p0_address[7]), + .D3(a7ddrphy_dfi_p1_address[7]), + .D4(a7ddrphy_dfi_p1_address[7]), + .D5(a7ddrphy_dfi_p2_address[7]), + .D6(a7ddrphy_dfi_p2_address[7]), + .D7(a7ddrphy_dfi_p3_address[7]), + .D8(a7ddrphy_dfi_p3_address[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[7]) ); @@ -13735,16 +14178,16 @@ OSERDESE2 #( ) OSERDESE2_11 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[8]), - .D2(main_a7ddrphy_dfi_p0_address[8]), - .D3(main_a7ddrphy_dfi_p1_address[8]), - .D4(main_a7ddrphy_dfi_p1_address[8]), - .D5(main_a7ddrphy_dfi_p2_address[8]), - .D6(main_a7ddrphy_dfi_p2_address[8]), - .D7(main_a7ddrphy_dfi_p3_address[8]), - .D8(main_a7ddrphy_dfi_p3_address[8]), + .D1(a7ddrphy_dfi_p0_address[8]), + .D2(a7ddrphy_dfi_p0_address[8]), + .D3(a7ddrphy_dfi_p1_address[8]), + .D4(a7ddrphy_dfi_p1_address[8]), + .D5(a7ddrphy_dfi_p2_address[8]), + .D6(a7ddrphy_dfi_p2_address[8]), + .D7(a7ddrphy_dfi_p3_address[8]), + .D8(a7ddrphy_dfi_p3_address[8]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[8]) ); @@ -13757,16 +14200,16 @@ OSERDESE2 #( ) OSERDESE2_12 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[9]), - .D2(main_a7ddrphy_dfi_p0_address[9]), - .D3(main_a7ddrphy_dfi_p1_address[9]), - .D4(main_a7ddrphy_dfi_p1_address[9]), - .D5(main_a7ddrphy_dfi_p2_address[9]), - .D6(main_a7ddrphy_dfi_p2_address[9]), - .D7(main_a7ddrphy_dfi_p3_address[9]), - .D8(main_a7ddrphy_dfi_p3_address[9]), + .D1(a7ddrphy_dfi_p0_address[9]), + .D2(a7ddrphy_dfi_p0_address[9]), + .D3(a7ddrphy_dfi_p1_address[9]), + .D4(a7ddrphy_dfi_p1_address[9]), + .D5(a7ddrphy_dfi_p2_address[9]), + .D6(a7ddrphy_dfi_p2_address[9]), + .D7(a7ddrphy_dfi_p3_address[9]), + .D8(a7ddrphy_dfi_p3_address[9]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[9]) ); @@ -13779,16 +14222,16 @@ OSERDESE2 #( ) OSERDESE2_13 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[10]), - .D2(main_a7ddrphy_dfi_p0_address[10]), - .D3(main_a7ddrphy_dfi_p1_address[10]), - .D4(main_a7ddrphy_dfi_p1_address[10]), - .D5(main_a7ddrphy_dfi_p2_address[10]), - .D6(main_a7ddrphy_dfi_p2_address[10]), - .D7(main_a7ddrphy_dfi_p3_address[10]), - .D8(main_a7ddrphy_dfi_p3_address[10]), + .D1(a7ddrphy_dfi_p0_address[10]), + .D2(a7ddrphy_dfi_p0_address[10]), + .D3(a7ddrphy_dfi_p1_address[10]), + .D4(a7ddrphy_dfi_p1_address[10]), + .D5(a7ddrphy_dfi_p2_address[10]), + .D6(a7ddrphy_dfi_p2_address[10]), + .D7(a7ddrphy_dfi_p3_address[10]), + .D8(a7ddrphy_dfi_p3_address[10]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[10]) ); @@ -13801,16 +14244,16 @@ OSERDESE2 #( ) OSERDESE2_14 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[11]), - .D2(main_a7ddrphy_dfi_p0_address[11]), - .D3(main_a7ddrphy_dfi_p1_address[11]), - .D4(main_a7ddrphy_dfi_p1_address[11]), - .D5(main_a7ddrphy_dfi_p2_address[11]), - .D6(main_a7ddrphy_dfi_p2_address[11]), - .D7(main_a7ddrphy_dfi_p3_address[11]), - .D8(main_a7ddrphy_dfi_p3_address[11]), + .D1(a7ddrphy_dfi_p0_address[11]), + .D2(a7ddrphy_dfi_p0_address[11]), + .D3(a7ddrphy_dfi_p1_address[11]), + .D4(a7ddrphy_dfi_p1_address[11]), + .D5(a7ddrphy_dfi_p2_address[11]), + .D6(a7ddrphy_dfi_p2_address[11]), + .D7(a7ddrphy_dfi_p3_address[11]), + .D8(a7ddrphy_dfi_p3_address[11]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[11]) ); @@ -13823,16 +14266,16 @@ OSERDESE2 #( ) OSERDESE2_15 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[12]), - .D2(main_a7ddrphy_dfi_p0_address[12]), - .D3(main_a7ddrphy_dfi_p1_address[12]), - .D4(main_a7ddrphy_dfi_p1_address[12]), - .D5(main_a7ddrphy_dfi_p2_address[12]), - .D6(main_a7ddrphy_dfi_p2_address[12]), - .D7(main_a7ddrphy_dfi_p3_address[12]), - .D8(main_a7ddrphy_dfi_p3_address[12]), + .D1(a7ddrphy_dfi_p0_address[12]), + .D2(a7ddrphy_dfi_p0_address[12]), + .D3(a7ddrphy_dfi_p1_address[12]), + .D4(a7ddrphy_dfi_p1_address[12]), + .D5(a7ddrphy_dfi_p2_address[12]), + .D6(a7ddrphy_dfi_p2_address[12]), + .D7(a7ddrphy_dfi_p3_address[12]), + .D8(a7ddrphy_dfi_p3_address[12]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[12]) ); @@ -13845,16 +14288,16 @@ OSERDESE2 #( ) OSERDESE2_16 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[13]), - .D2(main_a7ddrphy_dfi_p0_address[13]), - .D3(main_a7ddrphy_dfi_p1_address[13]), - .D4(main_a7ddrphy_dfi_p1_address[13]), - .D5(main_a7ddrphy_dfi_p2_address[13]), - .D6(main_a7ddrphy_dfi_p2_address[13]), - .D7(main_a7ddrphy_dfi_p3_address[13]), - .D8(main_a7ddrphy_dfi_p3_address[13]), + .D1(a7ddrphy_dfi_p0_address[13]), + .D2(a7ddrphy_dfi_p0_address[13]), + .D3(a7ddrphy_dfi_p1_address[13]), + .D4(a7ddrphy_dfi_p1_address[13]), + .D5(a7ddrphy_dfi_p2_address[13]), + .D6(a7ddrphy_dfi_p2_address[13]), + .D7(a7ddrphy_dfi_p3_address[13]), + .D8(a7ddrphy_dfi_p3_address[13]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[13]) ); @@ -13867,17 +14310,17 @@ OSERDESE2 #( ) OSERDESE2_17 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_bank[0]), - .D2(main_a7ddrphy_dfi_p0_bank[0]), - .D3(main_a7ddrphy_dfi_p1_bank[0]), - .D4(main_a7ddrphy_dfi_p1_bank[0]), - .D5(main_a7ddrphy_dfi_p2_bank[0]), - .D6(main_a7ddrphy_dfi_p2_bank[0]), - .D7(main_a7ddrphy_dfi_p3_bank[0]), - .D8(main_a7ddrphy_dfi_p3_bank[0]), + .D1(a7ddrphy_dfi_p0_bank[0]), + .D2(a7ddrphy_dfi_p0_bank[0]), + .D3(a7ddrphy_dfi_p1_bank[0]), + .D4(a7ddrphy_dfi_p1_bank[0]), + .D5(a7ddrphy_dfi_p2_bank[0]), + .D6(a7ddrphy_dfi_p2_bank[0]), + .D7(a7ddrphy_dfi_p3_bank[0]), + .D8(a7ddrphy_dfi_p3_bank[0]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(ddram_ba[0]) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_pads_ba[0]) ); OSERDESE2 #( @@ -13889,17 +14332,17 @@ OSERDESE2 #( ) OSERDESE2_18 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_bank[1]), - .D2(main_a7ddrphy_dfi_p0_bank[1]), - .D3(main_a7ddrphy_dfi_p1_bank[1]), - .D4(main_a7ddrphy_dfi_p1_bank[1]), - .D5(main_a7ddrphy_dfi_p2_bank[1]), - .D6(main_a7ddrphy_dfi_p2_bank[1]), - .D7(main_a7ddrphy_dfi_p3_bank[1]), - .D8(main_a7ddrphy_dfi_p3_bank[1]), + .D1(a7ddrphy_dfi_p0_bank[1]), + .D2(a7ddrphy_dfi_p0_bank[1]), + .D3(a7ddrphy_dfi_p1_bank[1]), + .D4(a7ddrphy_dfi_p1_bank[1]), + .D5(a7ddrphy_dfi_p2_bank[1]), + .D6(a7ddrphy_dfi_p2_bank[1]), + .D7(a7ddrphy_dfi_p3_bank[1]), + .D8(a7ddrphy_dfi_p3_bank[1]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(ddram_ba[1]) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_pads_ba[1]) ); OSERDESE2 #( @@ -13911,17 +14354,17 @@ OSERDESE2 #( ) OSERDESE2_19 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_bank[2]), - .D2(main_a7ddrphy_dfi_p0_bank[2]), - .D3(main_a7ddrphy_dfi_p1_bank[2]), - .D4(main_a7ddrphy_dfi_p1_bank[2]), - .D5(main_a7ddrphy_dfi_p2_bank[2]), - .D6(main_a7ddrphy_dfi_p2_bank[2]), - .D7(main_a7ddrphy_dfi_p3_bank[2]), - .D8(main_a7ddrphy_dfi_p3_bank[2]), + .D1(a7ddrphy_dfi_p0_bank[2]), + .D2(a7ddrphy_dfi_p0_bank[2]), + .D3(a7ddrphy_dfi_p1_bank[2]), + .D4(a7ddrphy_dfi_p1_bank[2]), + .D5(a7ddrphy_dfi_p2_bank[2]), + .D6(a7ddrphy_dfi_p2_bank[2]), + .D7(a7ddrphy_dfi_p3_bank[2]), + .D8(a7ddrphy_dfi_p3_bank[2]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(ddram_ba[2]) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_pads_ba[2]) ); OSERDESE2 #( @@ -13933,16 +14376,16 @@ OSERDESE2 #( ) OSERDESE2_20 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_ras_n), - .D2(main_a7ddrphy_dfi_p0_ras_n), - .D3(main_a7ddrphy_dfi_p1_ras_n), - .D4(main_a7ddrphy_dfi_p1_ras_n), - .D5(main_a7ddrphy_dfi_p2_ras_n), - .D6(main_a7ddrphy_dfi_p2_ras_n), - .D7(main_a7ddrphy_dfi_p3_ras_n), - .D8(main_a7ddrphy_dfi_p3_ras_n), + .D1(a7ddrphy_dfi_p0_ras_n), + .D2(a7ddrphy_dfi_p0_ras_n), + .D3(a7ddrphy_dfi_p1_ras_n), + .D4(a7ddrphy_dfi_p1_ras_n), + .D5(a7ddrphy_dfi_p2_ras_n), + .D6(a7ddrphy_dfi_p2_ras_n), + .D7(a7ddrphy_dfi_p3_ras_n), + .D8(a7ddrphy_dfi_p3_ras_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_ras_n) ); @@ -13955,16 +14398,16 @@ OSERDESE2 #( ) OSERDESE2_21 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_cas_n), - .D2(main_a7ddrphy_dfi_p0_cas_n), - .D3(main_a7ddrphy_dfi_p1_cas_n), - .D4(main_a7ddrphy_dfi_p1_cas_n), - .D5(main_a7ddrphy_dfi_p2_cas_n), - .D6(main_a7ddrphy_dfi_p2_cas_n), - .D7(main_a7ddrphy_dfi_p3_cas_n), - .D8(main_a7ddrphy_dfi_p3_cas_n), + .D1(a7ddrphy_dfi_p0_cas_n), + .D2(a7ddrphy_dfi_p0_cas_n), + .D3(a7ddrphy_dfi_p1_cas_n), + .D4(a7ddrphy_dfi_p1_cas_n), + .D5(a7ddrphy_dfi_p2_cas_n), + .D6(a7ddrphy_dfi_p2_cas_n), + .D7(a7ddrphy_dfi_p3_cas_n), + .D8(a7ddrphy_dfi_p3_cas_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_cas_n) ); @@ -13977,16 +14420,16 @@ OSERDESE2 #( ) OSERDESE2_22 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_we_n), - .D2(main_a7ddrphy_dfi_p0_we_n), - .D3(main_a7ddrphy_dfi_p1_we_n), - .D4(main_a7ddrphy_dfi_p1_we_n), - .D5(main_a7ddrphy_dfi_p2_we_n), - .D6(main_a7ddrphy_dfi_p2_we_n), - .D7(main_a7ddrphy_dfi_p3_we_n), - .D8(main_a7ddrphy_dfi_p3_we_n), + .D1(a7ddrphy_dfi_p0_we_n), + .D2(a7ddrphy_dfi_p0_we_n), + .D3(a7ddrphy_dfi_p1_we_n), + .D4(a7ddrphy_dfi_p1_we_n), + .D5(a7ddrphy_dfi_p2_we_n), + .D6(a7ddrphy_dfi_p2_we_n), + .D7(a7ddrphy_dfi_p3_we_n), + .D8(a7ddrphy_dfi_p3_we_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_we_n) ); @@ -13999,16 +14442,16 @@ OSERDESE2 #( ) OSERDESE2_23 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_cke), - .D2(main_a7ddrphy_dfi_p0_cke), - .D3(main_a7ddrphy_dfi_p1_cke), - .D4(main_a7ddrphy_dfi_p1_cke), - .D5(main_a7ddrphy_dfi_p2_cke), - .D6(main_a7ddrphy_dfi_p2_cke), - .D7(main_a7ddrphy_dfi_p3_cke), - .D8(main_a7ddrphy_dfi_p3_cke), + .D1(a7ddrphy_dfi_p0_cke), + .D2(a7ddrphy_dfi_p0_cke), + .D3(a7ddrphy_dfi_p1_cke), + .D4(a7ddrphy_dfi_p1_cke), + .D5(a7ddrphy_dfi_p2_cke), + .D6(a7ddrphy_dfi_p2_cke), + .D7(a7ddrphy_dfi_p3_cke), + .D8(a7ddrphy_dfi_p3_cke), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_cke) ); @@ -14021,16 +14464,16 @@ OSERDESE2 #( ) OSERDESE2_24 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_odt), - .D2(main_a7ddrphy_dfi_p0_odt), - .D3(main_a7ddrphy_dfi_p1_odt), - .D4(main_a7ddrphy_dfi_p1_odt), - .D5(main_a7ddrphy_dfi_p2_odt), - .D6(main_a7ddrphy_dfi_p2_odt), - .D7(main_a7ddrphy_dfi_p3_odt), - .D8(main_a7ddrphy_dfi_p3_odt), + .D1(a7ddrphy_dfi_p0_odt), + .D2(a7ddrphy_dfi_p0_odt), + .D3(a7ddrphy_dfi_p1_odt), + .D4(a7ddrphy_dfi_p1_odt), + .D5(a7ddrphy_dfi_p2_odt), + .D6(a7ddrphy_dfi_p2_odt), + .D7(a7ddrphy_dfi_p3_odt), + .D8(a7ddrphy_dfi_p3_odt), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_odt) ); @@ -14043,26 +14486,26 @@ OSERDESE2 #( ) OSERDESE2_25 ( .CLK(sys4x_dqs_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip00[0]), - .D2(main_a7ddrphy_bitslip00[1]), - .D3(main_a7ddrphy_bitslip00[2]), - .D4(main_a7ddrphy_bitslip00[3]), - .D5(main_a7ddrphy_bitslip00[4]), - .D6(main_a7ddrphy_bitslip00[5]), - .D7(main_a7ddrphy_bitslip00[6]), - .D8(main_a7ddrphy_bitslip00[7]), + .D1(a7ddrphy_bitslip00[0]), + .D2(a7ddrphy_bitslip00[1]), + .D3(a7ddrphy_bitslip00[2]), + .D4(a7ddrphy_bitslip00[3]), + .D5(a7ddrphy_bitslip00[4]), + .D6(a7ddrphy_bitslip00[5]), + .D7(a7ddrphy_bitslip00[6]), + .D8(a7ddrphy_bitslip00[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OFB(main_a7ddrphy0), - .OQ(main_a7ddrphy_dqs_o_no_delay0), - .TQ(main_a7ddrphy_dqs_t0) + .OFB(a7ddrphy0), + .OQ(a7ddrphy_dqs_o_no_delay0), + .TQ(a7ddrphy_dqs_t0) ); IOBUFDS IOBUFDS( - .I(main_a7ddrphy_dqs_o_no_delay0), - .T(main_a7ddrphy_dqs_t0), + .I(a7ddrphy_dqs_o_no_delay0), + .T(a7ddrphy_dqs_t0), .IO(ddram_dqs_p[0]), .IOB(ddram_dqs_n[0]) ); @@ -14076,26 +14519,26 @@ OSERDESE2 #( ) OSERDESE2_26 ( .CLK(sys4x_dqs_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip10[0]), - .D2(main_a7ddrphy_bitslip10[1]), - .D3(main_a7ddrphy_bitslip10[2]), - .D4(main_a7ddrphy_bitslip10[3]), - .D5(main_a7ddrphy_bitslip10[4]), - .D6(main_a7ddrphy_bitslip10[5]), - .D7(main_a7ddrphy_bitslip10[6]), - .D8(main_a7ddrphy_bitslip10[7]), + .D1(a7ddrphy_bitslip10[0]), + .D2(a7ddrphy_bitslip10[1]), + .D3(a7ddrphy_bitslip10[2]), + .D4(a7ddrphy_bitslip10[3]), + .D5(a7ddrphy_bitslip10[4]), + .D6(a7ddrphy_bitslip10[5]), + .D7(a7ddrphy_bitslip10[6]), + .D8(a7ddrphy_bitslip10[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OFB(main_a7ddrphy1), - .OQ(main_a7ddrphy_dqs_o_no_delay1), - .TQ(main_a7ddrphy_dqs_t1) + .OFB(a7ddrphy1), + .OQ(a7ddrphy_dqs_o_no_delay1), + .TQ(a7ddrphy_dqs_t1) ); IOBUFDS IOBUFDS_1( - .I(main_a7ddrphy_dqs_o_no_delay1), - .T(main_a7ddrphy_dqs_t1), + .I(a7ddrphy_dqs_o_no_delay1), + .T(a7ddrphy_dqs_t1), .IO(ddram_dqs_p[1]), .IOB(ddram_dqs_n[1]) ); @@ -14109,16 +14552,16 @@ OSERDESE2 #( ) OSERDESE2_27 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip01[0]), - .D2(main_a7ddrphy_bitslip01[1]), - .D3(main_a7ddrphy_bitslip01[2]), - .D4(main_a7ddrphy_bitslip01[3]), - .D5(main_a7ddrphy_bitslip01[4]), - .D6(main_a7ddrphy_bitslip01[5]), - .D7(main_a7ddrphy_bitslip01[6]), - .D8(main_a7ddrphy_bitslip01[7]), + .D1(a7ddrphy_bitslip01[0]), + .D2(a7ddrphy_bitslip01[1]), + .D3(a7ddrphy_bitslip01[2]), + .D4(a7ddrphy_bitslip01[3]), + .D5(a7ddrphy_bitslip01[4]), + .D6(a7ddrphy_bitslip01[5]), + .D7(a7ddrphy_bitslip01[6]), + .D8(a7ddrphy_bitslip01[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_dm[0]) ); @@ -14131,16 +14574,16 @@ OSERDESE2 #( ) OSERDESE2_28 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip11[0]), - .D2(main_a7ddrphy_bitslip11[1]), - .D3(main_a7ddrphy_bitslip11[2]), - .D4(main_a7ddrphy_bitslip11[3]), - .D5(main_a7ddrphy_bitslip11[4]), - .D6(main_a7ddrphy_bitslip11[5]), - .D7(main_a7ddrphy_bitslip11[6]), - .D8(main_a7ddrphy_bitslip11[7]), + .D1(a7ddrphy_bitslip11[0]), + .D2(a7ddrphy_bitslip11[1]), + .D3(a7ddrphy_bitslip11[2]), + .D4(a7ddrphy_bitslip11[3]), + .D5(a7ddrphy_bitslip11[4]), + .D6(a7ddrphy_bitslip11[5]), + .D7(a7ddrphy_bitslip11[6]), + .D8(a7ddrphy_bitslip11[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_dm[1]) ); @@ -14153,20 +14596,20 @@ OSERDESE2 #( ) OSERDESE2_29 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip02[0]), - .D2(main_a7ddrphy_bitslip02[1]), - .D3(main_a7ddrphy_bitslip02[2]), - .D4(main_a7ddrphy_bitslip02[3]), - .D5(main_a7ddrphy_bitslip02[4]), - .D6(main_a7ddrphy_bitslip02[5]), - .D7(main_a7ddrphy_bitslip02[6]), - .D8(main_a7ddrphy_bitslip02[7]), + .D1(a7ddrphy_bitslip02[0]), + .D2(a7ddrphy_bitslip02[1]), + .D3(a7ddrphy_bitslip02[2]), + .D4(a7ddrphy_bitslip02[3]), + .D5(a7ddrphy_bitslip02[4]), + .D6(a7ddrphy_bitslip02[5]), + .D7(a7ddrphy_bitslip02[6]), + .D8(a7ddrphy_bitslip02[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay0), - .TQ(main_a7ddrphy_dq_t0) + .OQ(a7ddrphy_dq_o_nodelay0), + .TQ(a7ddrphy_dq_t0) ); ISERDESE2 #( @@ -14182,16 +14625,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed0), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip03[7]), - .Q2(main_a7ddrphy_bitslip03[6]), - .Q3(main_a7ddrphy_bitslip03[5]), - .Q4(main_a7ddrphy_bitslip03[4]), - .Q5(main_a7ddrphy_bitslip03[3]), - .Q6(main_a7ddrphy_bitslip03[2]), - .Q7(main_a7ddrphy_bitslip03[1]), - .Q8(main_a7ddrphy_bitslip03[0]) + .DDLY(a7ddrphy_dq_i_delayed0), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip03[7]), + .Q2(a7ddrphy_bitslip03[6]), + .Q3(a7ddrphy_bitslip03[5]), + .Q4(a7ddrphy_bitslip03[4]), + .Q5(a7ddrphy_bitslip03[3]), + .Q6(a7ddrphy_bitslip03[2]), + .Q7(a7ddrphy_bitslip03[1]), + .Q8(a7ddrphy_bitslip03[0]) ); IDELAYE2 #( @@ -14205,19 +14648,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay0), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay0), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed0) + .DATAOUT(a7ddrphy_dq_i_delayed0) ); IOBUF IOBUF( - .I(main_a7ddrphy_dq_o_nodelay0), - .T(main_a7ddrphy_dq_t0), + .I(a7ddrphy_dq_o_nodelay0), + .T(a7ddrphy_dq_t0), .IO(ddram_dq[0]), - .O(main_a7ddrphy_dq_i_nodelay0) + .O(a7ddrphy_dq_i_nodelay0) ); OSERDESE2 #( @@ -14229,20 +14672,20 @@ OSERDESE2 #( ) OSERDESE2_30 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip12[0]), - .D2(main_a7ddrphy_bitslip12[1]), - .D3(main_a7ddrphy_bitslip12[2]), - .D4(main_a7ddrphy_bitslip12[3]), - .D5(main_a7ddrphy_bitslip12[4]), - .D6(main_a7ddrphy_bitslip12[5]), - .D7(main_a7ddrphy_bitslip12[6]), - .D8(main_a7ddrphy_bitslip12[7]), + .D1(a7ddrphy_bitslip12[0]), + .D2(a7ddrphy_bitslip12[1]), + .D3(a7ddrphy_bitslip12[2]), + .D4(a7ddrphy_bitslip12[3]), + .D5(a7ddrphy_bitslip12[4]), + .D6(a7ddrphy_bitslip12[5]), + .D7(a7ddrphy_bitslip12[6]), + .D8(a7ddrphy_bitslip12[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay1), - .TQ(main_a7ddrphy_dq_t1) + .OQ(a7ddrphy_dq_o_nodelay1), + .TQ(a7ddrphy_dq_t1) ); ISERDESE2 #( @@ -14258,16 +14701,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip13[7]), - .Q2(main_a7ddrphy_bitslip13[6]), - .Q3(main_a7ddrphy_bitslip13[5]), - .Q4(main_a7ddrphy_bitslip13[4]), - .Q5(main_a7ddrphy_bitslip13[3]), - .Q6(main_a7ddrphy_bitslip13[2]), - .Q7(main_a7ddrphy_bitslip13[1]), - .Q8(main_a7ddrphy_bitslip13[0]) + .DDLY(a7ddrphy_dq_i_delayed1), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip13[7]), + .Q2(a7ddrphy_bitslip13[6]), + .Q3(a7ddrphy_bitslip13[5]), + .Q4(a7ddrphy_bitslip13[4]), + .Q5(a7ddrphy_bitslip13[3]), + .Q6(a7ddrphy_bitslip13[2]), + .Q7(a7ddrphy_bitslip13[1]), + .Q8(a7ddrphy_bitslip13[0]) ); IDELAYE2 #( @@ -14281,19 +14724,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_1 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay1), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay1), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed1) + .DATAOUT(a7ddrphy_dq_i_delayed1) ); IOBUF IOBUF_1( - .I(main_a7ddrphy_dq_o_nodelay1), - .T(main_a7ddrphy_dq_t1), + .I(a7ddrphy_dq_o_nodelay1), + .T(a7ddrphy_dq_t1), .IO(ddram_dq[1]), - .O(main_a7ddrphy_dq_i_nodelay1) + .O(a7ddrphy_dq_i_nodelay1) ); OSERDESE2 #( @@ -14305,20 +14748,20 @@ OSERDESE2 #( ) OSERDESE2_31 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip20[0]), - .D2(main_a7ddrphy_bitslip20[1]), - .D3(main_a7ddrphy_bitslip20[2]), - .D4(main_a7ddrphy_bitslip20[3]), - .D5(main_a7ddrphy_bitslip20[4]), - .D6(main_a7ddrphy_bitslip20[5]), - .D7(main_a7ddrphy_bitslip20[6]), - .D8(main_a7ddrphy_bitslip20[7]), + .D1(a7ddrphy_bitslip20[0]), + .D2(a7ddrphy_bitslip20[1]), + .D3(a7ddrphy_bitslip20[2]), + .D4(a7ddrphy_bitslip20[3]), + .D5(a7ddrphy_bitslip20[4]), + .D6(a7ddrphy_bitslip20[5]), + .D7(a7ddrphy_bitslip20[6]), + .D8(a7ddrphy_bitslip20[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay2), - .TQ(main_a7ddrphy_dq_t2) + .OQ(a7ddrphy_dq_o_nodelay2), + .TQ(a7ddrphy_dq_t2) ); ISERDESE2 #( @@ -14334,16 +14777,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed2), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip21[7]), - .Q2(main_a7ddrphy_bitslip21[6]), - .Q3(main_a7ddrphy_bitslip21[5]), - .Q4(main_a7ddrphy_bitslip21[4]), - .Q5(main_a7ddrphy_bitslip21[3]), - .Q6(main_a7ddrphy_bitslip21[2]), - .Q7(main_a7ddrphy_bitslip21[1]), - .Q8(main_a7ddrphy_bitslip21[0]) + .DDLY(a7ddrphy_dq_i_delayed2), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip21[7]), + .Q2(a7ddrphy_bitslip21[6]), + .Q3(a7ddrphy_bitslip21[5]), + .Q4(a7ddrphy_bitslip21[4]), + .Q5(a7ddrphy_bitslip21[3]), + .Q6(a7ddrphy_bitslip21[2]), + .Q7(a7ddrphy_bitslip21[1]), + .Q8(a7ddrphy_bitslip21[0]) ); IDELAYE2 #( @@ -14357,19 +14800,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_2 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay2), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay2), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed2) + .DATAOUT(a7ddrphy_dq_i_delayed2) ); IOBUF IOBUF_2( - .I(main_a7ddrphy_dq_o_nodelay2), - .T(main_a7ddrphy_dq_t2), + .I(a7ddrphy_dq_o_nodelay2), + .T(a7ddrphy_dq_t2), .IO(ddram_dq[2]), - .O(main_a7ddrphy_dq_i_nodelay2) + .O(a7ddrphy_dq_i_nodelay2) ); OSERDESE2 #( @@ -14381,20 +14824,20 @@ OSERDESE2 #( ) OSERDESE2_32 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip30[0]), - .D2(main_a7ddrphy_bitslip30[1]), - .D3(main_a7ddrphy_bitslip30[2]), - .D4(main_a7ddrphy_bitslip30[3]), - .D5(main_a7ddrphy_bitslip30[4]), - .D6(main_a7ddrphy_bitslip30[5]), - .D7(main_a7ddrphy_bitslip30[6]), - .D8(main_a7ddrphy_bitslip30[7]), + .D1(a7ddrphy_bitslip30[0]), + .D2(a7ddrphy_bitslip30[1]), + .D3(a7ddrphy_bitslip30[2]), + .D4(a7ddrphy_bitslip30[3]), + .D5(a7ddrphy_bitslip30[4]), + .D6(a7ddrphy_bitslip30[5]), + .D7(a7ddrphy_bitslip30[6]), + .D8(a7ddrphy_bitslip30[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay3), - .TQ(main_a7ddrphy_dq_t3) + .OQ(a7ddrphy_dq_o_nodelay3), + .TQ(a7ddrphy_dq_t3) ); ISERDESE2 #( @@ -14410,16 +14853,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed3), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip31[7]), - .Q2(main_a7ddrphy_bitslip31[6]), - .Q3(main_a7ddrphy_bitslip31[5]), - .Q4(main_a7ddrphy_bitslip31[4]), - .Q5(main_a7ddrphy_bitslip31[3]), - .Q6(main_a7ddrphy_bitslip31[2]), - .Q7(main_a7ddrphy_bitslip31[1]), - .Q8(main_a7ddrphy_bitslip31[0]) + .DDLY(a7ddrphy_dq_i_delayed3), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip31[7]), + .Q2(a7ddrphy_bitslip31[6]), + .Q3(a7ddrphy_bitslip31[5]), + .Q4(a7ddrphy_bitslip31[4]), + .Q5(a7ddrphy_bitslip31[3]), + .Q6(a7ddrphy_bitslip31[2]), + .Q7(a7ddrphy_bitslip31[1]), + .Q8(a7ddrphy_bitslip31[0]) ); IDELAYE2 #( @@ -14433,19 +14876,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_3 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay3), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay3), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed3) + .DATAOUT(a7ddrphy_dq_i_delayed3) ); IOBUF IOBUF_3( - .I(main_a7ddrphy_dq_o_nodelay3), - .T(main_a7ddrphy_dq_t3), + .I(a7ddrphy_dq_o_nodelay3), + .T(a7ddrphy_dq_t3), .IO(ddram_dq[3]), - .O(main_a7ddrphy_dq_i_nodelay3) + .O(a7ddrphy_dq_i_nodelay3) ); OSERDESE2 #( @@ -14457,20 +14900,20 @@ OSERDESE2 #( ) OSERDESE2_33 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip40[0]), - .D2(main_a7ddrphy_bitslip40[1]), - .D3(main_a7ddrphy_bitslip40[2]), - .D4(main_a7ddrphy_bitslip40[3]), - .D5(main_a7ddrphy_bitslip40[4]), - .D6(main_a7ddrphy_bitslip40[5]), - .D7(main_a7ddrphy_bitslip40[6]), - .D8(main_a7ddrphy_bitslip40[7]), + .D1(a7ddrphy_bitslip40[0]), + .D2(a7ddrphy_bitslip40[1]), + .D3(a7ddrphy_bitslip40[2]), + .D4(a7ddrphy_bitslip40[3]), + .D5(a7ddrphy_bitslip40[4]), + .D6(a7ddrphy_bitslip40[5]), + .D7(a7ddrphy_bitslip40[6]), + .D8(a7ddrphy_bitslip40[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay4), - .TQ(main_a7ddrphy_dq_t4) + .OQ(a7ddrphy_dq_o_nodelay4), + .TQ(a7ddrphy_dq_t4) ); ISERDESE2 #( @@ -14486,16 +14929,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed4), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip41[7]), - .Q2(main_a7ddrphy_bitslip41[6]), - .Q3(main_a7ddrphy_bitslip41[5]), - .Q4(main_a7ddrphy_bitslip41[4]), - .Q5(main_a7ddrphy_bitslip41[3]), - .Q6(main_a7ddrphy_bitslip41[2]), - .Q7(main_a7ddrphy_bitslip41[1]), - .Q8(main_a7ddrphy_bitslip41[0]) + .DDLY(a7ddrphy_dq_i_delayed4), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip41[7]), + .Q2(a7ddrphy_bitslip41[6]), + .Q3(a7ddrphy_bitslip41[5]), + .Q4(a7ddrphy_bitslip41[4]), + .Q5(a7ddrphy_bitslip41[3]), + .Q6(a7ddrphy_bitslip41[2]), + .Q7(a7ddrphy_bitslip41[1]), + .Q8(a7ddrphy_bitslip41[0]) ); IDELAYE2 #( @@ -14509,19 +14952,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_4 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay4), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay4), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed4) + .DATAOUT(a7ddrphy_dq_i_delayed4) ); IOBUF IOBUF_4( - .I(main_a7ddrphy_dq_o_nodelay4), - .T(main_a7ddrphy_dq_t4), + .I(a7ddrphy_dq_o_nodelay4), + .T(a7ddrphy_dq_t4), .IO(ddram_dq[4]), - .O(main_a7ddrphy_dq_i_nodelay4) + .O(a7ddrphy_dq_i_nodelay4) ); OSERDESE2 #( @@ -14533,20 +14976,20 @@ OSERDESE2 #( ) OSERDESE2_34 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip50[0]), - .D2(main_a7ddrphy_bitslip50[1]), - .D3(main_a7ddrphy_bitslip50[2]), - .D4(main_a7ddrphy_bitslip50[3]), - .D5(main_a7ddrphy_bitslip50[4]), - .D6(main_a7ddrphy_bitslip50[5]), - .D7(main_a7ddrphy_bitslip50[6]), - .D8(main_a7ddrphy_bitslip50[7]), + .D1(a7ddrphy_bitslip50[0]), + .D2(a7ddrphy_bitslip50[1]), + .D3(a7ddrphy_bitslip50[2]), + .D4(a7ddrphy_bitslip50[3]), + .D5(a7ddrphy_bitslip50[4]), + .D6(a7ddrphy_bitslip50[5]), + .D7(a7ddrphy_bitslip50[6]), + .D8(a7ddrphy_bitslip50[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay5), - .TQ(main_a7ddrphy_dq_t5) + .OQ(a7ddrphy_dq_o_nodelay5), + .TQ(a7ddrphy_dq_t5) ); ISERDESE2 #( @@ -14562,16 +15005,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed5), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip51[7]), - .Q2(main_a7ddrphy_bitslip51[6]), - .Q3(main_a7ddrphy_bitslip51[5]), - .Q4(main_a7ddrphy_bitslip51[4]), - .Q5(main_a7ddrphy_bitslip51[3]), - .Q6(main_a7ddrphy_bitslip51[2]), - .Q7(main_a7ddrphy_bitslip51[1]), - .Q8(main_a7ddrphy_bitslip51[0]) + .DDLY(a7ddrphy_dq_i_delayed5), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip51[7]), + .Q2(a7ddrphy_bitslip51[6]), + .Q3(a7ddrphy_bitslip51[5]), + .Q4(a7ddrphy_bitslip51[4]), + .Q5(a7ddrphy_bitslip51[3]), + .Q6(a7ddrphy_bitslip51[2]), + .Q7(a7ddrphy_bitslip51[1]), + .Q8(a7ddrphy_bitslip51[0]) ); IDELAYE2 #( @@ -14585,19 +15028,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_5 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay5), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay5), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed5) + .DATAOUT(a7ddrphy_dq_i_delayed5) ); IOBUF IOBUF_5( - .I(main_a7ddrphy_dq_o_nodelay5), - .T(main_a7ddrphy_dq_t5), + .I(a7ddrphy_dq_o_nodelay5), + .T(a7ddrphy_dq_t5), .IO(ddram_dq[5]), - .O(main_a7ddrphy_dq_i_nodelay5) + .O(a7ddrphy_dq_i_nodelay5) ); OSERDESE2 #( @@ -14609,20 +15052,20 @@ OSERDESE2 #( ) OSERDESE2_35 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip60[0]), - .D2(main_a7ddrphy_bitslip60[1]), - .D3(main_a7ddrphy_bitslip60[2]), - .D4(main_a7ddrphy_bitslip60[3]), - .D5(main_a7ddrphy_bitslip60[4]), - .D6(main_a7ddrphy_bitslip60[5]), - .D7(main_a7ddrphy_bitslip60[6]), - .D8(main_a7ddrphy_bitslip60[7]), + .D1(a7ddrphy_bitslip60[0]), + .D2(a7ddrphy_bitslip60[1]), + .D3(a7ddrphy_bitslip60[2]), + .D4(a7ddrphy_bitslip60[3]), + .D5(a7ddrphy_bitslip60[4]), + .D6(a7ddrphy_bitslip60[5]), + .D7(a7ddrphy_bitslip60[6]), + .D8(a7ddrphy_bitslip60[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay6), - .TQ(main_a7ddrphy_dq_t6) + .OQ(a7ddrphy_dq_o_nodelay6), + .TQ(a7ddrphy_dq_t6) ); ISERDESE2 #( @@ -14638,16 +15081,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed6), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip61[7]), - .Q2(main_a7ddrphy_bitslip61[6]), - .Q3(main_a7ddrphy_bitslip61[5]), - .Q4(main_a7ddrphy_bitslip61[4]), - .Q5(main_a7ddrphy_bitslip61[3]), - .Q6(main_a7ddrphy_bitslip61[2]), - .Q7(main_a7ddrphy_bitslip61[1]), - .Q8(main_a7ddrphy_bitslip61[0]) + .DDLY(a7ddrphy_dq_i_delayed6), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip61[7]), + .Q2(a7ddrphy_bitslip61[6]), + .Q3(a7ddrphy_bitslip61[5]), + .Q4(a7ddrphy_bitslip61[4]), + .Q5(a7ddrphy_bitslip61[3]), + .Q6(a7ddrphy_bitslip61[2]), + .Q7(a7ddrphy_bitslip61[1]), + .Q8(a7ddrphy_bitslip61[0]) ); IDELAYE2 #( @@ -14661,19 +15104,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_6 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay6), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay6), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed6) + .DATAOUT(a7ddrphy_dq_i_delayed6) ); IOBUF IOBUF_6( - .I(main_a7ddrphy_dq_o_nodelay6), - .T(main_a7ddrphy_dq_t6), + .I(a7ddrphy_dq_o_nodelay6), + .T(a7ddrphy_dq_t6), .IO(ddram_dq[6]), - .O(main_a7ddrphy_dq_i_nodelay6) + .O(a7ddrphy_dq_i_nodelay6) ); OSERDESE2 #( @@ -14685,20 +15128,20 @@ OSERDESE2 #( ) OSERDESE2_36 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip70[0]), - .D2(main_a7ddrphy_bitslip70[1]), - .D3(main_a7ddrphy_bitslip70[2]), - .D4(main_a7ddrphy_bitslip70[3]), - .D5(main_a7ddrphy_bitslip70[4]), - .D6(main_a7ddrphy_bitslip70[5]), - .D7(main_a7ddrphy_bitslip70[6]), - .D8(main_a7ddrphy_bitslip70[7]), + .D1(a7ddrphy_bitslip70[0]), + .D2(a7ddrphy_bitslip70[1]), + .D3(a7ddrphy_bitslip70[2]), + .D4(a7ddrphy_bitslip70[3]), + .D5(a7ddrphy_bitslip70[4]), + .D6(a7ddrphy_bitslip70[5]), + .D7(a7ddrphy_bitslip70[6]), + .D8(a7ddrphy_bitslip70[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay7), - .TQ(main_a7ddrphy_dq_t7) + .OQ(a7ddrphy_dq_o_nodelay7), + .TQ(a7ddrphy_dq_t7) ); ISERDESE2 #( @@ -14714,16 +15157,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed7), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip71[7]), - .Q2(main_a7ddrphy_bitslip71[6]), - .Q3(main_a7ddrphy_bitslip71[5]), - .Q4(main_a7ddrphy_bitslip71[4]), - .Q5(main_a7ddrphy_bitslip71[3]), - .Q6(main_a7ddrphy_bitslip71[2]), - .Q7(main_a7ddrphy_bitslip71[1]), - .Q8(main_a7ddrphy_bitslip71[0]) + .DDLY(a7ddrphy_dq_i_delayed7), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip71[7]), + .Q2(a7ddrphy_bitslip71[6]), + .Q3(a7ddrphy_bitslip71[5]), + .Q4(a7ddrphy_bitslip71[4]), + .Q5(a7ddrphy_bitslip71[3]), + .Q6(a7ddrphy_bitslip71[2]), + .Q7(a7ddrphy_bitslip71[1]), + .Q8(a7ddrphy_bitslip71[0]) ); IDELAYE2 #( @@ -14737,19 +15180,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_7 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay7), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay7), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed7) + .DATAOUT(a7ddrphy_dq_i_delayed7) ); IOBUF IOBUF_7( - .I(main_a7ddrphy_dq_o_nodelay7), - .T(main_a7ddrphy_dq_t7), + .I(a7ddrphy_dq_o_nodelay7), + .T(a7ddrphy_dq_t7), .IO(ddram_dq[7]), - .O(main_a7ddrphy_dq_i_nodelay7) + .O(a7ddrphy_dq_i_nodelay7) ); OSERDESE2 #( @@ -14761,20 +15204,20 @@ OSERDESE2 #( ) OSERDESE2_37 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip80[0]), - .D2(main_a7ddrphy_bitslip80[1]), - .D3(main_a7ddrphy_bitslip80[2]), - .D4(main_a7ddrphy_bitslip80[3]), - .D5(main_a7ddrphy_bitslip80[4]), - .D6(main_a7ddrphy_bitslip80[5]), - .D7(main_a7ddrphy_bitslip80[6]), - .D8(main_a7ddrphy_bitslip80[7]), + .D1(a7ddrphy_bitslip80[0]), + .D2(a7ddrphy_bitslip80[1]), + .D3(a7ddrphy_bitslip80[2]), + .D4(a7ddrphy_bitslip80[3]), + .D5(a7ddrphy_bitslip80[4]), + .D6(a7ddrphy_bitslip80[5]), + .D7(a7ddrphy_bitslip80[6]), + .D8(a7ddrphy_bitslip80[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay8), - .TQ(main_a7ddrphy_dq_t8) + .OQ(a7ddrphy_dq_o_nodelay8), + .TQ(a7ddrphy_dq_t8) ); ISERDESE2 #( @@ -14790,16 +15233,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed8), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip81[7]), - .Q2(main_a7ddrphy_bitslip81[6]), - .Q3(main_a7ddrphy_bitslip81[5]), - .Q4(main_a7ddrphy_bitslip81[4]), - .Q5(main_a7ddrphy_bitslip81[3]), - .Q6(main_a7ddrphy_bitslip81[2]), - .Q7(main_a7ddrphy_bitslip81[1]), - .Q8(main_a7ddrphy_bitslip81[0]) + .DDLY(a7ddrphy_dq_i_delayed8), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip81[7]), + .Q2(a7ddrphy_bitslip81[6]), + .Q3(a7ddrphy_bitslip81[5]), + .Q4(a7ddrphy_bitslip81[4]), + .Q5(a7ddrphy_bitslip81[3]), + .Q6(a7ddrphy_bitslip81[2]), + .Q7(a7ddrphy_bitslip81[1]), + .Q8(a7ddrphy_bitslip81[0]) ); IDELAYE2 #( @@ -14813,19 +15256,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_8 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay8), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay8), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed8) + .DATAOUT(a7ddrphy_dq_i_delayed8) ); IOBUF IOBUF_8( - .I(main_a7ddrphy_dq_o_nodelay8), - .T(main_a7ddrphy_dq_t8), + .I(a7ddrphy_dq_o_nodelay8), + .T(a7ddrphy_dq_t8), .IO(ddram_dq[8]), - .O(main_a7ddrphy_dq_i_nodelay8) + .O(a7ddrphy_dq_i_nodelay8) ); OSERDESE2 #( @@ -14837,20 +15280,20 @@ OSERDESE2 #( ) OSERDESE2_38 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip90[0]), - .D2(main_a7ddrphy_bitslip90[1]), - .D3(main_a7ddrphy_bitslip90[2]), - .D4(main_a7ddrphy_bitslip90[3]), - .D5(main_a7ddrphy_bitslip90[4]), - .D6(main_a7ddrphy_bitslip90[5]), - .D7(main_a7ddrphy_bitslip90[6]), - .D8(main_a7ddrphy_bitslip90[7]), + .D1(a7ddrphy_bitslip90[0]), + .D2(a7ddrphy_bitslip90[1]), + .D3(a7ddrphy_bitslip90[2]), + .D4(a7ddrphy_bitslip90[3]), + .D5(a7ddrphy_bitslip90[4]), + .D6(a7ddrphy_bitslip90[5]), + .D7(a7ddrphy_bitslip90[6]), + .D8(a7ddrphy_bitslip90[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay9), - .TQ(main_a7ddrphy_dq_t9) + .OQ(a7ddrphy_dq_o_nodelay9), + .TQ(a7ddrphy_dq_t9) ); ISERDESE2 #( @@ -14866,16 +15309,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed9), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip91[7]), - .Q2(main_a7ddrphy_bitslip91[6]), - .Q3(main_a7ddrphy_bitslip91[5]), - .Q4(main_a7ddrphy_bitslip91[4]), - .Q5(main_a7ddrphy_bitslip91[3]), - .Q6(main_a7ddrphy_bitslip91[2]), - .Q7(main_a7ddrphy_bitslip91[1]), - .Q8(main_a7ddrphy_bitslip91[0]) + .DDLY(a7ddrphy_dq_i_delayed9), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip91[7]), + .Q2(a7ddrphy_bitslip91[6]), + .Q3(a7ddrphy_bitslip91[5]), + .Q4(a7ddrphy_bitslip91[4]), + .Q5(a7ddrphy_bitslip91[3]), + .Q6(a7ddrphy_bitslip91[2]), + .Q7(a7ddrphy_bitslip91[1]), + .Q8(a7ddrphy_bitslip91[0]) ); IDELAYE2 #( @@ -14889,19 +15332,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_9 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay9), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay9), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed9) + .DATAOUT(a7ddrphy_dq_i_delayed9) ); IOBUF IOBUF_9( - .I(main_a7ddrphy_dq_o_nodelay9), - .T(main_a7ddrphy_dq_t9), + .I(a7ddrphy_dq_o_nodelay9), + .T(a7ddrphy_dq_t9), .IO(ddram_dq[9]), - .O(main_a7ddrphy_dq_i_nodelay9) + .O(a7ddrphy_dq_i_nodelay9) ); OSERDESE2 #( @@ -14913,20 +15356,20 @@ OSERDESE2 #( ) OSERDESE2_39 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip100[0]), - .D2(main_a7ddrphy_bitslip100[1]), - .D3(main_a7ddrphy_bitslip100[2]), - .D4(main_a7ddrphy_bitslip100[3]), - .D5(main_a7ddrphy_bitslip100[4]), - .D6(main_a7ddrphy_bitslip100[5]), - .D7(main_a7ddrphy_bitslip100[6]), - .D8(main_a7ddrphy_bitslip100[7]), + .D1(a7ddrphy_bitslip100[0]), + .D2(a7ddrphy_bitslip100[1]), + .D3(a7ddrphy_bitslip100[2]), + .D4(a7ddrphy_bitslip100[3]), + .D5(a7ddrphy_bitslip100[4]), + .D6(a7ddrphy_bitslip100[5]), + .D7(a7ddrphy_bitslip100[6]), + .D8(a7ddrphy_bitslip100[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay10), - .TQ(main_a7ddrphy_dq_t10) + .OQ(a7ddrphy_dq_o_nodelay10), + .TQ(a7ddrphy_dq_t10) ); ISERDESE2 #( @@ -14942,16 +15385,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed10), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip101[7]), - .Q2(main_a7ddrphy_bitslip101[6]), - .Q3(main_a7ddrphy_bitslip101[5]), - .Q4(main_a7ddrphy_bitslip101[4]), - .Q5(main_a7ddrphy_bitslip101[3]), - .Q6(main_a7ddrphy_bitslip101[2]), - .Q7(main_a7ddrphy_bitslip101[1]), - .Q8(main_a7ddrphy_bitslip101[0]) + .DDLY(a7ddrphy_dq_i_delayed10), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip101[7]), + .Q2(a7ddrphy_bitslip101[6]), + .Q3(a7ddrphy_bitslip101[5]), + .Q4(a7ddrphy_bitslip101[4]), + .Q5(a7ddrphy_bitslip101[3]), + .Q6(a7ddrphy_bitslip101[2]), + .Q7(a7ddrphy_bitslip101[1]), + .Q8(a7ddrphy_bitslip101[0]) ); IDELAYE2 #( @@ -14965,19 +15408,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_10 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay10), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay10), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed10) + .DATAOUT(a7ddrphy_dq_i_delayed10) ); IOBUF IOBUF_10( - .I(main_a7ddrphy_dq_o_nodelay10), - .T(main_a7ddrphy_dq_t10), + .I(a7ddrphy_dq_o_nodelay10), + .T(a7ddrphy_dq_t10), .IO(ddram_dq[10]), - .O(main_a7ddrphy_dq_i_nodelay10) + .O(a7ddrphy_dq_i_nodelay10) ); OSERDESE2 #( @@ -14989,20 +15432,20 @@ OSERDESE2 #( ) OSERDESE2_40 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip110[0]), - .D2(main_a7ddrphy_bitslip110[1]), - .D3(main_a7ddrphy_bitslip110[2]), - .D4(main_a7ddrphy_bitslip110[3]), - .D5(main_a7ddrphy_bitslip110[4]), - .D6(main_a7ddrphy_bitslip110[5]), - .D7(main_a7ddrphy_bitslip110[6]), - .D8(main_a7ddrphy_bitslip110[7]), + .D1(a7ddrphy_bitslip110[0]), + .D2(a7ddrphy_bitslip110[1]), + .D3(a7ddrphy_bitslip110[2]), + .D4(a7ddrphy_bitslip110[3]), + .D5(a7ddrphy_bitslip110[4]), + .D6(a7ddrphy_bitslip110[5]), + .D7(a7ddrphy_bitslip110[6]), + .D8(a7ddrphy_bitslip110[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay11), - .TQ(main_a7ddrphy_dq_t11) + .OQ(a7ddrphy_dq_o_nodelay11), + .TQ(a7ddrphy_dq_t11) ); ISERDESE2 #( @@ -15018,16 +15461,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed11), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip111[7]), - .Q2(main_a7ddrphy_bitslip111[6]), - .Q3(main_a7ddrphy_bitslip111[5]), - .Q4(main_a7ddrphy_bitslip111[4]), - .Q5(main_a7ddrphy_bitslip111[3]), - .Q6(main_a7ddrphy_bitslip111[2]), - .Q7(main_a7ddrphy_bitslip111[1]), - .Q8(main_a7ddrphy_bitslip111[0]) + .DDLY(a7ddrphy_dq_i_delayed11), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip111[7]), + .Q2(a7ddrphy_bitslip111[6]), + .Q3(a7ddrphy_bitslip111[5]), + .Q4(a7ddrphy_bitslip111[4]), + .Q5(a7ddrphy_bitslip111[3]), + .Q6(a7ddrphy_bitslip111[2]), + .Q7(a7ddrphy_bitslip111[1]), + .Q8(a7ddrphy_bitslip111[0]) ); IDELAYE2 #( @@ -15041,19 +15484,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_11 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay11), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay11), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed11) + .DATAOUT(a7ddrphy_dq_i_delayed11) ); IOBUF IOBUF_11( - .I(main_a7ddrphy_dq_o_nodelay11), - .T(main_a7ddrphy_dq_t11), + .I(a7ddrphy_dq_o_nodelay11), + .T(a7ddrphy_dq_t11), .IO(ddram_dq[11]), - .O(main_a7ddrphy_dq_i_nodelay11) + .O(a7ddrphy_dq_i_nodelay11) ); OSERDESE2 #( @@ -15065,20 +15508,20 @@ OSERDESE2 #( ) OSERDESE2_41 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip120[0]), - .D2(main_a7ddrphy_bitslip120[1]), - .D3(main_a7ddrphy_bitslip120[2]), - .D4(main_a7ddrphy_bitslip120[3]), - .D5(main_a7ddrphy_bitslip120[4]), - .D6(main_a7ddrphy_bitslip120[5]), - .D7(main_a7ddrphy_bitslip120[6]), - .D8(main_a7ddrphy_bitslip120[7]), + .D1(a7ddrphy_bitslip120[0]), + .D2(a7ddrphy_bitslip120[1]), + .D3(a7ddrphy_bitslip120[2]), + .D4(a7ddrphy_bitslip120[3]), + .D5(a7ddrphy_bitslip120[4]), + .D6(a7ddrphy_bitslip120[5]), + .D7(a7ddrphy_bitslip120[6]), + .D8(a7ddrphy_bitslip120[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay12), - .TQ(main_a7ddrphy_dq_t12) + .OQ(a7ddrphy_dq_o_nodelay12), + .TQ(a7ddrphy_dq_t12) ); ISERDESE2 #( @@ -15094,16 +15537,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed12), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip121[7]), - .Q2(main_a7ddrphy_bitslip121[6]), - .Q3(main_a7ddrphy_bitslip121[5]), - .Q4(main_a7ddrphy_bitslip121[4]), - .Q5(main_a7ddrphy_bitslip121[3]), - .Q6(main_a7ddrphy_bitslip121[2]), - .Q7(main_a7ddrphy_bitslip121[1]), - .Q8(main_a7ddrphy_bitslip121[0]) + .DDLY(a7ddrphy_dq_i_delayed12), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip121[7]), + .Q2(a7ddrphy_bitslip121[6]), + .Q3(a7ddrphy_bitslip121[5]), + .Q4(a7ddrphy_bitslip121[4]), + .Q5(a7ddrphy_bitslip121[3]), + .Q6(a7ddrphy_bitslip121[2]), + .Q7(a7ddrphy_bitslip121[1]), + .Q8(a7ddrphy_bitslip121[0]) ); IDELAYE2 #( @@ -15117,19 +15560,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_12 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay12), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay12), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed12) + .DATAOUT(a7ddrphy_dq_i_delayed12) ); IOBUF IOBUF_12( - .I(main_a7ddrphy_dq_o_nodelay12), - .T(main_a7ddrphy_dq_t12), + .I(a7ddrphy_dq_o_nodelay12), + .T(a7ddrphy_dq_t12), .IO(ddram_dq[12]), - .O(main_a7ddrphy_dq_i_nodelay12) + .O(a7ddrphy_dq_i_nodelay12) ); OSERDESE2 #( @@ -15141,20 +15584,20 @@ OSERDESE2 #( ) OSERDESE2_42 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip130[0]), - .D2(main_a7ddrphy_bitslip130[1]), - .D3(main_a7ddrphy_bitslip130[2]), - .D4(main_a7ddrphy_bitslip130[3]), - .D5(main_a7ddrphy_bitslip130[4]), - .D6(main_a7ddrphy_bitslip130[5]), - .D7(main_a7ddrphy_bitslip130[6]), - .D8(main_a7ddrphy_bitslip130[7]), + .D1(a7ddrphy_bitslip130[0]), + .D2(a7ddrphy_bitslip130[1]), + .D3(a7ddrphy_bitslip130[2]), + .D4(a7ddrphy_bitslip130[3]), + .D5(a7ddrphy_bitslip130[4]), + .D6(a7ddrphy_bitslip130[5]), + .D7(a7ddrphy_bitslip130[6]), + .D8(a7ddrphy_bitslip130[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay13), - .TQ(main_a7ddrphy_dq_t13) + .OQ(a7ddrphy_dq_o_nodelay13), + .TQ(a7ddrphy_dq_t13) ); ISERDESE2 #( @@ -15170,16 +15613,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed13), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip131[7]), - .Q2(main_a7ddrphy_bitslip131[6]), - .Q3(main_a7ddrphy_bitslip131[5]), - .Q4(main_a7ddrphy_bitslip131[4]), - .Q5(main_a7ddrphy_bitslip131[3]), - .Q6(main_a7ddrphy_bitslip131[2]), - .Q7(main_a7ddrphy_bitslip131[1]), - .Q8(main_a7ddrphy_bitslip131[0]) + .DDLY(a7ddrphy_dq_i_delayed13), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip131[7]), + .Q2(a7ddrphy_bitslip131[6]), + .Q3(a7ddrphy_bitslip131[5]), + .Q4(a7ddrphy_bitslip131[4]), + .Q5(a7ddrphy_bitslip131[3]), + .Q6(a7ddrphy_bitslip131[2]), + .Q7(a7ddrphy_bitslip131[1]), + .Q8(a7ddrphy_bitslip131[0]) ); IDELAYE2 #( @@ -15193,19 +15636,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_13 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay13), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay13), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed13) + .DATAOUT(a7ddrphy_dq_i_delayed13) ); IOBUF IOBUF_13( - .I(main_a7ddrphy_dq_o_nodelay13), - .T(main_a7ddrphy_dq_t13), + .I(a7ddrphy_dq_o_nodelay13), + .T(a7ddrphy_dq_t13), .IO(ddram_dq[13]), - .O(main_a7ddrphy_dq_i_nodelay13) + .O(a7ddrphy_dq_i_nodelay13) ); OSERDESE2 #( @@ -15217,20 +15660,20 @@ OSERDESE2 #( ) OSERDESE2_43 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip140[0]), - .D2(main_a7ddrphy_bitslip140[1]), - .D3(main_a7ddrphy_bitslip140[2]), - .D4(main_a7ddrphy_bitslip140[3]), - .D5(main_a7ddrphy_bitslip140[4]), - .D6(main_a7ddrphy_bitslip140[5]), - .D7(main_a7ddrphy_bitslip140[6]), - .D8(main_a7ddrphy_bitslip140[7]), + .D1(a7ddrphy_bitslip140[0]), + .D2(a7ddrphy_bitslip140[1]), + .D3(a7ddrphy_bitslip140[2]), + .D4(a7ddrphy_bitslip140[3]), + .D5(a7ddrphy_bitslip140[4]), + .D6(a7ddrphy_bitslip140[5]), + .D7(a7ddrphy_bitslip140[6]), + .D8(a7ddrphy_bitslip140[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay14), - .TQ(main_a7ddrphy_dq_t14) + .OQ(a7ddrphy_dq_o_nodelay14), + .TQ(a7ddrphy_dq_t14) ); ISERDESE2 #( @@ -15246,16 +15689,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed14), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip141[7]), - .Q2(main_a7ddrphy_bitslip141[6]), - .Q3(main_a7ddrphy_bitslip141[5]), - .Q4(main_a7ddrphy_bitslip141[4]), - .Q5(main_a7ddrphy_bitslip141[3]), - .Q6(main_a7ddrphy_bitslip141[2]), - .Q7(main_a7ddrphy_bitslip141[1]), - .Q8(main_a7ddrphy_bitslip141[0]) + .DDLY(a7ddrphy_dq_i_delayed14), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip141[7]), + .Q2(a7ddrphy_bitslip141[6]), + .Q3(a7ddrphy_bitslip141[5]), + .Q4(a7ddrphy_bitslip141[4]), + .Q5(a7ddrphy_bitslip141[3]), + .Q6(a7ddrphy_bitslip141[2]), + .Q7(a7ddrphy_bitslip141[1]), + .Q8(a7ddrphy_bitslip141[0]) ); IDELAYE2 #( @@ -15269,19 +15712,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_14 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay14), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay14), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed14) + .DATAOUT(a7ddrphy_dq_i_delayed14) ); IOBUF IOBUF_14( - .I(main_a7ddrphy_dq_o_nodelay14), - .T(main_a7ddrphy_dq_t14), + .I(a7ddrphy_dq_o_nodelay14), + .T(a7ddrphy_dq_t14), .IO(ddram_dq[14]), - .O(main_a7ddrphy_dq_i_nodelay14) + .O(a7ddrphy_dq_i_nodelay14) ); OSERDESE2 #( @@ -15293,20 +15736,20 @@ OSERDESE2 #( ) OSERDESE2_44 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip150[0]), - .D2(main_a7ddrphy_bitslip150[1]), - .D3(main_a7ddrphy_bitslip150[2]), - .D4(main_a7ddrphy_bitslip150[3]), - .D5(main_a7ddrphy_bitslip150[4]), - .D6(main_a7ddrphy_bitslip150[5]), - .D7(main_a7ddrphy_bitslip150[6]), - .D8(main_a7ddrphy_bitslip150[7]), + .D1(a7ddrphy_bitslip150[0]), + .D2(a7ddrphy_bitslip150[1]), + .D3(a7ddrphy_bitslip150[2]), + .D4(a7ddrphy_bitslip150[3]), + .D5(a7ddrphy_bitslip150[4]), + .D6(a7ddrphy_bitslip150[5]), + .D7(a7ddrphy_bitslip150[6]), + .D8(a7ddrphy_bitslip150[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay15), - .TQ(main_a7ddrphy_dq_t15) + .OQ(a7ddrphy_dq_o_nodelay15), + .TQ(a7ddrphy_dq_t15) ); ISERDESE2 #( @@ -15322,16 +15765,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed15), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip151[7]), - .Q2(main_a7ddrphy_bitslip151[6]), - .Q3(main_a7ddrphy_bitslip151[5]), - .Q4(main_a7ddrphy_bitslip151[4]), - .Q5(main_a7ddrphy_bitslip151[3]), - .Q6(main_a7ddrphy_bitslip151[2]), - .Q7(main_a7ddrphy_bitslip151[1]), - .Q8(main_a7ddrphy_bitslip151[0]) + .DDLY(a7ddrphy_dq_i_delayed15), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip151[7]), + .Q2(a7ddrphy_bitslip151[6]), + .Q3(a7ddrphy_bitslip151[5]), + .Q4(a7ddrphy_bitslip151[4]), + .Q5(a7ddrphy_bitslip151[3]), + .Q6(a7ddrphy_bitslip151[2]), + .Q7(a7ddrphy_bitslip151[1]), + .Q8(a7ddrphy_bitslip151[0]) ); IDELAYE2 #( @@ -15345,19 +15788,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_15 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay15), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay15), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed15) + .DATAOUT(a7ddrphy_dq_i_delayed15) ); IOBUF IOBUF_15( - .I(main_a7ddrphy_dq_o_nodelay15), - .T(main_a7ddrphy_dq_t15), + .I(a7ddrphy_dq_o_nodelay15), + .T(a7ddrphy_dq_t15), .IO(ddram_dq[15]), - .O(main_a7ddrphy_dq_i_nodelay15) + .O(a7ddrphy_dq_i_nodelay15) ); //------------------------------------------------------------------------------ @@ -15368,14 +15811,14 @@ IOBUF IOBUF_15( reg [23:0] storage[0:15]; reg [23:0] storage_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we) - storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; - storage_dat0 <= storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we) + storage[litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; + storage_dat0 <= storage[litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = storage_dat0; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = storage_dat0; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage[litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15386,14 +15829,14 @@ assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storag reg [23:0] storage_1[0:15]; reg [23:0] storage_1_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we) - storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; - storage_1_dat0 <= storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we) + storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; + storage_1_dat0 <= storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = storage_1_dat0; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = storage_1_dat0; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15404,14 +15847,14 @@ assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storag reg [23:0] storage_2[0:15]; reg [23:0] storage_2_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we) - storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; - storage_2_dat0 <= storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we) + storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; + storage_2_dat0 <= storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = storage_2_dat0; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = storage_2_dat0; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15422,14 +15865,14 @@ assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storag reg [23:0] storage_3[0:15]; reg [23:0] storage_3_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we) - storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; - storage_3_dat0 <= storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we) + storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; + storage_3_dat0 <= storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = storage_3_dat0; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = storage_3_dat0; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15440,14 +15883,14 @@ assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storag reg [23:0] storage_4[0:15]; reg [23:0] storage_4_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we) - storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; - storage_4_dat0 <= storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we) + storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; + storage_4_dat0 <= storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = storage_4_dat0; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = storage_4_dat0; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15458,14 +15901,14 @@ assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storag reg [23:0] storage_5[0:15]; reg [23:0] storage_5_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we) - storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; - storage_5_dat0 <= storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we) + storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; + storage_5_dat0 <= storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = storage_5_dat0; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = storage_5_dat0; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15476,14 +15919,14 @@ assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storag reg [23:0] storage_6[0:15]; reg [23:0] storage_6_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we) - storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; - storage_6_dat0 <= storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we) + storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; + storage_6_dat0 <= storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = storage_6_dat0; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = storage_6_dat0; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15494,62 +15937,78 @@ assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storag reg [23:0] storage_7[0:15]; reg [23:0] storage_7_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we) - storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; - storage_7_dat0 <= storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we) + storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; + storage_7_dat0 <= storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = storage_7_dat0; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = storage_7_dat0; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr]; -FD FD( - .C(main_clkin), - .D(main_reset), - .Q(builder_reset0) +FDCE FDCE( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(reset), + .Q(litedramcore_reset0) ); -FD FD_1( - .C(main_clkin), - .D(builder_reset0), - .Q(builder_reset1) +FDCE FDCE_1( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset0), + .Q(litedramcore_reset1) ); -FD FD_2( - .C(main_clkin), - .D(builder_reset1), - .Q(builder_reset2) +FDCE FDCE_2( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset1), + .Q(litedramcore_reset2) ); -FD FD_3( - .C(main_clkin), - .D(builder_reset2), - .Q(builder_reset3) +FDCE FDCE_3( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset2), + .Q(litedramcore_reset3) ); -FD FD_4( - .C(main_clkin), - .D(builder_reset3), - .Q(builder_reset4) +FDCE FDCE_4( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset3), + .Q(litedramcore_reset4) ); -FD FD_5( - .C(main_clkin), - .D(builder_reset4), - .Q(builder_reset5) +FDCE FDCE_5( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset4), + .Q(litedramcore_reset5) ); -FD FD_6( - .C(main_clkin), - .D(builder_reset5), - .Q(builder_reset6) +FDCE FDCE_6( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset5), + .Q(litedramcore_reset6) ); -FD FD_7( - .C(main_clkin), - .D(builder_reset6), - .Q(builder_reset7) +FDCE FDCE_7( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset6), + .Q(litedramcore_reset7) ); PLLE2_ADV #( @@ -15567,16 +16026,16 @@ PLLE2_ADV #( .REF_JITTER1(0.01), .STARTUP_WAIT("FALSE") ) PLLE2_ADV ( - .CLKFBIN(builder_pll_fb), - .CLKIN1(main_clkin), - .PWRDWN(main_power_down), - .RST(builder_reset7), - .CLKFBOUT(builder_pll_fb), - .CLKOUT0(main_clkout0), - .CLKOUT1(main_clkout1), - .CLKOUT2(main_clkout2), - .CLKOUT3(main_clkout3), - .LOCKED(main_locked) + .CLKFBIN(litedramcore_pll_fb), + .CLKIN1(clkin), + .PWRDWN(power_down), + .RST(litedramcore_reset7), + .CLKFBOUT(litedramcore_pll_fb), + .CLKOUT0(clkout0), + .CLKOUT1(clkout1), + .CLKOUT2(clkout2), + .CLKOUT3(clkout3), + .LOCKED(locked) ); (* ars_ff1 = "true", async_reg = "true" *) FDPE #( @@ -15585,8 +16044,8 @@ PLLE2_ADV #( .C(iodelay_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl0), - .Q(builder_xilinxasyncresetsynchronizerimpl0_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl0), + .Q(xilinxasyncresetsynchronizerimpl0_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15594,8 +16053,8 @@ PLLE2_ADV #( ) FDPE_1 ( .C(iodelay_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl0_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl0), + .D(xilinxasyncresetsynchronizerimpl0_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl0), .Q(iodelay_rst) ); @@ -15605,8 +16064,8 @@ PLLE2_ADV #( .C(sys_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl1), - .Q(builder_xilinxasyncresetsynchronizerimpl1_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl1), + .Q(xilinxasyncresetsynchronizerimpl1_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15614,8 +16073,8 @@ PLLE2_ADV #( ) FDPE_3 ( .C(sys_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl1_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl1), + .D(xilinxasyncresetsynchronizerimpl1_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl1), .Q(sys_rst) ); @@ -15625,8 +16084,8 @@ PLLE2_ADV #( .C(sys4x_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl2), - .Q(builder_xilinxasyncresetsynchronizerimpl2_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl2), + .Q(xilinxasyncresetsynchronizerimpl2_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15634,9 +16093,9 @@ PLLE2_ADV #( ) FDPE_5 ( .C(sys4x_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl2_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl2), - .Q(builder_xilinxasyncresetsynchronizerimpl2_expr) + .D(xilinxasyncresetsynchronizerimpl2_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl2), + .Q(xilinxasyncresetsynchronizerimpl2_expr) ); (* ars_ff1 = "true", async_reg = "true" *) FDPE #( @@ -15645,8 +16104,8 @@ PLLE2_ADV #( .C(sys4x_dqs_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl3), - .Q(builder_xilinxasyncresetsynchronizerimpl3_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl3), + .Q(xilinxasyncresetsynchronizerimpl3_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15654,13 +16113,13 @@ PLLE2_ADV #( ) FDPE_7 ( .C(sys4x_dqs_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl3_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl3), - .Q(builder_xilinxasyncresetsynchronizerimpl3_expr) + .D(xilinxasyncresetsynchronizerimpl3_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl3), + .Q(xilinxasyncresetsynchronizerimpl3_expr) ); endmodule // ----------------------------------------------------------------------------- -// Auto-Generated by LiteX on 2022-01-14 08:32:09. +// Auto-Generated by LiteX on 2022-08-04 21:06:55. //------------------------------------------------------------------------------ diff --git a/litedram/generated/genesys2/litedram_core.init b/litedram/generated/genesys2/litedram_core.init index 49b28a5..1ede481 100644 --- a/litedram/generated/genesys2/litedram_core.init +++ b/litedram/generated/genesys2/litedram_core.init @@ -7,7 +7,7 @@ a64b5a7d14004a39 6421ff00782107c6 3d80000060215f00 798c07c6618c0000 -618c10e0658cff00 +618c10d8658cff00 4e8004217d8903a6 4e8004207c6903a6 0000000000000000 @@ -519,213 +519,215 @@ a64b5a7d14004a39 0000000000000000 3c4c000100000000 7c0802a63842bcc4 -fbe1fff8fbc1fff0 -f821ff51f8010010 -f88100d83bc10020 +f8010010fbe1fff8 +f88100d8f821ff51 38800080f8a100e0 f8c100e87c651b78 -38c100d87fc3f378 +38c100d838610020 f90100f8f8e100f0 f9410108f9210100 -6000000048002f19 -7fc3f3787c7f1b78 -600000004800293d +6000000048002f15 +386100207c7f1b78 +600000004800292d 7fe3fb78382100b0 -0000000048003594 -0000028001000000 +000000004800361c +0000018001000000 000000004e800020 0000000000000000 4c00012c7c0007ac 000000004e800020 0000000000000000 -3842bc203c4c0001 -7d8000267c0802a6 -91810008480034d1 -48002939f821fed1 +3842bc283c4c0001 +7d6000267c0802a6 +9161000848003555 +48002929f821fed1 3c62ffff60000000 -4bffff3938637950 +4bffff41386379d0 788400203c80c000 7c8026ea7c0004ac 3fe0c0003c62ffff -63ff000838637970 -3c62ffff4bffff15 -386379907bff0020 -7c0004ac4bffff05 +63ff0008386379f0 +3c62ffff4bffff1d +38637a107bff0020 +7c0004ac4bffff0d 73e900017fe0feea 3c62ffff41820010 -4bfffee9386379a8 -4e00000073e90002 +4bfffef138637a28 +4d80000073e90002 3c62ffff41820010 -4bfffed1386379b0 -4d80000073e90004 +4bfffed938637a30 +4e00000073e90004 3c62ffff41820010 -4bfffeb9386379b8 +4bfffec138637a38 4d00000073e90008 3c62ffff41820010 -4bfffea1386379c0 +4bfffea938637a40 4182001073e90010 -386379d03c62ffff -73ff01004bfffe8d +38637a503c62ffff +73ff01004bfffe95 3c62ffff41820010 -4bfffe79386379e0 -3b7b79e83f62ffff -4bfffe697f63db78 -3c80c000418e0028 +4bfffe8138637a60 +3b7b7a683f62ffff +4bfffe717f63db78 +3c80c00041920028 7884002060840010 7c8026ea7c0004ac 7884b5823c62ffff -4bfffe41386379f0 -3c80c0004192004c +4bfffe4938637a70 +3c80c000418e004c 7884002060840018 7c8026ea7c0004ac 788460223c62ffff -4bfffe1938637a08 +4bfffe2138637a88 608400303c80c000 7c0004ac78840020 3c62ffff7c8026ea -38637a207884b282 -3d20c0004bfffdf5 +38637aa07884b282 +3d20c0004bfffdfd 7929002061290020 7d204eea7c0004ac 792906003c80000f 3c62ffff60844240 -38637a387c892392 -418a025c4bfffdc5 -639c00383f80c000 -7c0004ac7b9c0020 -3d40c0007f80e6ea +38637ab87c892392 +418a02604bfffdcd +63de00383fc0c000 +7c0004ac7bde0020 +3d40c0007fc0f6ea 614a600439200002 7c0004ac794a0020 3fe0c0007d2057aa 63ff60003920ff9f 7c0004ac7bff0020 7c0004ac7d20ffaa -7c0004ac7fc0feaa 7c0004ac7fa0feaa -4bfffd1d7fe0feaa +7c0004ac7f80feaa +4bfffd257fe0feaa 57e6063e3c62ffff -57c4063e57a5063e -57f8063e38637a58 -7fc9eb784bfffd45 -7d29fb7857b9063e -5529063e57da063e +57a4063e5785063e +57f8063e38637ad8 +7fa9e3784bfffd4d +7d29fb78579a063e +5529063e57b9063e 418201682c090000 -7fdef8387fdee838 -2c1e00ff57de063e -2c1a000141820154 -2c19000240820360 -73bd00bf41820010 -408201302c1d0020 +7fbdf8387fbde038 +2c1d00ff57bd063e +2c19000141820154 +2c1a000240820184 +739c00bf41820010 +408201302c1c0020 57ff063e3bffffe8 41810120281f0001 392000353fe0c000 7bff002063ff6000 7d20ffaa7c0004ac -3b4000023fc0c000 -7bde002063de6004 -7f40f7aa7c0004ac +3b4000023fa0c000 +7bbd002063bd6004 +7f40efaa7c0004ac 7d20ffaa7c0004ac -7fa0feaa7c0004ac -3c62ffff4bfffc61 -38637a7857a4063e -73a900024bfffc95 +7f80feaa7c0004ac +3c62ffff4bfffc69 +38637af85784063e +738900024bfffc9d 3c62ffff40820090 -4bfffc8138637a98 -7f40f7aa7c0004ac +4bfffc8938637b18 +7f40efaa7c0004ac 7c0004ac39200006 -4bfffc257d20ffaa -7f40f7aa7c0004ac +4bfffc2d7d20ffaa +7f40efaa7c0004ac 7c0004ac39200001 392000007d20ffaa 7d20ffaa7c0004ac -7c0004ac63bd0002 -7c0004ac7fa0ffaa -4bfffbed7d20f7aa +7c0004ac639c0002 +7c0004ac7f80ffaa +4bfffbf57d20efaa 3b4000053b000002 7c0004ac7ff9fb78 -7c0004ac7f00f7aa +7c0004ac7f00efaa 7c0004ac7f40cfaa -4bfffbc57fa0feaa -4082ffe073bd0001 -38637ab03c62ffff -3d40c0004bfffbf5 +4bfffbcd7f80feaa +4082ffe0739c0001 +38637b303c62ffff +3d40c0004bfffbfd 794a0020614a6008 7d20562a7c0004ac 652920005529021e 7c0004ac61291f6b 7f63db787d20572a -3c62ffff4bfffbc5 -38637ac07b840020 -4bfffbb17f9ae378 -7f63db783be00001 -419200244bfffba5 -3c62ffff3ca2ffff -38637af038a57ae0 -4bfffb897ca42b78 -60000000480019cd -3c62ffff418e0024 -4bfffb7138637b20 -4800013c38600000 -3b4000003be00000 -73ff00014bffffb4 -3c62ffff418200a4 -4bfffb4938637b38 -38a000403c9af000 +3c62ffff4bfffbcd +38637b407bc40020 +4bfffbb97fdaf378 +4bfffbb17f63db78 +419200d0408e0094 +38637b603c62ffff +386000004bfffb9d +2c190020480001a0 +2c1a00ba4082ffbc +2c1800184082ffb4 +3c62ffff4082ffac +4bfffb7138637b28 +7f63db784bffff68 +408e00684bfffb65 +3c62ffff4092ffb8 +4bfffb5138637c70 +38a000003c80ff00 +60a5a00060846000 +3c60400078840020 +6000000048002645 +38637c903c62ffff +4bfffb9d4bfffb25 +3c82ffff4bffff84 +38847b783c62ffff +4bfffb0938637b88 +60000000480019d9 +3c82ffff4bffff54 +38847b783c62ffff +4bfffae938637b88 +60000000480019b9 +3c62ffff4bffff80 +4bfffad138637ba8 +38a000403c9ef000 3861007078840020 -6000000048002649 +60000000480025cd 3d400002e9210070 614a464c3c62ffff -794a83e438637b50 +794a83e438637bc0 614a457f79290600 408200247c295000 2c09000189210075 a121008240820010 -418200802c090015 -38637b703c62ffff -892100774bfffae5 +418200442c090015 +38637be03c62ffff +892100774bfffa6d 8901007489410076 3c62ffff88e10073 88a1007188c10072 -38637bd088810070 +38637c4088810070 89210075f9210060 -3c62ffff4bfffab5 -4bfffaa938637c00 -38a000003c80ff00 -60a5a00060846000 -3c60400078840020 -60000000480025a1 -38637c203c62ffff -4bfffafd4bfffa7d -ebe100904bffff08 -3ba000003f02ffff -3b187b883b2100b0 -a12100a87ffafa14 +4bfffee04bfffa3d +3f22ffffe9210090 +3b397bf83ba00000 +a12100a87fde4a14 418000347c1d4840 3c62ffff80810088 -4bfffa4138637bb0 -e86100884bfffac1 -4182ff802c23ffff -8181000838210130 -48002fec7d838120 -38a000383c9ff000 -7f23cb7878840020 -6000000048002521 +4bfffa0938637c20 +e86100884bfffa81 +4182fea02c23ffff +8161000838210130 +480030307d638120 +38a000383c9ef000 +386100b078840020 +60000000480024e5 2c090001812100b0 eb6100d040820048 -ebc100b8eb8100c0 -7f03c3787ba40020 +ebe100b8eb8100c0 +7f23cb787ba40020 7b6500207f86e378 -4bfff9d93fdef000 -7b6500207c9af214 +4bfff9a13ffff000 +7b6500207c9fd214 7f83e37878840020 -60000000480024d9 -7fff4a14a12100a6 +600000004800249d +7fde4a14a12100a6 4bffff583bbd0001 -4082fde02c1a0020 -4082fdd82c1900ba -4082fdd02c180018 -38637aa83c62ffff -4bfffd8c4bfff98d 0300000000000000 3d20c80000000880 7929002061291004 @@ -735,7 +737,7 @@ ebc100b8eb8100c0 7d20572a7c0004ac 000000004e800020 0000000000000000 -3842b5f83c4c0001 +3842b5e83c4c0001 4182006828030002 4182003028030003 4082007c28030001 @@ -757,7 +759,7 @@ ebc100b8eb8100c0 4bffff287c8307b4 0000000000000000 3d40c80000000000 -614a081839200001 +614a080439200001 794a00207d231830 7c60572a7c0004ac 610808303d00c800 @@ -769,7 +771,7 @@ ebc100b8eb8100c0 4e8000207d20572a 0000000000000000 3d20c80000000000 -6129081839400001 +6129080439400001 792900207d431830 7c604f2a7c0004ac 6108081c3d00c800 @@ -779,7 +781,7 @@ ebc100b8eb8100c0 000000004e800020 0000000000000000 394000013d20c800 -7d43183061290818 +7d43183061290804 7c0004ac79290020 3d00c8007c604f2a 7908002061080820 @@ -788,7 +790,7 @@ ebc100b8eb8100c0 4e8000207d404f2a 0000000000000000 3d20c80000000000 -6129081839400001 +6129080439400001 792900207d431830 7c604f2a7c0004ac 610808243d00c800 @@ -798,7 +800,7 @@ ebc100b8eb8100c0 000000004e800020 0000000000000000 394000013d20c800 -7d43183061290818 +7d43183061290804 7c0004ac79290020 3d00c8007c604f2a 7908002061080828 @@ -835,15 +837,15 @@ ebc100b8eb8100c0 4bffffd4614a100c 0000000000000000 7c0004ac00000000 -792ac2227d201e2a -9944000299240003 -79294622792a8422 -9924000099440001 -7c0004ac39230004 -394000047d204e2a -7d4903a679290020 -9d24ffff38840008 +390000047d201e2a +7d0903a679290020 +9d2affff39440004 4200fff87929c202 +7c0004ac38630004 +7869c2227c601e2a +9924000698640007 +7863462278698422 +9864000499240005 000000004e800020 0000000000000000 786900202c030000 @@ -853,11 +855,11 @@ ebc100b8eb8100c0 4bfffff060000000 0000000000000000 3c4c000100000000 -7c0802a63842b24c -f8010010fbe1fff8 -3fe0c800f821ffd1 -63ff081839200001 +7c0802a63842b23c +3fe0c800fbe1fff8 +63ff080439200001 7bff00207d231830 +f821ffd1f8010010 7c60ff2a7c0004ac 614a082c3d40c800 7c0004ac794a0020 @@ -868,652 +870,667 @@ f8010010fbe1fff8 4bffff6538600064 7c0004ac39200000 382100307d20ff2a -0000000048002b10 +0000000048002b7c 0000018001000000 -3842b1c03c4c0001 -7d9080267c0802a6 -48002a4591810008 -3d40c800f821fec1 -7c7f1b78614a100c -83a2800860000000 -7cbc2b78f8810098 -7fbd1670794a0020 -7d3d00d07fbd0194 -9121009023bd0020 -7c0004ac39200086 -3d20c8007d20572a -612910103bc00001 -7c0004ac79290020 -3860000f7fc04f2a -3d20c8004bfffb15 -7929002061290808 +3842b1b03c4c0001 +3d40c8007c0802a6 +614a100c7d708026 +794a002039200086 +48002aa191610008 +83a280fc60000000 +7c7f1b78f821fec1 +7cb72b787c982378 +7fbd01947fbd1670 +23bd00207ebd00d0 +7d20572a7c0004ac +3bc000013d20c800 +7929002061291010 7fc04f2a7c0004ac -3ee0c80038600064 -3b4100804bfffea9 -62f7101c3ea2ffff -3e82ffff3ec2ffff -6000000060000000 -3e02ffff3e22ffff -3bc000002e3c0000 -7f58d3783b600000 -7af700203ab57c38 -3a947c503ad67c48 -3a4280083a628050 -3a107c583a317c68 -419200147f7c07b4 -7ea3ab787f84e378 -600000004bfff405 -3b2000007f83e378 -386000644bfffe6d -4bfffe2539e00001 -7c1dc80039c10060 -4192001041810130 -4bfff3d17e83a378 -3920ffff60000000 -38e000002c1d0000 +4bfffb193860000f +6129080c3d20c800 +7c0004ac79290020 +386000647fc04f2a +4bfffead3e80c800 +629408103d22ffff +6000000039297ca8 +3b41008060000000 +3d22fffff9210098 +39297cb83bc00000 +7a9400203b600000 +f92100903ac10060 +3a2280783d22ffff +3a0280fc39297cc0 +3d22fffff92100a0 +f92100a839297cd8 +7f7c07b42e370000 +e861009841920014 +4bfff3f17f84e378 +7f83e37860000000 +4bfffe693b200000 +39c0000138600064 +7c1dc8004bfffe21 +4192001041810128 +4bfff3c1e86100a0 +2c1d000060000000 +38e000003920ffff 7d3ff12e3b20ffff -39e0000081210090 -38c0000038a00000 -3869001f38810060 -392900017ba90020 +39c000007ba90020 +3880000038c00000 +3929000138b5001f 3920000140800008 7ce83b782c290001 -408201803929ffff -4bfffdf17f83e378 -4bfffdad38600064 -2c0900007d3e982e -7d3ff12e418001d4 +408201603929ffff +4bfffdf97f83e378 +4bfffdb538600064 +2c0900007d31f02e +7d3ff12e418001bc 7d3ff02e3b200000 -418101a87c09c800 -3b7b000140920210 +418101907c09c800 +3b7b0001409201f8 2c1b00043b5affff -4082ff243bde0004 +4082ff2c3bde0004 392000063d40c800 794a0020614a100c 7d20572a7c0004ac 392000013d40c800 794a0020614a1010 7d20572a7c0004ac -4bfff9893860000f +4bfff9913860000f 392000003d40c800 -794a0020614a0808 +794a0020614a080c 7d20572a7c0004ac 3bff001039200004 386000017d2903a6 2c090000853ffffc 3860000040800008 382101404200fff0 -818100087c6307b4 -480028547d908120 -3920000081010098 -912100a439400000 -914100a03d20c800 -910100946129080c -7c0004ac79290020 -386000647de04f2a -7f04c3784bfffcb9 -4bfffc517ee3bb78 -8101009488fa0003 -812100a4814100a0 -418200502c070000 -3908ffff39290001 -4082ffa82c080000 -7d2407b47d4a07b4 +816100087c6307b4 +480028c87d708120 +3a6000007f0fc378 +7c0004ac3a400000 +386000647dc0a72a +3c60c8004bfffcd9 +6063101c38810080 +4bfffc6978630020 +2c07000088fa0003 +3a7300014182004c +4082ffc835efffff +7e6407b47e4a07b4 78840fe07c845050 -419200107c9971ae -4bfff2297ec3b378 +419200107c99b1ae +4bfff241e8610090 7f83e37860000000 -4bfff9893b390001 -4bfffc4d38600064 -394a00014bfffe2c -2c0600004bffffb4 -418200387d4720ae -418200107c034000 +4bfff9b13b390001 +4bfffc7538600064 +3a5200014bfffe54 +2c0400004bffffb8 +4182002c7d47b0ae +418200107c054000 +2c0a0000554a063e +7d06405040820024 +418000207c194000 +4800001038800000 2c0a0000554a063e -7d0540504082001c -7c19400038c00000 -7d1943784080000c -38e700017caf2b78 -554a063e4bfffe38 -4182fff02c0a0000 -38c000017ce53b78 +38e7000140820018 +7d1943784bfffe50 +4bffffdc7cce3378 +388000017ce63b78 7f83e3784bffffe4 -4bfff9113b390001 -4bfffbd538600064 -2c0f00004bfffe3c +4bfff9313b390001 +4bfffbf538600064 +2c0e00004bfffe54 2c19000041820038 -7dfff12e4081fe38 +7ddff12e4081fe50 7d3ff02e3b200000 -4081fe247c09c800 +4081fe3c7c09c800 3b3900017f83e378 -386000644bfff8d5 -4bffffe04bfffb99 -7d29167081320000 +386000644bfff8f5 +4bffffe04bfffbb9 +7d29167081300000 7c09c8007d290194 -4bffffc04080fdf8 +4bffffc04080fe10 2c04ffff7c9ff02e -7e03837840820014 -600000004bfff12d -7c8407b44bfffddc -4bfff1197e238b78 -4bfffdc860000000 -0300000000000000 -3c4c000100001280 -7c0802a63842adbc -f821ff6148002669 -60a500033ca08020 -394000007c7d1b78 -78a5002038c1001f -3be1002039000008 -7ce652147d0903a6 -7888f86239200008 +3c62ffff40820018 +4bfff13938637cc8 +4bfffdf060000000 +7c8407b4e86100a8 +600000004bfff125 +000000004bfffddc +0000128003000000 +3842adc83c4c0001 +3ce080207c0802a6 +3920000060e70003 +480026e178e70020 +7c7e1b78f821ff61 +3be100203941001f +394000087d0a4a14 +788af8627d4903a6 7c8400d0788407e0 -7c8428383929ffff -7d0443787c884278 -4200ffe09d070001 -282a0020394a0008 -3d40c8004082ffc0 +7c8a52787c843838 +9d4800017d445378 +392900084200ffe4 +4082ffc428290020 +392000003d40c800 794a0020614a100c 7d20572a7c0004ac 614a10103d40c800 7c0004ac794a0020 386000097d20572a -3860000f4bfff6ed -3d20c8004bfffa99 -612910143cc0c800 -7feafb7860c61094 -78c6002079290020 -38eaffff38a00004 -390000007ca903a6 -7905400c8ca70001 -4200fff47ca82b78 -7ca04f2a7c0004ac -38a9000438800004 -38ea00037c8903a6 -390000003bc00004 -3bdeffff8c870001 -7c8823787904400c -7c0004ac4200fff0 -392900207c802f2a -7c293000394a0008 -3f80c8004082ff98 -7b9c0020639c0848 -7c60e62a7c0004ac -4bfff9295463063e -7c60e62a7c0004ac -4bfff8a95463063e -7c60e62a7c0004ac -5463063e38800017 -4bfff65d3f80c800 -3860000f639c0844 -7b9c00204bfff9c1 -7c60e62a7c0004ac -4bfff8e15463063e -7c60e62a7c0004ac -4bfff8615463063e -7c60e62a7c0004ac -5463063e38800025 -3860000f4bfff619 -3d20c8004bfff981 -792900206129100c -7fc04f2a7c0004ac -612910103d20c800 -7c0004ac79290020 -3860000b7fc04f2a -4bfff5993f80c800 +3860000f4bfff70d +3d20c8004bfffab9 +612910143ca0c800 +7fe8fb7860a51094 +78a5002079290020 +38c8ffff38800004 +38e000007c8903a6 +8c86000139400004 +78e4400c394affff +4200fff07c872378 +7c804f2a7c0004ac +38c9000438800004 +38e800037c8903a6 +7944400c8c870001 +4200fff47c8a2378 +7c80372a7c0004ac +3908000839290020 +4082ff9c7c292800 +63bd08483fa0c800 +7c0004ac7bbd0020 +5463063e7c60ee2a +7c0004ac4bfff94d +5463063e7c60ee2a +7c0004ac4bfff8cd +388000177c60ee2a +3fa0c8005463063e +63bd08444bfff681 +4bfff9e53860000f +7c0004ac7bbd0020 +5463063e7c60ee2a +7c0004ac4bfff905 +5463063e7c60ee2a +7c0004ac4bfff885 +388000257c60ee2a +4bfff63d5463063e +4bfff9a53860000f +392000003d40c800 +794a0020614a100c +7d20572a7c0004ac +614a10103d40c800 +7c0004ac794a0020 +3860000b7d20572a +4bfff5b93fa0c800 3f00c8003860000f -639c101c4bfff941 +63bd101c4bfff961 3f2033333ee05555 6318109c3ec00f0f -7b9c00203b600000 -23bd00033b410040 -6339333362f75555 -7b18002062d60f0f -7f83e3787f44d378 -392000084bfff8a5 -7d2903a639400000 -552907be7d3b5214 -408200547c09e800 -7d3f50ae7d1a50ae -5528063e7d294278 -7d29b8387929fe62 -7d28c8387d294050 -7d29c8385529f0be -5509e13e7d084a14 -7d29b0387d294214 -7d2942145528c23e -7d2942145528843e -7fde4a14552906be -4200ff9c394a0001 -3b7b00083b9c0020 -3bff00087c3cc000 -382100a04082ff70 -480023f47bc30020 -0100000000000000 -3c4c000100000a80 -7c0802a63842aadc -918100087d908026 -f821ff7148002395 -7c7f1b782e250000 -7c8523784192001c -3c62ffff7c641b78 -4bffede938637c78 +7bbd00203b600000 +3b4100403b800000 +62f7555523de0003 +62d60f0f63393333 +7f44d3787b180020 +4bfff8c17fa3eb78 +3940000039200008 +7d3b52147d2903a6 +7c09f000552907be +7d1a50ae40820054 +7d2942787d3f50ae +7929fe625528063e +7d2940507d29b838 +5529f0be7d28c838 +7d084a147d29c838 +7d2942145509e13e +5528c23e7d29b038 +5528843e7d294214 +552906be7d294214 +394a00017f9c4a14 +3bbd00204200ff9c +7c3dc0003b7b0008 +4082ff703bff0008 +7b830020382100a0 +000000004800247c +00000a8001000000 +3842aae83c4c0001 +7c0802a67d708026 +2e25000091610008 +f821ff7148002419 +4192001c7c7f1b78 +7c641b787c852378 +38637ce83c62ffff +600000004bffedf5 +3f62ffff7fe3fb78 +3ba000204bfff5c5 +3b7b7cb83bc00000 +7fe3fb783880002a +388000544bfffcc9 +7fe3fb787c7c1b78 +7c63e2144bfffcb9 +206300807c640034 +548960265484d97e +7fde4a147d291a14 +7c8407b441920014 +4bffed917f63db78 7fe3fb7860000000 -4bfff5a93f62ffff -3bc000003ba00020 -3880002a3b7b7c48 -4bfffcc97fe3fb78 -7c7c1b7838800054 -4bfffcb97fe3fb78 -7c6400347c63e214 -5484d97e20630080 -7d291a1454896026 -419200147fde4a14 -7f63db787c8407b4 -600000004bffed85 -3bbdffff7fe3fb78 -2c1d00004bfff591 -419200144082ffa4 -38637c883c62ffff -600000004bffed5d +37bdffff4bfff5b1 +419200144082ffa8 +38637cf83c62ffff +600000004bffed6d 7bc3002038210090 -7d90812081810008 -000000004800231c +7d70812081610008 +00000000480023a8 0000058003000000 -3842a9f03c4c0001 -7d9080267c0802a6 -4800229991810008 -2e250000f821ff51 +3842aa003c4c0001 +7c0802a67d600026 +2e25000091610008 +f821ff514800231d 7c9723787c7f1b78 -7cfe3b787cda3378 +7cfd3b787cda3378 7c641b7841920018 -38637c903c62ffff -600000004bffecf5 +38637d003c62ffff +600000004bffed05 f84100187f4903a6 7f4cd3787fe3fb78 -4e8004213b800000 +4e8004213b600000 3880002ae8410018 -4bfffbd17fe3fb78 -7c7d1b7838800054 -4bfffbc17fe3fb78 -4192001c7fa3ea14 -3c62ffff7fa40034 -5484d97e38637c48 -600000004bffec9d -3b7c00012c1d0000 -2c1b00204182002c -7fc903a6418200c0 +4bfffbd57fe3fb78 +7c7e1b7838800054 +4bfffbc57fe3fb78 +4192001c7fc3f214 +3c62ffff7fc40034 +5484d97e38637cb8 +600000004bffecad +3b9b00012c1e0000 +2c1c00204182002c +7fa903a6418200d0 7fe3fb78f8410018 -7f7cdb787fccf378 -e84100184e800421 -7f9de3784bffff94 -f84100187fc903a6 -7fccf3787fe3fb78 -3b60ffff3b3c0001 +7f9be3787faceb78 e84100184e800421 +7f7cdb784bffff94 +7fa903a63bc00004 +7fe3fb78f8410018 +4e8004217faceb78 +37deffffe8410018 +3adb00044082ffe4 +7ed9b3783bc0ffff 7fe3fb783880002a -388000544bfffb3d +388000544bfffb31 7fe3fb787c781b78 -7f03c2144bfffb2d +7f03c2144bfffb21 7f0400344192001c -38637c483c62ffff +38637cb83c62ffff 4bffec095484d97e 2c18000060000000 -2c1bffff41820010 -7f3bcb7840820008 +2c1effff41820010 +7f3ecb7840820008 2c19001f3b390001 -7fc903a64181002c +7fa903a64181002c 7fe3fb78f8410018 -4e8004217fccf378 +4e8004217faceb78 4bffff8ce8410018 -3ba0ffff3b800020 -2c1c001e4bffff60 -39200000395c0002 -213c001e41810008 -7d2952142c1bffff -7d3b4b7840820008 -3c62ffff41920014 -4bffeb8938637c88 -2c37000060000000 -7f9c0e707f9dda14 -579c06fe7f9c0194 -2c1dffff4182001c -3c62ffff40820064 -4bffeb5938637c98 -4192001460000000 -386379e83c62ffff +3b80ffff3b600020 +2c1effff4bffff50 +2c16001f4082001c +418100083bc00000 +3b7b000523d6001f +7f7cf2147fdeda14 +7f7b0e702d370000 +2d9cffff7f7b0194 +4092002c577b06fe +408e0108418a0050 +38637d083c62ffff +600000004bffeb6d +81610008382100b0 +480021987d638120 +3f22ffff3c62ffff +3b397a6838637cf8 600000004bffeb45 +7f23cb78408a0018 +600000004bffeb35 +4bffffc4408e0054 +3c62ffff408e001c +4bffeb1938637d08 +7f23cb7860000000 +7cbcf0504bffffa0 +7ca50e703c62ffff +7f6407b47ca50194 +7ca507b438637d18 +600000004bffeaed +4bffeae17f23cb78 +3bc0000860000000 7fe3fb787f4903a6 7f4cd378f8410018 -4e8004213ba00000 +4e8004213b800000 38600064e8410018 -7c1de0004bfff559 -382100b04082003c -7d90812081810008 -7cbdd850480020cc +7c1cd8004bfff501 +3880002a40820064 +4bfff99d7fe3fb78 +7c7c1b7838800054 +4bfff98d7fe3fb78 +2c0300007c63e214 +3bdeffff4182ff20 +4082ffa42c1e0000 +7cbcf0504bffff10 7ca50e703c62ffff -7f8407b47ca50194 -7ca507b438637ca8 -600000004bffeae5 -7fc903a64bffff8c +7f6407b47ca50194 +7ca507b438637d18 +600000004bffea55 +7fa903a64bffff74 f84100187fe3fb78 -3bbd00017fccf378 +3b9c00017faceb78 e84100184e800421 -4bfff4f538600064 -000000004bffff9c -0000098003000000 -3842a7603c4c0001 +4bfff47538600064 +000000004bffff74 +00000a8003000000 +3842a6d03c4c0001 fbe1fff87c0802a6 -f821ffd1f8010010 392000013fe0c800 -7d23183063ff0818 -7c0004ac7bff0020 +7d23183063ff0804 +f80100107bff0020 +7c0004acf821ffd1 3d40c8007c60ff2a 794a0020614a0830 7d20572a7c0004ac -4bfff49538600064 +4bfff41538600064 7c0004ac39200000 382100307d20ff2a -0000000048002040 +000000004800202c 0000018001000000 -3842a6f03c4c0001 +3842a6603c4c0001 fbe1fff87c0802a6 -f821ffd1f8010010 392000013fe0c800 -7d23183063ff0818 -7c0004ac7bff0020 +7d23183063ff0804 +f80100107bff0020 +7c0004acf821ffd1 3d40c8007c60ff2a 794a0020614a082c 7d20572a7c0004ac -4bfff42538600064 +4bfff3a538600064 7c0004ac39200000 382100307d20ff2a -0000000048001fd0 +0000000048001fbc 0000018001000000 -3842a6803c4c0001 +3842a5f03c4c0001 612910003d20c800 7c0004ac79290020 280a000e7d404e2a 7c0802a64d820020 -f821ffa1f8010010 -7c0004ac3940000e +f80100103940000e +7c0004acf821ffa1 3c62ffff7d404f2a -4bffe98138637cc0 +4bffe8f138637d30 3821006060000000 7c0803a6e8010010 000000004e800020 0000008001000000 -3842a6183c4c0001 +3842a5883c4c0001 612910003d20c800 7c0004ac79290020 280a00017d404e2a 7c0802a64d820020 -f821ffa1f8010010 -7c0004ac39400001 +f801001039400001 +7c0004acf821ffa1 3c62ffff7d404f2a -4bffe91938637ce8 +4bffe88938637d58 3821006060000000 7c0803a6e8010010 000000004e800020 0000008001000000 -3842a5b03c4c0001 -48001e557c0802a6 -3c80c800f821ff31 -7884002060840804 +3842a5203c4c0001 +3c80c8007c0802a6 +7884002060840808 +f821ff3148001e39 7c80262a7c0004ac 5484103a60000000 -908280083c62ffff -7c8407b438637d10 -600000004bffe8b5 -8122800060000000 -418202042c090000 -83ff7ffc3fe2ffff +908280fc3c62ffff +7c8407b438637d80 +600000004bffe825 +8122807060000000 +418202002c090000 +83e2806c60000000 408200082c1fffff -3fa2ffff3be00000 -2c1dffff83bd7ff8 +600000003be00000 +2c1dffff83a28068 6000000040820014 -7fbd0e7083a28008 +7fbd0e7083a280fc 3c62ffff7fbd0194 7fe407b47fa507b4 -4bffe85938637d30 +4bffe7c938637da0 3c62ffff60000000 -4bffe84938637d48 +4bffe7b938637db8 3d40c80060000000 -614a081039200001 +614a081439200001 7c0004ac794a0020 386000647d20572a -4bfff25d3f00c800 -6000000063180814 -3bc0ffff3ea2ffff +4bfff1dd3f00c800 +6000000063180818 +3bc0ffff3ec2ffff 3b60ffff3b400000 3b2000013b800000 -3ae100607b180020 -3ab57c483ac28008 -418101447c1df800 +3ae280fc7b180020 +7c1df8003ad67cb8 +3c62ffff41810144 +38637dc07fc407b4 +600000004bffe74d 7fc407b43c62ffff -4bffe7d938637d50 -3c62ffff60000000 -38637d607fc407b4 -600000004bffe7c5 -408001282c1e0000 -38637d883c62ffff -600000004bffe7ad -3880008038a00001 -4bfff29d38610060 -7c691b782c030000 -382100d040820150 -48001d3c7d2307b4 -7f20c72a7c0004ac -3a94000138600064 -7c14f8004bfff1a9 -7c1fe0004180ffe8 -4180000839200000 -388000087d3cf850 -7ee3bb7838a00000 -4bfff2457f9c4a14 -8136000038e00004 -7ce903a638c1005c -7c641b7839400000 -7d29167039000000 -84e600047d290194 -418200102c07ffff -394a00017ce74a14 -4200ffe87d083a14 -418200082c0a0000 -212900207d0853d6 -7d2901947d290e70 -2c0700007ce84850 -7ce9405040800008 -418000187c0ad040 -408000107c07d840 -7d5a53787ffefb78 -7ea3ab787cfb3b78 -600000004bffe6b5 -4bfffebc3bff0001 -4bffff387f94e378 -83c2804c60000000 -3d40c8004bfffec4 -614a081039200001 -7c0004ac794a0020 -386000647d20572a -4bfff0ad3f80c800 -3be00000639c0814 -7b9c00203ba00001 -4182fea47c1ff000 -7fa0e72a7c0004ac -3bff000138600064 -4bffffe44bfff081 -57c90ffe7fdef0f8 -000000004bfffeac -00000c8001000000 -3842a2e03c4c0001 -48001b897c0802a6 -3bc00000f821ff41 +4bffe73938637dd0 +2c1e000060000000 +3c62ffff40800128 +4bffe72138637df8 +38a0000160000000 +3861006038800080 +2c0300004bfff221 +408201507c691b78 +7d2307b4382100d0 +7c0004ac48001d30 +386000647f20c72a +4bfff12d3ab50001 +4180ffe87c15f800 +392000007c1fe000 +7d3cf85041800008 +38a0000038800008 +7f9c4a1438610060 +38e000044bfff1c9 +38c1005c81370000 +394000007ce903a6 +390000007c641b78 +7d2901947d291670 +2c07ffff84e60004 +7ce74a1441820010 +7d083a14394a0001 +2c0a00004200ffe8 +7d0853d641820008 +7d290e7021290020 +7ce848507d290194 +408000082c070000 +7c0ad0407ce94050 +7c07d84041800018 +7ffefb7840800010 +7cfb3b787d5a5378 +4bffe6297ec3b378 +3bff000160000000 +7f95e3784bfffebc +600000004bffff38 +4bfffec483c280b8 +392000013d40c800 +794a0020614a0814 +7d20572a7c0004ac +3f80c80038600064 +639c08184bfff031 +3ba000013be00000 +7c1ff0007b9c0020 +7c0004ac4182fea4 +386000647fa0e72a +4bfff0053bff0001 +7fdef0f84bffffe4 +4bfffeac57c90ffe +0100000000000000 +3c4c000100000b80 +7c0802a63842a254 +f821ff4148001b79 3f02ffff3ee2ffff 3ea2ffff3f22ffff -3b184b143af74b60 -3ab57d983b3979e8 -3b40000057c3063e -3ac000004bffee4d -7fdc07b43ba00000 -38a0000157df063e -7f83e3787fa407b4 -7ee7bb784bfff7ad -38a000007f06c378 -7c7b1b7838800001 -4bfff87d7f83e378 -4bffe5a17f23cb78 -7c16d84060000000 -7fbaeb784080000c -2c1d00077f76db78 -7fe3fb7841820014 -4bffee2d3bbd0001 -7f4507b44bffffa4 -7ea3ab787f84e378 -4bffe5613ba00000 -7fe3fb7860000000 -7c1dd0004bffedbd -7ee7bb784082003c -38a000007f06c378 -7f83e37838800001 -4bfff8053bde0001 -4bffe5297f23cb78 -2c1e000460000000 -382100c04082ff30 -7fe3fb7848001ad4 -4bffedbd3bbd0001 -000000004bffffb4 -00000b8001000000 -3842a1b03c4c0001 -48001a3d7c0802a6 -3be00000f821ff01 -7fe307b44bfffb21 -4bffef49f8610060 -4bffec99e8610060 -3bff000157e3063e -2c1f00044bffed25 -3c62ffff4082ffdc -38637db03f80c800 -4bffe4a13f00c800 -4bfffbad60000000 -3ee0c8003c62ffff -639c081838637dc8 -600000004bffe485 -62f708406318083c -3e82ffff60000000 -3be000003e62ffff -3ac000003ba00001 -7b1800207b9c0020 -3aa2800c7af70020 -3a737de83a947df0 -7fb2f83057f0063e -3b2000003b40ffff -7ffb07b43bc00000 -7c0004ac7e118378 -7c0004ac7e40e72a -7bc900207fa0c72a -7d2903a639290001 -7c0004ac4200018c -7e0383787ec0e72a -4bffec6139e00000 -38a0000039c00000 -7f63db787dc407b4 -7c0f18404bfff5cd -7c6f1b7840800008 -39ce00017e238b78 -2c0e00084bffec81 -7c0fc8404082ffd4 -7fdaf3784081000c -3bde00027df97b78 -4082ff802c1e0008 -7fc9a82e7be91764 -408001282c1e0000 -4082011c2c1affff -7e639b787f64db78 -600000004bffe385 -7c0004ac3bc0ffff -7c0004ac7e40e72a -2c1e00007fa0c72a -392900017bc90020 -3920000140800008 -3929ffff2c290001 -7c0004ac408200f4 -3bff00017ec0e72a -4082fef4283f0004 -3f22ffff3c62ffff -3f42ffff386379e8 -600000004bffe325 -3ea2ffff3c62ffff -3ec2ffff38637df8 -600000004bffe30d -3b394b603bc00000 -3ab558a03b5a4b14 -57c3063e3ad65910 -4bffeb513ae00000 -3ba000003b000000 +3af74b703bc00000 +3b397a683b184b24 +57c3063e3ab57e08 +4bffedd13b400000 +3ba000003ac00000 57df063e7fdc07b4 -7fa407b438a00000 -4bfff4b17f83e378 -7f46d3787f27cb78 -3880000038a00000 +7fa407b438a00001 +4bfff7157f83e378 +7f06c3787ee7bb78 +3880000138a00000 7f83e3787c7b1b78 -7c1bb8404bfff581 -7f77db784081000c -2c1d00077fb8eb78 -418200447fe3fb78 -3bbd00014bffeb41 -7c0004ac4bffffb0 -4bfffe6c7fa0bf2a -7fc507b47f5ed378 -7e83a3787f64db78 -600000004bffe265 -7c0004ac4bfffee4 -4bfffefc7fa0bf2a -3ba000004bffeab5 -408200647c1dc000 -7f46d3787f27cb78 -3880000038a00000 +7f23cb784bfff7e1 +600000004bffe515 +4080000c7c16d840 +7f76db787fbaeb78 +418200142c1d0007 +3bbd00017fe3fb78 +4bffffa44bffedb1 +7f84e3787f4507b4 +3ba000007ea3ab78 +600000004bffe4d5 +4bffed417fe3fb78 +4082003c7c1dd000 +7f06c3787ee7bb78 +3880000138a00000 3bde00017f83e378 -7ea7ab784bfff4f9 -38a000017ec6b378 -7f83e37838800001 -2c1e00044bfff4e1 -3c62ffff4082ff14 -4bffe1f938637e10 -4bfffbd560000000 -382101004bfff899 -4800178438600001 +7f23cb784bfff769 +600000004bffe49d +4082ff302c1e0004 +48001ac4382100c0 3bbd00017fe3fb78 -4bffff8c4bffea89 +4bffffb44bffed41 0100000000000000 -3c4c000100001280 -7c0802a638429e7c -f821ff6148001731 -7d0903a639000010 -6000000039200001 -6000000091228000 -3922804c3940ffff +3c4c000100000b80 +7c0802a63842a124 +f821ff1148001a2d +4bfffb253be00000 +7fc3f3787ffe07b4 +7fc3f3784bffeecd +57e3063e4bffec1d +4bffeca93bff0001 +4082ffdc2c1f0004 +3f80c8003c62ffff +3f00c80038637e20 +600000004bffe415 +3c62ffff4bfffbb1 +38637e383ee0c800 +4bffe3f9639c0804 +6318083c60000000 +6000000062f70840 +3e62ffff3e82ffff +3ba000013be00000 +7b9c00203ac00000 +7af700207b180020 +3a947e603aa280bc +57f0063e3a737e58 +3b40ffff7fb2f830 +3bc000003b200000 +7e1183787ffb07b4 +7e40e72a7c0004ac +7fa0c72a7c0004ac +392900017bc90020 +4200018c7d2903a6 +7ec0e72a7c0004ac +39e000007e038378 +39c000004bffebe5 +7dc407b438a00000 +4bfff5357f63db78 +408000087c0f1840 +7e238b787c6f1b78 +4bffec0539ce0001 +4082ffd42c0e0008 +4081000c7c0fc840 +7df97b787fdaf378 +2c1e00083bde0002 +7be917644082ff80 +2c1e00007fd5482e +2c1affff40800128 +7f64db784082011c +4bffe2f97e639b78 +3bc0ffff60000000 +7e40e72a7c0004ac +7fa0c72a7c0004ac +7bc900202c1e0000 +4080000839290001 +2c29000139200001 +408200f43929ffff +7ec0e72a7c0004ac +283f00043bff0001 +3c62ffff4082fef4 +38637a683f22ffff +4bffe2993f42ffff +3c62ffff60000000 +38637e683ea2ffff +4bffe2813ec2ffff +3bc0000060000000 +3b5a4b243b394b70 +3ad659a03ab55930 +3ae0000057c3063e +3b0000004bffead5 +7fdc07b43ba00000 +38a0000057df063e +7f83e3787fa407b4 +7f27cb784bfff419 +38a000007f46d378 +7c7b1b7838800000 +4bfff4e57f83e378 +4081000c7c1bb840 +7fb8eb787f77db78 +7fe3fb782c1d0007 +4bffeac541820044 +4bffffb03bbd0001 +7fa0bf2a7c0004ac +7f5ed3784bfffe6c +7f64db787fc507b4 +4bffe1d97e83a378 +4bfffee460000000 +7fa0bf2a7c0004ac +4bffea394bfffefc +7c1dc0003ba00000 +7f27cb7840820064 +38a000007f46d378 +7f83e37838800000 +4bfff45d3bde0001 +7ec6b3787ea7ab78 +3880000138a00001 +4bfff4457f83e378 +4082ff142c1e0004 +38637e803c62ffff +600000004bffe16d +4bfff89d4bfffbd5 +38600001382100f0 +7fe3fb7848001774 +4bffea0d3bbd0001 +000000004bffff8c +0000128001000000 +38429df03c4c0001 +390000107c0802a6 +392000017d0903a6 +9122807060000000 +3922807460000000 +480017013940ffff +95490004f821ff61 +390000104200fffc +392280b860000000 +7d0903a63940ffff 4200fffc95490004 -6000000039000010 -392280087d0903a6 -3940ffff3be00010 -3bffffff95490004 -3d20c8004200fff8 -612908443b800001 -7c0004ac79290020 -3d20c8007f804f2a -612908483b200002 -7c0004ac79290020 -3fc0c8007f204f2a -3c8040003c62ffff -63de080038637e20 -600000004bffe11d -4bfff7557bde0020 -7f80f72a7c0004ac -4bffeb3d386003e8 +3b8000013d20c800 +7929002061290844 +7f804f2a7c0004ac +3b2000023d20c800 +7929002061290848 +7f204f2a7c0004ac +3c62ffff3fc0c800 +38637e903c804000 +4bffe09963de0800 +7bde002060000000 +7c0004ac4bfff761 +386003e87f80f72a +4bffeac53be00000 7fe0f72a7c0004ac 3f60c800386003e8 -7b7b00204bffeb29 +7b7b00204bffeab1 7fe0df2a7c0004ac 635a00043f40c800 7c0004ac7b5a0020 @@ -1526,46 +1543,85 @@ f821ff6148001731 631810003920000c 7c0004ac7b180020 386000007d20c72a -4bffeabd6063c350 +4bffea456063c350 7fe0ef2a7c0004ac 7fe0f72a7c0004ac 7c0004ac3920000e 386027107d20c72a -392002004bffea99 +392002004bffea21 7d20ef2a7c0004ac 7f20f72a7c0004ac -4bffe6c93860000f +4bffe6513860000f 7fe0ef2a7c0004ac 7c0004ac39200003 3860000f7d20f72a -392000064bffe6ad +392000064bffe635 7d20ef2a7c0004ac 7f80f72a7c0004ac -4bffe6913860000f +4bffe6193860000f 7c0004ac39200920 7c0004ac7d20ef2a 3860000f7fe0f72a -386000c84bffe675 -392004004bffea21 +386000c84bffe5fd +392004004bffe9a9 7d20ef2a7c0004ac 7fe0f72a7c0004ac -4bffe65138600003 -4bffe9fd386000c8 -4bfff6654bfffad1 +4bffe5d938600003 +4bffe985386000c8 +4bfff66d4bfffad5 3c6040003c800020 -6000000048000779 +60000000480006e9 7c691b782c030000 7c0004ac40820020 7c0004ac7f80d72a 382100a07f80df2a -4800154c7d2307b4 +480015407d2307b4 38a0000038c00000 3c6040003c800020 -600000004800055d +6000000048000471 7f80df2a7c0004ac 4bffffd039200001 0100000000000000 -2c24000000000880 +3c4c000100000880 +7c0802a638429b7c +f8010010282303ff +41810028f821ffa1 +3c62ffff7c641b78 +4bffde9938637eb0 +3821006060000000 +7c0803a6e8010010 +3d2000104e800020 +408000287c234840 +39200066786505a0 +7864b2827ca54b92 +38637eb83c62ffff +600000004bffde5d +3d2040004bffffc4 +7c23484078646502 +7863b28240800024 +7d29185078895564 +3c62ffff38a00066 +38637ec87ca92b92 +786317824bffffc8 +7865556439200066 +7c641b787ca52050 +7ca54b923c62ffff +4bffffa438637ed8 +0100000000000000 +3c4c000100000080 +7c0802a638429aac +7cc42a14fbe1fff8 +7c8523787cbf2b78 +3c62ffff7c641b78 +38637ee878c60020 +f821ff91f8010010 +600000004bffddbd +4bfffef97fe3fb78 +38637ef83c62ffff +600000004bffdda5 +4800141038210070 +0100000000000000 +2c24000000000180 7869f84241820024 7c6300d0786307e0 5463028054630794 @@ -1573,54 +1629,15 @@ f821ff6148001731 386300014e800020 000000004bfffff4 0000000000000000 -38429bc83c4c0001 -f80100107c0802a6 -282303fff821ffa1 -7c641b7841810028 -38637e403c62ffff -600000004bffdee5 -e801001038210060 -4e8000207c0803a6 -7c2348403d200010 -786505a040800028 -7ca54b9239200066 -3c62ffff7864b282 -4bffdea938637e48 -4bffffc460000000 -786465023d204000 -408000247c234840 -788955647863b282 -38a000667d291850 -7ca92b923c62ffff -4bffffc838637e58 -3920006678631782 -7ca5205078655564 -3c62ffff7c641b78 -38637e687ca54b92 -000000004bffffa4 -0000008001000000 -38429af83c4c0001 -fbe1fff87c0802a6 -f821ff91f8010010 -7cbf2b787cc42a14 -7c641b787c852378 -78c600203c62ffff -4bffde0938637e78 -7fe3fb7860000000 -3c62ffff4bfffef9 -4bffddf138637e88 -3821007060000000 -00000000480013e0 -0000018001000000 -38429a903c4c0001 -480013557c0802a6 -3d40aaaaf821ffc1 -7c7f1b7878840764 +38429a083c4c0001 +788407647c0802a6 +7c691b783d40aaaa +48001339614aaaaa 7884f0827f832214 -7c7d1b7839040001 -7c691b787d0903a6 -42000080614aaaaa -600000004bffde09 +39040001f821ffc1 +7d0903a67c7f1b78 +420000807c7d1b78 +600000004bffdd79 3d00aaaa7d3fe050 7feafb787929f082 3bc0000039290001 @@ -1630,12 +1647,12 @@ f821ff91f8010010 392900017feafb78 7d2903a661085555 7fffe05042000058 -600000004bffddb9 +600000004bffdd29 3d2055557bfff082 61295555395f0001 420000407d4903a6 7fc307b438210040 -91490000480012f8 +91490000480012ec 4bffff7839290004 7c094000812a0000 3bde000141820008 @@ -1646,608 +1663,607 @@ f821ff91f8010010 3bbd00043bde0001 000000004bffffac 0000048001000000 -384299803c4c0001 -480012497c0802a6 -39200001f821ffc1 -2fa50000789ff022 -7c7e1b78391f0001 -394000007d0903a6 -420000387cbd2b78 -4bffdcfd7bff0020 -391f000160000000 -7d0903a62fbd0000 -3860000039200001 -4200004039400000 -7c6307b438210040 -419e00284800123c -792907e07928fc62 -7129d0087d2900d0 -792813a87d294278 -394a00017d5e412e -392900014bffffa4 -419e00384bffffec -792907e07928fc62 -7129d0087d2900d0 -792813a87d294278 -5508043e7d1e402e -418200087c085000 -394a000138630001 -392900014bffff8c -000000004bffffdc -0000038001000000 -384298983c4c0001 -7d8000267c0802a6 -918100084800113d -2e260000f821ff41 +384298f83c4c0001 +7c0802a67d600026 +2e26000091610008 +f821ff4148001211 7cba2b787c7f1b78 789cf0827cde3378 -81260004419200c4 +81260004419200c0 2c09000082e60000 -3ec2ffff40820048 +3f02ffff40820044 3b6000013ba00000 -7bf800207ff9fb78 -7c3ce8403ad67e90 -3c62ffff4082009c -38637e907b851028 -4bfffd357b240020 -386379e83c62ffff -600000004bffdb5d -600000004bffdbc9 -7ffbfb782d970000 -3ac000013ba00000 -7bf500203b200000 -7fb8eb787c3de040 -2c17000040820084 -3c62ffff41820028 -38637ea07b051028 -4bfffcdd7be40020 -386379e83c62ffff -600000004bffdb05 -7f2307b4382100c0 -7d81812081810008 -3ae00001480010ac -7b6300204bffff4c -4bfffb917f44d378 -7c7f492e7ba91764 -7c7b1b7873a97fff -7ba5102840820014 -7ec3b3787f04c378 -3bbd00014bfffc81 -7f44d3784bffff2c -4bfffb597ac30020 -7c651b78809b0000 -7c0320407c761b78 -3b3900014182003c -e99e000841920034 -418200282c2c0000 -e8de00107d8903a6 -f841001878840020 -4e8004217b630020 -2c030000e8410018 -73097fff4082ff58 -418e00184082001c +3b187f007bf90020 +4082009c7c3ce840 +7b8510283c62ffff +7be4002038637f00 +3c62ffff4bfffde5 +4bffdbc138637a68 +4bffdc2560000000 +2d97000060000000 +3ba000007ffbfb78 +3b2000003ac00001 +7c3de0407bf50020 +408200847fb8eb78 +418200282c170000 7b0510283c62ffff -38637ea07ea4ab78 -3bbd00014bfffc01 -4bfffef43b7b0004 -0300000000000000 -3c4c000100000b80 -7c0802a6384296d4 -918100087d908026 -f821ff7148000f89 -7c7e1b787cdd3378 -7c9f23782e3d0000 -3c62ffff7c641b78 -7cbc2b7838637eb0 -600000004bffd9dd -38637ec83c62ffff -3c62ffff4092000c -4bffd9c138637ed8 -7fe3fb7860000000 -4bfffaad7bfde8c2 -38637ee83c62ffff -600000004bffd9a5 -408200742c3c0000 -38fd00017d5602a6 -7ce903a67fc9f378 -420000843900ffff -3f8005f57d3602a6 -639ce100794a0020 -7f9fe1d279290020 -3c62ffff7d295050 -7f9c4b9238637ef0 -600000004bffd955 -4bfffa457f83e378 -38637f003c62ffff -600000004bffd93d -386379e83c62ffff -600000004bffd92d -600000004bffd999 -409200287f7602a6 -7d2903a6393d0001 -e93e000042400030 -4bfffff43bde0008 -39290008f9090000 -7fbaeb784bffff74 -3b80000039400000 -4082006c7c1ae000 +7be4002038637f10 +3c62ffff4bfffd8d +4bffdb6938637a68 +382100c060000000 +816100087f2307b4 +4800118c7d618120 +4bffff503ae00001 +7f44d3787b630020 +7ba917644bfffdb5 +73a97fff7c7f492e +408200147c7b1b78 +7f24cb787ba51028 +4bfffd317f03c378 +4bffff2c3bbd0001 +7ac300207f44d378 +809b00004bfffd7d +7c761b787c651b78 +4182003c7c032040 +419200343b390001 +2c2c0000e99e0008 +7d8903a641820028 +78840020e8de0010 +7b630020f8410018 +e84100184e800421 +4082ff582c030000 +4082001c73187fff +3c62ffff418e0018 +7ea4ab787ba51028 +4bfffcb138637f10 +3b7b00043bbd0001 +000000004bfffef4 +00000b8003000000 +384297383c4c0001 +7c0802a67d708026 +4800106991610008 +7cdb3378f821ff71 +2e3b00003ba4ffe0 +7c9e23787c7f1b78 +7c641b787fa3ea14 +38637f203c62ffff +4bffda397cbc2b78 +3c62ffff60000000 +4092000c38637f38 +38637f483c62ffff +600000004bffda1d +4bfffb597fc3f378 +38637f583c62ffff +600000004bffda05 +408200a82c3c0000 +38df00207cf602a6 +7c26284038bd0020 +7929d9427d3fe850 +3900ffff7feafb78 +4081000839290001 +2c29000139200001 +3929fffff90a0000 +f90a0010f90a0008 +394a0020f90a0018 +7d3602a64082ffe4 +78ea00203f8005f5 +79290020639ce100 +7d2950507f9ee1d2 +38637f603c62ffff +4bffd9817f9c4b92 +7f83e37860000000 +3c62ffff4bfffabd +4bffd96938637f70 +3c62ffff60000000 +4bffd95938637a68 +4bffd9bd60000000 +7f9602a660000000 +7d3fe85040920048 +3bbd0020395f0020 +7c2ae8407929d942 +4081000839290001 +2c29000139200001 +3929ffffe95f0000 +e95f0010e95f0008 +3bff0020e95f0018 +4800001c4082ffe4 +394000007bdbe8c2 +3ba000007f7adb78 +4082006c7c1dd000 3d4005f57d3602a6 -614ae1007b7b0020 -7fff51d279290020 -3c62ffff7d29d850 -7fff4b9238637f08 -600000004bffd8b5 -4bfff9a57fe3fb78 -38637f003c62ffff -600000004bffd89d -386379e83c62ffff -600000004bffd88d -8181000838210090 -48000e4c7d908120 -794300207f8407b4 -3b9c00014bfff925 -7c6a1b787d23eb96 -7d2918507d29e9d6 -7d3e482a79291f48 +614ae1007b9c0020 +7fde51d279290020 +3c62ffff7d29e050 +7fde4b9238637f78 +600000004bffd8bd +4bfff9f97fc3f378 +38637f703c62ffff +600000004bffd8a5 +38637a683c62ffff +600000004bffd895 +8161000838210090 +48000ed07d708120 +794300207fa407b4 +3bbd00014bfffaed +7c6a1b787d23db96 +7d2918507d29d9d6 +7d3f482a79291f48 000000004bffff68 0000068003000000 -384294f83c4c0001 -48000db57c0802a6 -3b400200f821ff71 -7c7e1b7828240200 -418100087c9f2378 -283f80007c9a2378 -4081000c7ffbfb78 -577b04203b60ffff -7fc4f3783c62ffff -4bffd7f138637f18 -7fe3fb7860000000 -3c62ffff4bfff8e1 -4bffd7d938637ee8 -7f44d37860000000 -4bfff9fd7fc3f378 -7f64db7838a00000 -7fc3f3787c7c1b78 -38c000004bfffaf9 +384295003c4c0001 +282402007c0802a6 +f821ff8148000e3d +7c9f23787c7e1b78 +418100083b800200 +3c62ffff7c9c2378 +38637f887fc4f378 +600000004bffd80d +4bfff9497fe3fb78 +38637f583c62ffff +600000004bffd7f5 +7fc3f3787f84e378 +38c000004bfffaa1 7fe4fb7838a00001 7fc3f3787c7d1b78 -7d3de2144bfffbc9 -7c7e1b787d291a14 -4182006c2c090000 -7b45f8823c62ffff -38637f287f84e378 -600000004bffd775 -7b65f0823c62ffff -38637f407fa4eb78 -600000004bffd75d +7d23ea144bfffb99 +2c0900007c7e1b78 +3c62ffff41820080 +7fa4eb787b85f882 +4bffd7a938637f98 +283f800060000000 +4081000c7fe5fb78 +54a5042038a0ffff +78a5f0823c62ffff +38637fb038800000 +600000004bffd77d 7be5f0823c62ffff -38637f587fc4f378 -600000004bffd745 -38637f703c62ffff +38637fc87fc4f378 +600000004bffd765 +38637fe03c62ffff +600000004bffd755 +3821008038600000 +48000d987c6307b4 +38637ff03c62ffff 600000004bffd735 -3821009038600000 -48000cf47c6307b4 -38637f803c62ffff -600000004bffd715 4bffffe038600001 0100000000000000 -3c4c000100000680 -60000000384293b4 -6000000089228098 -2c09000039428090 -e92a00004182002c +3c4c000100000480 +60000000384293d4 +6000000089228108 +2c09000039428100 +e92a000041820030 7c0004ac39290014 712900207d204eaa -e92a00004182ffec -7c604faa7c0004ac -e92a00004e800020 -7c0004ac39290010 -712900087d204eea -5469063e4082ffec -7c0004ace94a0000 +600000004182ffec +7c0004ace9228100 +4e8000207c604faa +39290010e92a0000 +7d204eea7c0004ac +4082ffec71290008 +600000005469063e +7c0004ace9428100 4e8000207d2057ea 0000000000000000 3c4c000100000000 -7c0802a638429334 -fbe1fff8fbc1fff0 -f821ffd1f8010010 -8fdf00013be3ffff +7c0802a63842934c +fbc1fff0fbe1fff8 +f80100103be3ffff +8fdf0001f821ffd1 408200102c1e0000 3860000038210030 -2c1e000a48000c3c +2c1e000a48000cd0 3860000d4082000c -7fc307b44bffff45 -4bffffd04bffff3d +7fc307b44bffff3d +4bffffd04bffff35 0100000000000000 3c4c000100000280 -3d40c000384292d4 -794a0020614a0020 -7d4056ea7c0004ac -794a06003d20c000 -7929002061290008 +3d20c000384292ec +7929002061290020 7d204eea7c0004ac -4182001871290020 -612900403d20c000 -7c0004ac79290020 -7929f8047d204eea -79290fc33d00c000 -7908002061082000 -f902809060000000 -610820003d00001c -418200847d4a4392 +792906003d40c000 +794a0020614a0008 +7d4056ea7c0004ac +3d40c000714a0020 +794a0020614a2000 +6000000040820040 +39400000f9428100 +9942810860000000 +614a20003d40001c +3d40c0007d295392 +794a0020614a2018 +7c0004ac3929ffff +4e8000207d2057ea +610800403d00c000 +7c0004ac79080020 +790807e37d0046ea +f942810060000000 +614a20003d40001c +4182ffa07d495392 3920000160000000 -3d00c00099228098 +3d00c00099228108 3920ff806108200c 7c0004ac79080020 -e92280907d2047aa +e92281007d2047aa 7d404faa7c0004ac -794ac202e9228090 +794ac202e9228100 7c0004ac39290004 -e92280907d404faa +e92281007d404faa 3929000c39400003 7d404faa7c0004ac -39290010e9228090 +39290010e9228100 7d404faa7c0004ac -39400007e9228090 +39400007e9228100 7c0004ac39290008 4e8000207d404faa -394affff60000000 -3d20c00099228098 -7929002061292018 -7d404fea7c0004ac -000000004e800020 0000000000000000 -3940000078a9e8c2 -7d2903a639290001 -78a9072442000028 -7d434a147ca92850 -7c844a1439050001 -392000007d0903a6 -4e80002042000018 -7d23512a7d24502a -4bffffcc394a0008 -7d0a49ae7d0448ae -4bffffdc39290001 -0000000000000000 -7c691b7800000000 -7d4918ae38600000 -4d8200202c0a0000 -4bfffff038630001 -0000000000000000 -2c24000000000000 -3881fff040820008 -f864000028050024 -4d81002038600000 -6108ffff3d00fffe -6108d9ff790883e4 -89490000e9240000 -40810028280a0020 -4182003c2c250000 -418200382c050010 -4800008838600000 -f924000039290001 -7d0a56344bffffd0 -4182ffec714a0001 -4082ffdc2c250000 -4bffffd438a0000a -2c0a003038a0000a -894900014082ffc8 -4082ffbc2c0a0078 -38a0001039290002 -4bffffacf9240000 -54e7063e38eaffd0 -4181003c28070009 -7d2a07343929ffd0 -4c8000207c0a2800 -7c6519d239080001 -f90400007d290734 -e90400007c691a14 -714900ff89480000 -4e8000204082ffc0 -54e7063e38eaff9f -4181000c28070019 -4bffffb83929ffa9 -554a063e394affbf -4d810020280a0019 -4bffffa03929ffc9 -0000000000000000 -3923ff9f00000000 -4181000828090019 -7c6307b43863ffe0 -000000004e800020 +78a9e8c200000000 +3929000139400000 +420000287d2903a6 +78a5076078a90724 +7d434a1439050001 +7c844a147d0903a6 +4200001839200000 +7d24502a4e800020 +394a00087d23512a +7d0448ae4bffffcc +392900017d0a49ae +000000004bffffdc +0000000000000000 +386000007c691b78 +2c0a00007d4918ae +386300014d820020 +000000004bfffff0 +0000000000000000 +408200082c240000 +280500243881fff0 +38600000f8640000 +3d00fffe4d810020 +790883e46108ffff +e92400006108d9ff +280a002089490000 +2c25000040810040 +2c05001041820054 +2c0a003040820064 +894900014082006c +408200602c0a0078 +f924000039290002 +3929000148000054 +4bffffb8f9240000 +714a00017d0a5634 +2c2500004182ffec +38a0000a4082002c +2c0a00304800001c +4082001038a0000a +2c0a007889490001 +386000004182ffb8 +2c05001048000048 +38a000104082fff4 +38eaffd04bffffec +2807000954e7063e +3929ffd04181003c +7c0a28007d2a0734 +390800014c800020 +7d2907347c6519d2 +7c691a14f9040000 +89480000e9040000 +4082ffc0714900ff +38eaff9f4e800020 +2807001954e7063e +3929ffa94181000c +394affbf4bffffb8 +280a0019554a063e +3929ffc94d810020 +000000004bffffa0 +0000000000000000 +280900193923ff9f +3863ffe041810008 +4e8000207c6307b4 0000000000000000 -38428fd03c4c0001 -480008897c0802a6 -7c7e1b78f821ffa1 -7ca32b787c9c2378 -38a0000a38800000 -eb3e00007cfd3b78 +3c4c000100000000 +7c0802a638428fb4 +f821ffa1480008e9 +7cfd3b787c7e1b78 +7c9c23787ca32b78 +3880000038a0000a +7cdf3378eb3e0000 7d3a4b787d1b4378 -4bfffe797cdf3378 -2b9d001060000000 -7c681b7839200000 -408200242c3f0000 -408200082c090000 -7d29d21439200001 -418100547c034800 -4800087038210060 +600000004bfffe59 +394000002b9d0010 +4082005c2c3f0000 +408200082c0a0000 +7d4ad21439400001 +4081003c7c035000 +7d2948f87d235050 +3929000179290020 +e93e00007d2903a6 +7c2ae0407d594850 +9b69000040800018 +39290001e93e0000 +4200ffe0f93e0000 +4800089c38210060 7bffe102409e0010 -4bffffcc39290001 +4bffff94394a0001 4bfffff47fffeb92 -2c2a00019b690000 -e93e0000394affff -f93e000039290001 -e93e00004182ffc8 -7c28e0407d194850 -4bffffb44180ffd8 -2c0900007d294050 -794a00203949ffff -40810010394a0001 -7c0940003d008000 -394000014082ffcc -000000004bffffc4 -0000078001000000 -38428ed83c4c0001 -480007997c0802a6 -3bc00000f821ffb1 -7c9c23787c7f1b78 -7cbd2b78eb630000 -4bfffd697fa3eb78 -7c3e184060000000 -e93f000040800014 -7c2ae0407d5b4850 -382100504180000c -7d5df0ae480007a4 -994900003bde0001 -39290001e93f0000 -4bffffbcf93f0000 0100000000000000 -3c4c000100000580 -7c0802a638428e5c -918100087d908026 -f821ffa148000711 -2b8600103be00000 -3d22ffff7c7c1b78 -7cbe2b78e9297f90 -7caa2b787cdd3378 -3d22fffff9210020 -f9210028e9297f98 -408200342c2a0000 -408200082c1f0000 -7fff07b43be00001 -7c3f20402e270000 -408100303b7fffff -8181000838210060 -480006f47d908120 -794ae102409e0010 -4bffffbc3bff0001 -4bfffff47d4aeb92 -7f5eeb927f5ed378 -7d29f0507d3ae9d2 -886900207d214a14 -5463063e41920010 -600000004bfffdad -e93c00007c3df040 -3b7bffff7c69d9ae -e93c00004081ffc8 -f93c00007d29fa14 -000000004bffff90 -0000068003000000 -38428d683c4c0001 -480005f57c0802a6 -3be00000f821fee1 -f86100607c691b79 -41820060f9210068 -418200582c240000 -3e62ffff39210040 -3ae4ffff3e22ffff -3b010020f9210070 -3a4000203ac00000 -3ba100603a737fb0 -e94100683a317fa8 -ebc1006089250000 -712a00ff7feaf050 -7c3fb8404182000c -3920000041800018 -38210120993e0000 -480005c47fe307b4 -390500012c0a0025 -38e0000040820548 -e901007089250000 -7cea3b787cb02b78 -7d2741ae8c650001 -5469063e39070001 -418200b02c090064 -4181002c28090078 -4181002c28090068 -418200982c090058 -4181008828090058 -418200882c090025 -418200802c09004f -4bffffa438e70001 -548b063e3883ff97 -4181ffec280b000f -396b73c43d62ffff -7c8b22aa788415a8 -7c8903a67c845a14 -000000484e800420 +3c4c000100000780 +7c0802a638428ee4 +f821ffb148000821 +7c7f1b78eb630000 +7cbd2b787c9c2378 +7fa3eb783bc00000 +600000004bfffd71 +408000147c3e1840 +7d5b4850e93f0000 +4180000c7c2ae040 +4800082c38210050 +3bde00017d5df0ae +e93f000099490000 +f93f000039290001 +000000004bffffbc +0000058001000000 +38428e683c4c0001 +600000007c0802a6 +2b860010e9228000 +7caa2b787d708026 +4800078991610008 +7c7c1b78f821ffa1 +7cdd33787cbe2b78 +f92100203be00000 +e922800860000000 +2c2a0000f9210028 +2c1f000040820034 +3be0000140820008 +2e2700007fff07b4 +3b7fffff7c3f2040 +3821006040810030 +7d70812081610008 +409e00104800077c +3bff0001794ae102 +7d4aeb924bffffbc +7f5ed3784bfffff4 +7d3ae9d27f5eeb92 +7d214a147d29f050 +4192001088690020 +4bfffdd55463063e +7c3df04060000000 +7c69d9aee93c0000 +4081ffc83b7bffff +7d29fa14e93c0000 +4bffff90f93c0000 +0300000000000000 +3c4c000100000680 +7c0802a638428d74 +f821ff014800067d +f86100607c7d1b79 +4182001438600000 +3bc4ffff2c240000 +4082013c3b610040 +7c6307b438210100 +2c0a00254800069c +4082062039050001 +7cbc2b7838e00000 +7ce93b7889450000 +889c000138a50001 +394700017d47d9ae +2c0800645488063e +28080078418201cc +280800684181002c +2c0800584181002c +2808005841820130 +2c08002541810088 +2c08004f418200c0 +38e7000141820118 +3904ff974bffffa4 +280b000f550b063e +3d62ffff4181ffec +790815a8396b7368 +7d085a147d0b42aa +4e8004207d0903a6 +ffffffcc00000164 ffffffccffffffcc ffffffccffffffcc -00000048ffffffcc -ffffffcc00000048 -00000048ffffffcc -00000048ffffffcc +000000cc0000006c ffffffccffffffcc -2c09006300000048 -394a00024bffff84 -7d0807b42c090025 -7d1842147d4a07b4 -986800207d585214 -408200189aca0020 -993e0000395e0001 -38b00002f9410060 -892100414bfffeb4 -7fffb850eb860000 -3aa0002039e60008 -3929ffd23b210041 -4082000c712900fd -3b2100423aa00030 -3b4000043a800000 -56b5063e3b600000 -480001687ddc00d0 -38b900012c06004f -38e8ffa8418201dc -2804002254e4063e -3c82ffff418103ac -78e715a8388474c0 -7ce722147ce43aaa -4e8004207ce903a6 -0000038c00000150 -0000038c0000038c -0000038c0000038c -0000038c0000038c -0000038c0000038c -000002580000038c -0000038c0000008c -0000038c0000038c -0000008c00000370 -0000038c0000038c -0000038c0000035c -000001ac0000038c -0000038c000001fc -000002980000038c -0000008c0000038c -0000038c0000038c -0000038c00000154 -2c06007500000368 -7d4152147b6a0020 -7f8ae3789aca0020 -5747183841820038 -38e7ffff39000001 -7f8840397d083836 -3940002d41820020 -9949ffff39290001 -7b491e68f9210060 -7dca50387d53482a -7d465378e8810060 -f941007838e0000a -392000007f05c378 -7fa3eb787ea8ab78 -7c84f8507c9e2050 -e88100604bfffa79 -7a8707e0e9410078 -7c9e205038c0000a -7c84f8507d455378 -4bfffbc97fa3eb78 -891900003b390001 -710600ffe9210060 -7d5e485041820010 -4181fe847c3f5040 -4bfffe307de67b78 -7b6900203a800001 -7d214a1438e00010 -7ea8ab787c8af850 -9ac900207f05c378 -7fa3eb787b491e68 -392000007d73482a -7d665b787f8b5838 -4bfff9edf9610078 -7a8707e0e8810060 -7c9e205038c00010 -7d655b78e9610078 -7b6900204bffff74 -7d214a1438e00008 -7ea8ab787c8af850 -9ac900207f05c378 -7fa3eb787b491e68 -392000007d73482a -7d665b787f8b5838 -4bfff995f9610078 -7a8707e0e8810060 -7c9e205038c00008 -7b6900204bffffa8 +ffffffcc000000b8 +ffffffcc00000048 +00000150ffffffcc +4bffff842c080063 +7d4a07b439010020 +390000757d485214 +990a002039290002 +7d2907b439410020 +3901002048000094 +7d4852147d4a07b4 +4bffffdc3900006f +991f0000393f0001 +38bc0002f9210060 +ebe1006089250000 +7c7df850712a00ff +7c23f0404182000c +392000004180febc +4bfffea4993f0000 +7d4a07b439010020 +390000737d485214 +390100204bffff90 +7d4852147d4a07b4 +4bffff7c39000070 +7d4a07b438e10020 +392900027d475214 +990a00207d2907b4 +7d2a4a147cea3b78 +7f23f05039400000 +994900203a460008 +3ac100423a600030 +3929ffd289210041 +eb060000712900fd +5669063e40820458 +3a80000060000000 +f92100683aa00004 +3a2000003ae00000 +480001a43a028020 +7d4a07b439010020 +390000787d485214 +390100204bfffef8 +7d4852147d4a07b4 +7d2907b439290002 +7d0a4378988a0020 +2c08004f4bffff7c +418201dc38f60001 +5546063e3949ffa8 +418103b828060022 +38c675243cc2ffff +7d4652aa794a15a8 +7d4903a67d4a3214 +000001584e800420 +0000039800000398 +0000039800000398 +0000039800000398 +0000039800000398 +0000039800000398 +0000008c00000268 +0000039800000398 +0000037c00000398 +000003980000008c +0000036400000398 +0000039800000398 +00000204000001ac +0000039800000398 +00000398000002ac +000003980000008c +0000015c00000398 +000003bc00000398 +7ae900202c080075 +394000007d214a14 +994900207f1ac378 +56aa183841820044 +394affff39200001 +7f0948397d295036 +3920002d4182002c +3942802060000000 +992e00007f5800d0 +f9210060392e0001 +7d2a482a7aa91e68 +e88100607f5a4838 +7f46d37838e0000a +3920000038a10020 +386100605668063e +7c84c8507c9f2050 +e88100604bfffa25 +38c0000a7a8707e0 +7c9f20507f45d378 +386100607c84c850 +3ad600014bfffb51 +e9c1006089360000 +41820010712800ff +7c39d0407f5f7050 +7e4693784181fe7c +3a8000014bfffd7c +e90100687ae90020 7d214a1438e00010 -7c8af8507f86e378 -9ac9002039000020 -392000027f05c378 -4bfff9557fa3eb78 -7e258b78e8810060 -7c9e20507fa3eb78 -4bfffa357c84f850 +38a100207c9ac850 +9a29002038610060 +7dd0482a7aa91e68 +7f0e703839200000 +4bfff9a17dc67378 7a8707e0e8810060 -7f85e37838c00010 -4bfffec87c9e2050 -390000207b690020 -38e0000a7d214a14 -7f05c37838c00001 -7c8af8509ac90020 -7fa3eb7839200000 -e92100604bfff8f9 -392900019b890000 -4bfffe94f9210060 -38a0000a7b680020 -f9410080f9210088 -388000007d014214 -9ac800207f03c378 -600000004bfff76d -7f83e378f8610078 -600000004bfff735 -e9410080e9010078 -7c281840e9210088 -e88100604181003c -7fa3eb787f85e378 -7c84f8507c9e2050 -4bfffe2c4bfff979 -392900019a490000 -7c29184039000001 -f921006040820034 -7ce9f0504bffffcc -7ce7fa147c634050 -2c2700007d4af850 -390000007c691a14 -40820008394a0001 -2c2a000139400001 -4082ffb4394affff -4082ffc071080001 -893900014bffff8c -4182004c2c09006c -4bfffdbc3b400008 -3b40000289390001 -4082fdac2c090068 -3b4000017cb92b78 -3928ffd04bfffda0 -280900095529063e -7b6900204181fd90 -7d214a143b7b0001 -4bfffd7c99090020 -4bffffb47cb92b78 -3bde0001993e0000 -fbc100607d054378 -000000004bfffa6c -0000128001000000 -f9e1ff78f9c1ff70 -fa21ff88fa01ff80 -fa61ff98fa41ff90 -faa1ffa8fa81ffa0 -fae1ffb8fac1ffb0 -fb21ffc8fb01ffc0 -fb61ffd8fb41ffd0 -fba1ffe8fb81ffe0 -fbe1fff8fbc1fff0 -4e800020f8010010 -e9e1ff78e9c1ff70 -ea21ff88ea01ff80 -ea61ff98ea41ff90 -eaa1ffa8ea81ffa0 -eae1ffb8eac1ffb0 -eb21ffc8eb01ffc0 -eb61ffd8eb41ffd0 -e8010010eb81ffe0 -7c0803a6eba1ffe8 -ebe1fff8ebc1fff0 -ebc1fff04e800020 -ebe1fff8e8010010 -4e8000207c0803a6 +7c9f205038c00010 +4bffff7c7dc57378 +394000007ae90020 +38e000087d214a14 +5668063e7c9ac850 +6000000099490020 +394280207aa91e68 +3861006038a10020 +392000007dca482a +7dc673787f0e7038 +e88100604bfff945 +38c000087a8707e0 +4bffffa47c9f2050 +394000007ae90020 +38e000107d214a14 +390000207f06c378 +38a1002099490020 +7c9ac85039200002 +4bfff90138610060 +60000000e8810060 +38a2801838610060 +7c84c8507c9f2050 +e88100604bfff9b5 +38c000107a8707e0 +7c9f20507f05c378 +7ae900204bfffec0 +7d214a1439400000 +38e0000a39000020 +9949002038c00001 +3920000038a10020 +386100607c9ac850 +e92100604bfff89d +392900019b090000 +4bfffe88f9210060 +394000007ae90020 +38a0000a7d214a14 +3861002038800000 +4bfff6f599490020 +7c6f1b7860000000 +4bfff6bd7f03c378 +7c2f184060000000 +7d0ef85040810064 +7d08ca147f5ac850 +2c2800007c637850 +394000007c6e1a14 +3b5a000138e00020 +3b40000140820008 +3b5affff2c3a0001 +714a000140820014 +f9c1006041820024 +98ee00004800001c +3940000139ce0001 +4082ffd47c237040 +e8810060f8610060 +386100607f05c378 +7c84c8507c9f2050 +4bfffdd04bfff8a5 +3aa0000889360001 +4082fdc02c09006c +4bfffdb87cf63b78 +3aa0000289360001 +4082fda82c090068 +3aa000017cf63b78 +3949ffd04bfffd9c +280a0009554a063e +7aea00204181fd8c +7d4152143af70001 +4bfffd78992a0020 +4bfffd703aa00008 +3ac100413a600020 +993f00004bfffba4 +7d0543783bff0001 +4bfffaf4fbe10060 +0100000000000000 +f9c1ff7000001280 +fa01ff80f9e1ff78 +fa41ff90fa21ff88 +fa81ffa0fa61ff98 +fac1ffb0faa1ffa8 +fb01ffc0fae1ffb8 +fb41ffd0fb21ffc8 +fb81ffe0fb61ffd8 +fbc1fff0fba1ffe8 +f8010010fbe1fff8 +e9c1ff704e800020 +ea01ff80e9e1ff78 +ea41ff90ea21ff88 +ea81ffa0ea61ff98 +eac1ffb0eaa1ffa8 +eb01ffc0eae1ffb8 +eb41ffd0eb21ffc8 +eb81ffe0eb61ffd8 +eba1ffe8e8010010 +ebc1fff07c0803a6 +4e800020ebe1fff8 +e8010010ebc1fff0 +7c0803a6ebe1fff8 +600000004e800020 6d6f636c65570a0a 63694d206f742065 2120747461776f72 @@ -2298,17 +2314,15 @@ ebe1fff8e8010010 203a46464f204853 7479622078257830 00000000000a7365 -2d2d2d2d2d2d2d2d -0000000000000000 -4d4152446574694c -6620746c69756220 -6567694d206d6f72 -646e61207325206e -2520586574694c20 -0000000000000a73 20676e69746f6f42 415242206d6f7266 0000000a2e2e2e4d +3135636632333936 +0000000000000000 +4d4152446574694c +6620746c69756220 +6574694c206d6f72 +0000000a73252058 6620676e69797254 0a2e2e2e6873616c 0000000000000000 diff --git a/litedram/generated/genesys2/litedram_core.v b/litedram/generated/genesys2/litedram_core.v index 9a2c35e..14b291e 100644 --- a/litedram/generated/genesys2/litedram_core.v +++ b/litedram/generated/genesys2/litedram_core.v @@ -8,8 +8,8 @@ // // Filename : litedram_core.v // Device : -// LiteX sha1 : -------- -// Date : 2022-01-14 08:32:12 +// LiteX sha1 : 6932fc51 +// Date : 2022-08-04 21:06:58 //------------------------------------------------------------------------------ @@ -69,5750 +69,6169 @@ module litedram_core ( // Signals //------------------------------------------------------------------------------ -reg main_rst = 1'd0; +reg rst_1 = 1'd0; wire sys_clk; wire sys_rst; wire sys4x_clk; wire sys4x_dqs_clk; wire iodelay_clk; wire iodelay_rst; -wire main_reset; -reg main_power_down = 1'd0; -wire main_locked; -wire main_clkin; -wire main_clkout0; -wire main_clkout_buf0; -wire main_clkout1; -wire main_clkout_buf1; -wire main_clkout2; -wire main_clkout_buf2; -wire main_clkout3; -wire main_clkout_buf3; -reg [3:0] main_reset_counter = 4'd15; -reg main_ic_reset = 1'd1; -reg main_k7ddrphy_rst_storage = 1'd0; -reg main_k7ddrphy_rst_re = 1'd0; -reg [4:0] main_k7ddrphy_half_sys8x_taps_storage = 5'd8; -reg main_k7ddrphy_half_sys8x_taps_re = 1'd0; -reg main_k7ddrphy_wlevel_en_storage = 1'd0; -reg main_k7ddrphy_wlevel_en_re = 1'd0; -reg main_k7ddrphy_wlevel_strobe_re = 1'd0; -wire main_k7ddrphy_wlevel_strobe_r; -reg main_k7ddrphy_wlevel_strobe_we = 1'd0; -reg main_k7ddrphy_wlevel_strobe_w = 1'd0; -reg main_k7ddrphy_cdly_rst_re = 1'd0; -wire main_k7ddrphy_cdly_rst_r; -reg main_k7ddrphy_cdly_rst_we = 1'd0; -reg main_k7ddrphy_cdly_rst_w = 1'd0; -reg main_k7ddrphy_cdly_inc_re = 1'd0; -wire main_k7ddrphy_cdly_inc_r; -reg main_k7ddrphy_cdly_inc_we = 1'd0; -reg main_k7ddrphy_cdly_inc_w = 1'd0; -reg [3:0] main_k7ddrphy_dly_sel_storage = 4'd0; -reg main_k7ddrphy_dly_sel_re = 1'd0; -reg main_k7ddrphy_rdly_dq_rst_re = 1'd0; -wire main_k7ddrphy_rdly_dq_rst_r; -reg main_k7ddrphy_rdly_dq_rst_we = 1'd0; -reg main_k7ddrphy_rdly_dq_rst_w = 1'd0; -reg main_k7ddrphy_rdly_dq_inc_re = 1'd0; -wire main_k7ddrphy_rdly_dq_inc_r; -reg main_k7ddrphy_rdly_dq_inc_we = 1'd0; -reg main_k7ddrphy_rdly_dq_inc_w = 1'd0; -reg main_k7ddrphy_rdly_dq_bitslip_rst_re = 1'd0; -wire main_k7ddrphy_rdly_dq_bitslip_rst_r; -reg main_k7ddrphy_rdly_dq_bitslip_rst_we = 1'd0; -reg main_k7ddrphy_rdly_dq_bitslip_rst_w = 1'd0; -reg main_k7ddrphy_rdly_dq_bitslip_re = 1'd0; -wire main_k7ddrphy_rdly_dq_bitslip_r; -reg main_k7ddrphy_rdly_dq_bitslip_we = 1'd0; -reg main_k7ddrphy_rdly_dq_bitslip_w = 1'd0; -reg main_k7ddrphy_wdly_dq_rst_re = 1'd0; -wire main_k7ddrphy_wdly_dq_rst_r; -reg main_k7ddrphy_wdly_dq_rst_we = 1'd0; -reg main_k7ddrphy_wdly_dq_rst_w = 1'd0; -reg main_k7ddrphy_wdly_dq_inc_re = 1'd0; -wire main_k7ddrphy_wdly_dq_inc_r; -reg main_k7ddrphy_wdly_dq_inc_we = 1'd0; -reg main_k7ddrphy_wdly_dq_inc_w = 1'd0; -reg main_k7ddrphy_wdly_dqs_rst_re = 1'd0; -wire main_k7ddrphy_wdly_dqs_rst_r; -reg main_k7ddrphy_wdly_dqs_rst_we = 1'd0; -reg main_k7ddrphy_wdly_dqs_rst_w = 1'd0; -reg main_k7ddrphy_wdly_dqs_inc_re = 1'd0; -wire main_k7ddrphy_wdly_dqs_inc_r; -reg main_k7ddrphy_wdly_dqs_inc_we = 1'd0; -reg main_k7ddrphy_wdly_dqs_inc_w = 1'd0; -reg main_k7ddrphy_wdly_dq_bitslip_rst_re = 1'd0; -wire main_k7ddrphy_wdly_dq_bitslip_rst_r; -reg main_k7ddrphy_wdly_dq_bitslip_rst_we = 1'd0; -reg main_k7ddrphy_wdly_dq_bitslip_rst_w = 1'd0; -reg main_k7ddrphy_wdly_dq_bitslip_re = 1'd0; -wire main_k7ddrphy_wdly_dq_bitslip_r; -reg main_k7ddrphy_wdly_dq_bitslip_we = 1'd0; -reg main_k7ddrphy_wdly_dq_bitslip_w = 1'd0; -reg [1:0] main_k7ddrphy_rdphase_storage = 2'd1; -reg main_k7ddrphy_rdphase_re = 1'd0; -reg [1:0] main_k7ddrphy_wrphase_storage = 2'd2; -reg main_k7ddrphy_wrphase_re = 1'd0; -wire [14:0] main_k7ddrphy_dfi_p0_address; -wire [2:0] main_k7ddrphy_dfi_p0_bank; -wire main_k7ddrphy_dfi_p0_cas_n; -wire main_k7ddrphy_dfi_p0_cs_n; -wire main_k7ddrphy_dfi_p0_ras_n; -wire main_k7ddrphy_dfi_p0_we_n; -wire main_k7ddrphy_dfi_p0_cke; -wire main_k7ddrphy_dfi_p0_odt; -wire main_k7ddrphy_dfi_p0_reset_n; -wire main_k7ddrphy_dfi_p0_act_n; -wire [63:0] main_k7ddrphy_dfi_p0_wrdata; -wire main_k7ddrphy_dfi_p0_wrdata_en; -wire [7:0] main_k7ddrphy_dfi_p0_wrdata_mask; -wire main_k7ddrphy_dfi_p0_rddata_en; -reg [63:0] main_k7ddrphy_dfi_p0_rddata = 64'd0; -wire main_k7ddrphy_dfi_p0_rddata_valid; -wire [14:0] main_k7ddrphy_dfi_p1_address; -wire [2:0] main_k7ddrphy_dfi_p1_bank; -wire main_k7ddrphy_dfi_p1_cas_n; -wire main_k7ddrphy_dfi_p1_cs_n; -wire main_k7ddrphy_dfi_p1_ras_n; -wire main_k7ddrphy_dfi_p1_we_n; -wire main_k7ddrphy_dfi_p1_cke; -wire main_k7ddrphy_dfi_p1_odt; -wire main_k7ddrphy_dfi_p1_reset_n; -wire main_k7ddrphy_dfi_p1_act_n; -wire [63:0] main_k7ddrphy_dfi_p1_wrdata; -wire main_k7ddrphy_dfi_p1_wrdata_en; -wire [7:0] main_k7ddrphy_dfi_p1_wrdata_mask; -wire main_k7ddrphy_dfi_p1_rddata_en; -reg [63:0] main_k7ddrphy_dfi_p1_rddata = 64'd0; -wire main_k7ddrphy_dfi_p1_rddata_valid; -wire [14:0] main_k7ddrphy_dfi_p2_address; -wire [2:0] main_k7ddrphy_dfi_p2_bank; -wire main_k7ddrphy_dfi_p2_cas_n; -wire main_k7ddrphy_dfi_p2_cs_n; -wire main_k7ddrphy_dfi_p2_ras_n; -wire main_k7ddrphy_dfi_p2_we_n; -wire main_k7ddrphy_dfi_p2_cke; -wire main_k7ddrphy_dfi_p2_odt; -wire main_k7ddrphy_dfi_p2_reset_n; -wire main_k7ddrphy_dfi_p2_act_n; -wire [63:0] main_k7ddrphy_dfi_p2_wrdata; -wire main_k7ddrphy_dfi_p2_wrdata_en; -wire [7:0] main_k7ddrphy_dfi_p2_wrdata_mask; -wire main_k7ddrphy_dfi_p2_rddata_en; -reg [63:0] main_k7ddrphy_dfi_p2_rddata = 64'd0; -wire main_k7ddrphy_dfi_p2_rddata_valid; -wire [14:0] main_k7ddrphy_dfi_p3_address; -wire [2:0] main_k7ddrphy_dfi_p3_bank; -wire main_k7ddrphy_dfi_p3_cas_n; -wire main_k7ddrphy_dfi_p3_cs_n; -wire main_k7ddrphy_dfi_p3_ras_n; -wire main_k7ddrphy_dfi_p3_we_n; -wire main_k7ddrphy_dfi_p3_cke; -wire main_k7ddrphy_dfi_p3_odt; -wire main_k7ddrphy_dfi_p3_reset_n; -wire main_k7ddrphy_dfi_p3_act_n; -wire [63:0] main_k7ddrphy_dfi_p3_wrdata; -wire main_k7ddrphy_dfi_p3_wrdata_en; -wire [7:0] main_k7ddrphy_dfi_p3_wrdata_mask; -wire main_k7ddrphy_dfi_p3_rddata_en; -reg [63:0] main_k7ddrphy_dfi_p3_rddata = 64'd0; -wire main_k7ddrphy_dfi_p3_rddata_valid; -wire main_k7ddrphy_sd_clk_se_nodelay; -wire main_k7ddrphy_sd_clk_se_delayed; -wire main_k7ddrphy_oq0; -wire main_k7ddrphy_oq1; -wire main_k7ddrphy_oq2; -wire main_k7ddrphy_oq3; -wire main_k7ddrphy_oq4; -wire main_k7ddrphy_oq5; -wire main_k7ddrphy_oq6; -wire main_k7ddrphy_oq7; -wire main_k7ddrphy_oq8; -wire main_k7ddrphy_oq9; -wire main_k7ddrphy_oq10; -wire main_k7ddrphy_oq11; -wire main_k7ddrphy_oq12; -wire main_k7ddrphy_oq13; -wire main_k7ddrphy_oq14; -wire main_k7ddrphy_oq15; -wire main_k7ddrphy_oq16; -wire main_k7ddrphy_oq17; -wire main_k7ddrphy_oq18; -wire main_k7ddrphy_oq19; -wire main_k7ddrphy_oq20; -wire main_k7ddrphy_oq21; -wire main_k7ddrphy_oq22; -wire main_k7ddrphy_oq23; -wire main_k7ddrphy_oq24; -reg main_k7ddrphy_dqs_oe = 1'd0; -wire main_k7ddrphy_dqs_preamble; -wire main_k7ddrphy_dqs_postamble; -wire main_k7ddrphy_dqs_oe_delay_tappeddelayline; -reg main_k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; -reg main_k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; -reg main_k7ddrphy_dqspattern0 = 1'd0; -reg main_k7ddrphy_dqspattern1 = 1'd0; -reg [7:0] main_k7ddrphy_dqspattern_o = 8'd0; -wire main_k7ddrphy_dqs_o_no_delay0; -wire main_k7ddrphy_dqs_o_delayed0; -wire main_k7ddrphy_dqs_t0; -reg [7:0] main_k7ddrphy_bitslip00 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip0_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip0_r0 = 16'd0; -wire main_k7ddrphy0; -wire main_k7ddrphy_dqs_o_no_delay1; -wire main_k7ddrphy_dqs_o_delayed1; -wire main_k7ddrphy_dqs_t1; -reg [7:0] main_k7ddrphy_bitslip10 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip1_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip1_r0 = 16'd0; -wire main_k7ddrphy1; -wire main_k7ddrphy_dqs_o_no_delay2; -wire main_k7ddrphy_dqs_o_delayed2; -wire main_k7ddrphy_dqs_t2; -reg [7:0] main_k7ddrphy_bitslip20 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip2_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip2_r0 = 16'd0; -wire main_k7ddrphy2; -wire main_k7ddrphy_dqs_o_no_delay3; -wire main_k7ddrphy_dqs_o_delayed3; -wire main_k7ddrphy_dqs_t3; -reg [7:0] main_k7ddrphy_bitslip30 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip3_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip3_r0 = 16'd0; -wire main_k7ddrphy3; -wire main_k7ddrphy_dm_o_nodelay0; -reg [7:0] main_k7ddrphy_bitslip01 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip0_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip0_r1 = 16'd0; -wire main_k7ddrphy_dm_o_nodelay1; -reg [7:0] main_k7ddrphy_bitslip11 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip1_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip1_r1 = 16'd0; -wire main_k7ddrphy_dm_o_nodelay2; -reg [7:0] main_k7ddrphy_bitslip21 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip2_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip2_r1 = 16'd0; -wire main_k7ddrphy_dm_o_nodelay3; -reg [7:0] main_k7ddrphy_bitslip31 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip3_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip3_r1 = 16'd0; -wire main_k7ddrphy_dq_oe; -wire main_k7ddrphy_dq_oe_delay_tappeddelayline; -reg main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; -reg main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; -wire main_k7ddrphy_dq_o_nodelay0; -wire main_k7ddrphy_dq_o_delayed0; -wire main_k7ddrphy_dq_i_nodelay0; -wire main_k7ddrphy_dq_i_delayed0; -wire main_k7ddrphy_dq_t0; -reg [7:0] main_k7ddrphy_bitslip02 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip0_value2 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip0_r2 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip03; -reg [7:0] main_k7ddrphy_bitslip04 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip0_value3 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip0_r3 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay1; -wire main_k7ddrphy_dq_o_delayed1; -wire main_k7ddrphy_dq_i_nodelay1; -wire main_k7ddrphy_dq_i_delayed1; -wire main_k7ddrphy_dq_t1; -reg [7:0] main_k7ddrphy_bitslip12 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip1_value2 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip1_r2 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip13; -reg [7:0] main_k7ddrphy_bitslip14 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip1_value3 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip1_r3 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay2; -wire main_k7ddrphy_dq_o_delayed2; -wire main_k7ddrphy_dq_i_nodelay2; -wire main_k7ddrphy_dq_i_delayed2; -wire main_k7ddrphy_dq_t2; -reg [7:0] main_k7ddrphy_bitslip22 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip2_value2 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip2_r2 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip23; -reg [7:0] main_k7ddrphy_bitslip24 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip2_value3 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip2_r3 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay3; -wire main_k7ddrphy_dq_o_delayed3; -wire main_k7ddrphy_dq_i_nodelay3; -wire main_k7ddrphy_dq_i_delayed3; -wire main_k7ddrphy_dq_t3; -reg [7:0] main_k7ddrphy_bitslip32 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip3_value2 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip3_r2 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip33; -reg [7:0] main_k7ddrphy_bitslip34 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip3_value3 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip3_r3 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay4; -wire main_k7ddrphy_dq_o_delayed4; -wire main_k7ddrphy_dq_i_nodelay4; -wire main_k7ddrphy_dq_i_delayed4; -wire main_k7ddrphy_dq_t4; -reg [7:0] main_k7ddrphy_bitslip40 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip4_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip4_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip41; -reg [7:0] main_k7ddrphy_bitslip42 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip4_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip4_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay5; -wire main_k7ddrphy_dq_o_delayed5; -wire main_k7ddrphy_dq_i_nodelay5; -wire main_k7ddrphy_dq_i_delayed5; -wire main_k7ddrphy_dq_t5; -reg [7:0] main_k7ddrphy_bitslip50 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip5_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip5_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip51; -reg [7:0] main_k7ddrphy_bitslip52 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip5_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip5_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay6; -wire main_k7ddrphy_dq_o_delayed6; -wire main_k7ddrphy_dq_i_nodelay6; -wire main_k7ddrphy_dq_i_delayed6; -wire main_k7ddrphy_dq_t6; -reg [7:0] main_k7ddrphy_bitslip60 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip6_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip6_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip61; -reg [7:0] main_k7ddrphy_bitslip62 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip6_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip6_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay7; -wire main_k7ddrphy_dq_o_delayed7; -wire main_k7ddrphy_dq_i_nodelay7; -wire main_k7ddrphy_dq_i_delayed7; -wire main_k7ddrphy_dq_t7; -reg [7:0] main_k7ddrphy_bitslip70 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip7_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip7_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip71; -reg [7:0] main_k7ddrphy_bitslip72 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip7_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip7_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay8; -wire main_k7ddrphy_dq_o_delayed8; -wire main_k7ddrphy_dq_i_nodelay8; -wire main_k7ddrphy_dq_i_delayed8; -wire main_k7ddrphy_dq_t8; -reg [7:0] main_k7ddrphy_bitslip80 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip8_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip8_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip81; -reg [7:0] main_k7ddrphy_bitslip82 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip8_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip8_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay9; -wire main_k7ddrphy_dq_o_delayed9; -wire main_k7ddrphy_dq_i_nodelay9; -wire main_k7ddrphy_dq_i_delayed9; -wire main_k7ddrphy_dq_t9; -reg [7:0] main_k7ddrphy_bitslip90 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip9_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip9_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip91; -reg [7:0] main_k7ddrphy_bitslip92 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip9_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip9_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay10; -wire main_k7ddrphy_dq_o_delayed10; -wire main_k7ddrphy_dq_i_nodelay10; -wire main_k7ddrphy_dq_i_delayed10; -wire main_k7ddrphy_dq_t10; -reg [7:0] main_k7ddrphy_bitslip100 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip10_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip10_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip101; -reg [7:0] main_k7ddrphy_bitslip102 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip10_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip10_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay11; -wire main_k7ddrphy_dq_o_delayed11; -wire main_k7ddrphy_dq_i_nodelay11; -wire main_k7ddrphy_dq_i_delayed11; -wire main_k7ddrphy_dq_t11; -reg [7:0] main_k7ddrphy_bitslip110 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip11_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip11_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip111; -reg [7:0] main_k7ddrphy_bitslip112 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip11_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip11_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay12; -wire main_k7ddrphy_dq_o_delayed12; -wire main_k7ddrphy_dq_i_nodelay12; -wire main_k7ddrphy_dq_i_delayed12; -wire main_k7ddrphy_dq_t12; -reg [7:0] main_k7ddrphy_bitslip120 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip12_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip12_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip121; -reg [7:0] main_k7ddrphy_bitslip122 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip12_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip12_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay13; -wire main_k7ddrphy_dq_o_delayed13; -wire main_k7ddrphy_dq_i_nodelay13; -wire main_k7ddrphy_dq_i_delayed13; -wire main_k7ddrphy_dq_t13; -reg [7:0] main_k7ddrphy_bitslip130 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip13_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip13_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip131; -reg [7:0] main_k7ddrphy_bitslip132 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip13_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip13_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay14; -wire main_k7ddrphy_dq_o_delayed14; -wire main_k7ddrphy_dq_i_nodelay14; -wire main_k7ddrphy_dq_i_delayed14; -wire main_k7ddrphy_dq_t14; -reg [7:0] main_k7ddrphy_bitslip140 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip14_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip14_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip141; -reg [7:0] main_k7ddrphy_bitslip142 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip14_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip14_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay15; -wire main_k7ddrphy_dq_o_delayed15; -wire main_k7ddrphy_dq_i_nodelay15; -wire main_k7ddrphy_dq_i_delayed15; -wire main_k7ddrphy_dq_t15; -reg [7:0] main_k7ddrphy_bitslip150 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip15_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip15_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip151; -reg [7:0] main_k7ddrphy_bitslip152 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip15_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip15_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay16; -wire main_k7ddrphy_dq_o_delayed16; -wire main_k7ddrphy_dq_i_nodelay16; -wire main_k7ddrphy_dq_i_delayed16; -wire main_k7ddrphy_dq_t16; -reg [7:0] main_k7ddrphy_bitslip160 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip16_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip16_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip161; -reg [7:0] main_k7ddrphy_bitslip162 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip16_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip16_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay17; -wire main_k7ddrphy_dq_o_delayed17; -wire main_k7ddrphy_dq_i_nodelay17; -wire main_k7ddrphy_dq_i_delayed17; -wire main_k7ddrphy_dq_t17; -reg [7:0] main_k7ddrphy_bitslip170 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip17_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip17_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip171; -reg [7:0] main_k7ddrphy_bitslip172 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip17_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip17_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay18; -wire main_k7ddrphy_dq_o_delayed18; -wire main_k7ddrphy_dq_i_nodelay18; -wire main_k7ddrphy_dq_i_delayed18; -wire main_k7ddrphy_dq_t18; -reg [7:0] main_k7ddrphy_bitslip180 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip18_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip18_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip181; -reg [7:0] main_k7ddrphy_bitslip182 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip18_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip18_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay19; -wire main_k7ddrphy_dq_o_delayed19; -wire main_k7ddrphy_dq_i_nodelay19; -wire main_k7ddrphy_dq_i_delayed19; -wire main_k7ddrphy_dq_t19; -reg [7:0] main_k7ddrphy_bitslip190 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip19_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip19_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip191; -reg [7:0] main_k7ddrphy_bitslip192 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip19_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip19_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay20; -wire main_k7ddrphy_dq_o_delayed20; -wire main_k7ddrphy_dq_i_nodelay20; -wire main_k7ddrphy_dq_i_delayed20; -wire main_k7ddrphy_dq_t20; -reg [7:0] main_k7ddrphy_bitslip200 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip20_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip20_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip201; -reg [7:0] main_k7ddrphy_bitslip202 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip20_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip20_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay21; -wire main_k7ddrphy_dq_o_delayed21; -wire main_k7ddrphy_dq_i_nodelay21; -wire main_k7ddrphy_dq_i_delayed21; -wire main_k7ddrphy_dq_t21; -reg [7:0] main_k7ddrphy_bitslip210 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip21_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip21_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip211; -reg [7:0] main_k7ddrphy_bitslip212 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip21_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip21_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay22; -wire main_k7ddrphy_dq_o_delayed22; -wire main_k7ddrphy_dq_i_nodelay22; -wire main_k7ddrphy_dq_i_delayed22; -wire main_k7ddrphy_dq_t22; -reg [7:0] main_k7ddrphy_bitslip220 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip22_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip22_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip221; -reg [7:0] main_k7ddrphy_bitslip222 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip22_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip22_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay23; -wire main_k7ddrphy_dq_o_delayed23; -wire main_k7ddrphy_dq_i_nodelay23; -wire main_k7ddrphy_dq_i_delayed23; -wire main_k7ddrphy_dq_t23; -reg [7:0] main_k7ddrphy_bitslip230 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip23_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip23_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip231; -reg [7:0] main_k7ddrphy_bitslip232 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip23_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip23_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay24; -wire main_k7ddrphy_dq_o_delayed24; -wire main_k7ddrphy_dq_i_nodelay24; -wire main_k7ddrphy_dq_i_delayed24; -wire main_k7ddrphy_dq_t24; -reg [7:0] main_k7ddrphy_bitslip240 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip24_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip24_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip241; -reg [7:0] main_k7ddrphy_bitslip242 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip24_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip24_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay25; -wire main_k7ddrphy_dq_o_delayed25; -wire main_k7ddrphy_dq_i_nodelay25; -wire main_k7ddrphy_dq_i_delayed25; -wire main_k7ddrphy_dq_t25; -reg [7:0] main_k7ddrphy_bitslip250 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip25_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip25_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip251; -reg [7:0] main_k7ddrphy_bitslip252 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip25_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip25_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay26; -wire main_k7ddrphy_dq_o_delayed26; -wire main_k7ddrphy_dq_i_nodelay26; -wire main_k7ddrphy_dq_i_delayed26; -wire main_k7ddrphy_dq_t26; -reg [7:0] main_k7ddrphy_bitslip260 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip26_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip26_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip261; -reg [7:0] main_k7ddrphy_bitslip262 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip26_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip26_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay27; -wire main_k7ddrphy_dq_o_delayed27; -wire main_k7ddrphy_dq_i_nodelay27; -wire main_k7ddrphy_dq_i_delayed27; -wire main_k7ddrphy_dq_t27; -reg [7:0] main_k7ddrphy_bitslip270 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip27_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip27_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip271; -reg [7:0] main_k7ddrphy_bitslip272 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip27_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip27_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay28; -wire main_k7ddrphy_dq_o_delayed28; -wire main_k7ddrphy_dq_i_nodelay28; -wire main_k7ddrphy_dq_i_delayed28; -wire main_k7ddrphy_dq_t28; -reg [7:0] main_k7ddrphy_bitslip280 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip28_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip28_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip281; -reg [7:0] main_k7ddrphy_bitslip282 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip28_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip28_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay29; -wire main_k7ddrphy_dq_o_delayed29; -wire main_k7ddrphy_dq_i_nodelay29; -wire main_k7ddrphy_dq_i_delayed29; -wire main_k7ddrphy_dq_t29; -reg [7:0] main_k7ddrphy_bitslip290 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip29_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip29_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip291; -reg [7:0] main_k7ddrphy_bitslip292 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip29_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip29_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay30; -wire main_k7ddrphy_dq_o_delayed30; -wire main_k7ddrphy_dq_i_nodelay30; -wire main_k7ddrphy_dq_i_delayed30; -wire main_k7ddrphy_dq_t30; -reg [7:0] main_k7ddrphy_bitslip300 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip30_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip30_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip301; -reg [7:0] main_k7ddrphy_bitslip302 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip30_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip30_r1 = 16'd0; -wire main_k7ddrphy_dq_o_nodelay31; -wire main_k7ddrphy_dq_o_delayed31; -wire main_k7ddrphy_dq_i_nodelay31; -wire main_k7ddrphy_dq_i_delayed31; -wire main_k7ddrphy_dq_t31; -reg [7:0] main_k7ddrphy_bitslip310 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip31_value0 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip31_r0 = 16'd0; -wire [7:0] main_k7ddrphy_bitslip311; -reg [7:0] main_k7ddrphy_bitslip312 = 8'd0; -reg [2:0] main_k7ddrphy_bitslip31_value1 = 3'd7; -reg [15:0] main_k7ddrphy_bitslip31_r1 = 16'd0; -reg main_k7ddrphy_rddata_en_tappeddelayline0 = 1'd0; -reg main_k7ddrphy_rddata_en_tappeddelayline1 = 1'd0; -reg main_k7ddrphy_rddata_en_tappeddelayline2 = 1'd0; -reg main_k7ddrphy_rddata_en_tappeddelayline3 = 1'd0; -reg main_k7ddrphy_rddata_en_tappeddelayline4 = 1'd0; -reg main_k7ddrphy_rddata_en_tappeddelayline5 = 1'd0; -reg main_k7ddrphy_rddata_en_tappeddelayline6 = 1'd0; -reg main_k7ddrphy_rddata_en_tappeddelayline7 = 1'd0; -reg main_k7ddrphy_wrdata_en_tappeddelayline0 = 1'd0; -reg main_k7ddrphy_wrdata_en_tappeddelayline1 = 1'd0; -reg main_k7ddrphy_wrdata_en_tappeddelayline2 = 1'd0; -wire [14:0] main_litedramcore_inti_p0_address; -wire [2:0] main_litedramcore_inti_p0_bank; -reg main_litedramcore_inti_p0_cas_n = 1'd1; -reg main_litedramcore_inti_p0_cs_n = 1'd1; -reg main_litedramcore_inti_p0_ras_n = 1'd1; -reg main_litedramcore_inti_p0_we_n = 1'd1; -wire main_litedramcore_inti_p0_cke; -wire main_litedramcore_inti_p0_odt; -wire main_litedramcore_inti_p0_reset_n; -reg main_litedramcore_inti_p0_act_n = 1'd1; -wire [63:0] main_litedramcore_inti_p0_wrdata; -wire main_litedramcore_inti_p0_wrdata_en; -wire [7:0] main_litedramcore_inti_p0_wrdata_mask; -wire main_litedramcore_inti_p0_rddata_en; -reg [63:0] main_litedramcore_inti_p0_rddata = 64'd0; -reg main_litedramcore_inti_p0_rddata_valid = 1'd0; -wire [14:0] main_litedramcore_inti_p1_address; -wire [2:0] main_litedramcore_inti_p1_bank; -reg main_litedramcore_inti_p1_cas_n = 1'd1; -reg main_litedramcore_inti_p1_cs_n = 1'd1; -reg main_litedramcore_inti_p1_ras_n = 1'd1; -reg main_litedramcore_inti_p1_we_n = 1'd1; -wire main_litedramcore_inti_p1_cke; -wire main_litedramcore_inti_p1_odt; -wire main_litedramcore_inti_p1_reset_n; -reg main_litedramcore_inti_p1_act_n = 1'd1; -wire [63:0] main_litedramcore_inti_p1_wrdata; -wire main_litedramcore_inti_p1_wrdata_en; -wire [7:0] main_litedramcore_inti_p1_wrdata_mask; -wire main_litedramcore_inti_p1_rddata_en; -reg [63:0] main_litedramcore_inti_p1_rddata = 64'd0; -reg main_litedramcore_inti_p1_rddata_valid = 1'd0; -wire [14:0] main_litedramcore_inti_p2_address; -wire [2:0] main_litedramcore_inti_p2_bank; -reg main_litedramcore_inti_p2_cas_n = 1'd1; -reg main_litedramcore_inti_p2_cs_n = 1'd1; -reg main_litedramcore_inti_p2_ras_n = 1'd1; -reg main_litedramcore_inti_p2_we_n = 1'd1; -wire main_litedramcore_inti_p2_cke; -wire main_litedramcore_inti_p2_odt; -wire main_litedramcore_inti_p2_reset_n; -reg main_litedramcore_inti_p2_act_n = 1'd1; -wire [63:0] main_litedramcore_inti_p2_wrdata; -wire main_litedramcore_inti_p2_wrdata_en; -wire [7:0] main_litedramcore_inti_p2_wrdata_mask; -wire main_litedramcore_inti_p2_rddata_en; -reg [63:0] main_litedramcore_inti_p2_rddata = 64'd0; -reg main_litedramcore_inti_p2_rddata_valid = 1'd0; -wire [14:0] main_litedramcore_inti_p3_address; -wire [2:0] main_litedramcore_inti_p3_bank; -reg main_litedramcore_inti_p3_cas_n = 1'd1; -reg main_litedramcore_inti_p3_cs_n = 1'd1; -reg main_litedramcore_inti_p3_ras_n = 1'd1; -reg main_litedramcore_inti_p3_we_n = 1'd1; -wire main_litedramcore_inti_p3_cke; -wire main_litedramcore_inti_p3_odt; -wire main_litedramcore_inti_p3_reset_n; -reg main_litedramcore_inti_p3_act_n = 1'd1; -wire [63:0] main_litedramcore_inti_p3_wrdata; -wire main_litedramcore_inti_p3_wrdata_en; -wire [7:0] main_litedramcore_inti_p3_wrdata_mask; -wire main_litedramcore_inti_p3_rddata_en; -reg [63:0] main_litedramcore_inti_p3_rddata = 64'd0; -reg main_litedramcore_inti_p3_rddata_valid = 1'd0; -wire [14:0] main_litedramcore_slave_p0_address; -wire [2:0] main_litedramcore_slave_p0_bank; -wire main_litedramcore_slave_p0_cas_n; -wire main_litedramcore_slave_p0_cs_n; -wire main_litedramcore_slave_p0_ras_n; -wire main_litedramcore_slave_p0_we_n; -wire main_litedramcore_slave_p0_cke; -wire main_litedramcore_slave_p0_odt; -wire main_litedramcore_slave_p0_reset_n; -wire main_litedramcore_slave_p0_act_n; -wire [63:0] main_litedramcore_slave_p0_wrdata; -wire main_litedramcore_slave_p0_wrdata_en; -wire [7:0] main_litedramcore_slave_p0_wrdata_mask; -wire main_litedramcore_slave_p0_rddata_en; -reg [63:0] main_litedramcore_slave_p0_rddata = 64'd0; -reg main_litedramcore_slave_p0_rddata_valid = 1'd0; -wire [14:0] main_litedramcore_slave_p1_address; -wire [2:0] main_litedramcore_slave_p1_bank; -wire main_litedramcore_slave_p1_cas_n; -wire main_litedramcore_slave_p1_cs_n; -wire main_litedramcore_slave_p1_ras_n; -wire main_litedramcore_slave_p1_we_n; -wire main_litedramcore_slave_p1_cke; -wire main_litedramcore_slave_p1_odt; -wire main_litedramcore_slave_p1_reset_n; -wire main_litedramcore_slave_p1_act_n; -wire [63:0] main_litedramcore_slave_p1_wrdata; -wire main_litedramcore_slave_p1_wrdata_en; -wire [7:0] main_litedramcore_slave_p1_wrdata_mask; -wire main_litedramcore_slave_p1_rddata_en; -reg [63:0] main_litedramcore_slave_p1_rddata = 64'd0; -reg main_litedramcore_slave_p1_rddata_valid = 1'd0; -wire [14:0] main_litedramcore_slave_p2_address; -wire [2:0] main_litedramcore_slave_p2_bank; -wire main_litedramcore_slave_p2_cas_n; -wire main_litedramcore_slave_p2_cs_n; -wire main_litedramcore_slave_p2_ras_n; -wire main_litedramcore_slave_p2_we_n; -wire main_litedramcore_slave_p2_cke; -wire main_litedramcore_slave_p2_odt; -wire main_litedramcore_slave_p2_reset_n; -wire main_litedramcore_slave_p2_act_n; -wire [63:0] main_litedramcore_slave_p2_wrdata; -wire main_litedramcore_slave_p2_wrdata_en; -wire [7:0] main_litedramcore_slave_p2_wrdata_mask; -wire main_litedramcore_slave_p2_rddata_en; -reg [63:0] main_litedramcore_slave_p2_rddata = 64'd0; -reg main_litedramcore_slave_p2_rddata_valid = 1'd0; -wire [14:0] main_litedramcore_slave_p3_address; -wire [2:0] main_litedramcore_slave_p3_bank; -wire main_litedramcore_slave_p3_cas_n; -wire main_litedramcore_slave_p3_cs_n; -wire main_litedramcore_slave_p3_ras_n; -wire main_litedramcore_slave_p3_we_n; -wire main_litedramcore_slave_p3_cke; -wire main_litedramcore_slave_p3_odt; -wire main_litedramcore_slave_p3_reset_n; -wire main_litedramcore_slave_p3_act_n; -wire [63:0] main_litedramcore_slave_p3_wrdata; -wire main_litedramcore_slave_p3_wrdata_en; -wire [7:0] main_litedramcore_slave_p3_wrdata_mask; -wire main_litedramcore_slave_p3_rddata_en; -reg [63:0] main_litedramcore_slave_p3_rddata = 64'd0; -reg main_litedramcore_slave_p3_rddata_valid = 1'd0; -reg [14:0] main_litedramcore_master_p0_address = 15'd0; -reg [2:0] main_litedramcore_master_p0_bank = 3'd0; -reg main_litedramcore_master_p0_cas_n = 1'd1; -reg main_litedramcore_master_p0_cs_n = 1'd1; -reg main_litedramcore_master_p0_ras_n = 1'd1; -reg main_litedramcore_master_p0_we_n = 1'd1; -reg main_litedramcore_master_p0_cke = 1'd0; -reg main_litedramcore_master_p0_odt = 1'd0; -reg main_litedramcore_master_p0_reset_n = 1'd0; -reg main_litedramcore_master_p0_act_n = 1'd1; -reg [63:0] main_litedramcore_master_p0_wrdata = 64'd0; -reg main_litedramcore_master_p0_wrdata_en = 1'd0; -reg [7:0] main_litedramcore_master_p0_wrdata_mask = 8'd0; -reg main_litedramcore_master_p0_rddata_en = 1'd0; -wire [63:0] main_litedramcore_master_p0_rddata; -wire main_litedramcore_master_p0_rddata_valid; -reg [14:0] main_litedramcore_master_p1_address = 15'd0; -reg [2:0] main_litedramcore_master_p1_bank = 3'd0; -reg main_litedramcore_master_p1_cas_n = 1'd1; -reg main_litedramcore_master_p1_cs_n = 1'd1; -reg main_litedramcore_master_p1_ras_n = 1'd1; -reg main_litedramcore_master_p1_we_n = 1'd1; -reg main_litedramcore_master_p1_cke = 1'd0; -reg main_litedramcore_master_p1_odt = 1'd0; -reg main_litedramcore_master_p1_reset_n = 1'd0; -reg main_litedramcore_master_p1_act_n = 1'd1; -reg [63:0] main_litedramcore_master_p1_wrdata = 64'd0; -reg main_litedramcore_master_p1_wrdata_en = 1'd0; -reg [7:0] main_litedramcore_master_p1_wrdata_mask = 8'd0; -reg main_litedramcore_master_p1_rddata_en = 1'd0; -wire [63:0] main_litedramcore_master_p1_rddata; -wire main_litedramcore_master_p1_rddata_valid; -reg [14:0] main_litedramcore_master_p2_address = 15'd0; -reg [2:0] main_litedramcore_master_p2_bank = 3'd0; -reg main_litedramcore_master_p2_cas_n = 1'd1; -reg main_litedramcore_master_p2_cs_n = 1'd1; -reg main_litedramcore_master_p2_ras_n = 1'd1; -reg main_litedramcore_master_p2_we_n = 1'd1; -reg main_litedramcore_master_p2_cke = 1'd0; -reg main_litedramcore_master_p2_odt = 1'd0; -reg main_litedramcore_master_p2_reset_n = 1'd0; -reg main_litedramcore_master_p2_act_n = 1'd1; -reg [63:0] main_litedramcore_master_p2_wrdata = 64'd0; -reg main_litedramcore_master_p2_wrdata_en = 1'd0; -reg [7:0] main_litedramcore_master_p2_wrdata_mask = 8'd0; -reg main_litedramcore_master_p2_rddata_en = 1'd0; -wire [63:0] main_litedramcore_master_p2_rddata; -wire main_litedramcore_master_p2_rddata_valid; -reg [14:0] main_litedramcore_master_p3_address = 15'd0; -reg [2:0] main_litedramcore_master_p3_bank = 3'd0; -reg main_litedramcore_master_p3_cas_n = 1'd1; -reg main_litedramcore_master_p3_cs_n = 1'd1; -reg main_litedramcore_master_p3_ras_n = 1'd1; -reg main_litedramcore_master_p3_we_n = 1'd1; -reg main_litedramcore_master_p3_cke = 1'd0; -reg main_litedramcore_master_p3_odt = 1'd0; -reg main_litedramcore_master_p3_reset_n = 1'd0; -reg main_litedramcore_master_p3_act_n = 1'd1; -reg [63:0] main_litedramcore_master_p3_wrdata = 64'd0; -reg main_litedramcore_master_p3_wrdata_en = 1'd0; -reg [7:0] main_litedramcore_master_p3_wrdata_mask = 8'd0; -reg main_litedramcore_master_p3_rddata_en = 1'd0; -wire [63:0] main_litedramcore_master_p3_rddata; -wire main_litedramcore_master_p3_rddata_valid; -wire main_litedramcore_sel; -wire main_litedramcore_cke; -wire main_litedramcore_odt; -wire main_litedramcore_reset_n; -reg [3:0] main_litedramcore_storage = 4'd1; -reg main_litedramcore_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector0_command_storage = 6'd0; -reg main_litedramcore_phaseinjector0_command_re = 1'd0; -reg main_litedramcore_phaseinjector0_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector0_command_issue_r; -reg main_litedramcore_phaseinjector0_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector0_command_issue_w = 1'd0; -reg [14:0] main_litedramcore_phaseinjector0_address_storage = 15'd0; -reg main_litedramcore_phaseinjector0_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector0_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector0_baddress_re = 1'd0; -reg [63:0] main_litedramcore_phaseinjector0_wrdata_storage = 64'd0; -reg main_litedramcore_phaseinjector0_wrdata_re = 1'd0; -reg [63:0] main_litedramcore_phaseinjector0_rddata_status = 64'd0; -wire main_litedramcore_phaseinjector0_rddata_we; -reg main_litedramcore_phaseinjector0_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector1_command_storage = 6'd0; -reg main_litedramcore_phaseinjector1_command_re = 1'd0; -reg main_litedramcore_phaseinjector1_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector1_command_issue_r; -reg main_litedramcore_phaseinjector1_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector1_command_issue_w = 1'd0; -reg [14:0] main_litedramcore_phaseinjector1_address_storage = 15'd0; -reg main_litedramcore_phaseinjector1_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector1_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector1_baddress_re = 1'd0; -reg [63:0] main_litedramcore_phaseinjector1_wrdata_storage = 64'd0; -reg main_litedramcore_phaseinjector1_wrdata_re = 1'd0; -reg [63:0] main_litedramcore_phaseinjector1_rddata_status = 64'd0; -wire main_litedramcore_phaseinjector1_rddata_we; -reg main_litedramcore_phaseinjector1_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector2_command_storage = 6'd0; -reg main_litedramcore_phaseinjector2_command_re = 1'd0; -reg main_litedramcore_phaseinjector2_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector2_command_issue_r; -reg main_litedramcore_phaseinjector2_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector2_command_issue_w = 1'd0; -reg [14:0] main_litedramcore_phaseinjector2_address_storage = 15'd0; -reg main_litedramcore_phaseinjector2_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector2_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector2_baddress_re = 1'd0; -reg [63:0] main_litedramcore_phaseinjector2_wrdata_storage = 64'd0; -reg main_litedramcore_phaseinjector2_wrdata_re = 1'd0; -reg [63:0] main_litedramcore_phaseinjector2_rddata_status = 64'd0; -wire main_litedramcore_phaseinjector2_rddata_we; -reg main_litedramcore_phaseinjector2_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector3_command_storage = 6'd0; -reg main_litedramcore_phaseinjector3_command_re = 1'd0; -reg main_litedramcore_phaseinjector3_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector3_command_issue_r; -reg main_litedramcore_phaseinjector3_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector3_command_issue_w = 1'd0; -reg [14:0] main_litedramcore_phaseinjector3_address_storage = 15'd0; -reg main_litedramcore_phaseinjector3_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector3_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector3_baddress_re = 1'd0; -reg [63:0] main_litedramcore_phaseinjector3_wrdata_storage = 64'd0; -reg main_litedramcore_phaseinjector3_wrdata_re = 1'd0; -reg [63:0] main_litedramcore_phaseinjector3_rddata_status = 64'd0; -wire main_litedramcore_phaseinjector3_rddata_we; -reg main_litedramcore_phaseinjector3_rddata_re = 1'd0; -wire main_litedramcore_interface_bank0_valid; -wire main_litedramcore_interface_bank0_ready; -wire main_litedramcore_interface_bank0_we; -wire [21:0] main_litedramcore_interface_bank0_addr; -wire main_litedramcore_interface_bank0_lock; -wire main_litedramcore_interface_bank0_wdata_ready; -wire main_litedramcore_interface_bank0_rdata_valid; -wire main_litedramcore_interface_bank1_valid; -wire main_litedramcore_interface_bank1_ready; -wire main_litedramcore_interface_bank1_we; -wire [21:0] main_litedramcore_interface_bank1_addr; -wire main_litedramcore_interface_bank1_lock; -wire main_litedramcore_interface_bank1_wdata_ready; -wire main_litedramcore_interface_bank1_rdata_valid; -wire main_litedramcore_interface_bank2_valid; -wire main_litedramcore_interface_bank2_ready; -wire main_litedramcore_interface_bank2_we; -wire [21:0] main_litedramcore_interface_bank2_addr; -wire main_litedramcore_interface_bank2_lock; -wire main_litedramcore_interface_bank2_wdata_ready; -wire main_litedramcore_interface_bank2_rdata_valid; -wire main_litedramcore_interface_bank3_valid; -wire main_litedramcore_interface_bank3_ready; -wire main_litedramcore_interface_bank3_we; -wire [21:0] main_litedramcore_interface_bank3_addr; -wire main_litedramcore_interface_bank3_lock; -wire main_litedramcore_interface_bank3_wdata_ready; -wire main_litedramcore_interface_bank3_rdata_valid; -wire main_litedramcore_interface_bank4_valid; -wire main_litedramcore_interface_bank4_ready; -wire main_litedramcore_interface_bank4_we; -wire [21:0] main_litedramcore_interface_bank4_addr; -wire main_litedramcore_interface_bank4_lock; -wire main_litedramcore_interface_bank4_wdata_ready; -wire main_litedramcore_interface_bank4_rdata_valid; -wire main_litedramcore_interface_bank5_valid; -wire main_litedramcore_interface_bank5_ready; -wire main_litedramcore_interface_bank5_we; -wire [21:0] main_litedramcore_interface_bank5_addr; -wire main_litedramcore_interface_bank5_lock; -wire main_litedramcore_interface_bank5_wdata_ready; -wire main_litedramcore_interface_bank5_rdata_valid; -wire main_litedramcore_interface_bank6_valid; -wire main_litedramcore_interface_bank6_ready; -wire main_litedramcore_interface_bank6_we; -wire [21:0] main_litedramcore_interface_bank6_addr; -wire main_litedramcore_interface_bank6_lock; -wire main_litedramcore_interface_bank6_wdata_ready; -wire main_litedramcore_interface_bank6_rdata_valid; -wire main_litedramcore_interface_bank7_valid; -wire main_litedramcore_interface_bank7_ready; -wire main_litedramcore_interface_bank7_we; -wire [21:0] main_litedramcore_interface_bank7_addr; -wire main_litedramcore_interface_bank7_lock; -wire main_litedramcore_interface_bank7_wdata_ready; -wire main_litedramcore_interface_bank7_rdata_valid; -reg [255:0] main_litedramcore_interface_wdata = 256'd0; -reg [31:0] main_litedramcore_interface_wdata_we = 32'd0; -wire [255:0] main_litedramcore_interface_rdata; -reg [14:0] main_litedramcore_dfi_p0_address = 15'd0; -reg [2:0] main_litedramcore_dfi_p0_bank = 3'd0; -reg main_litedramcore_dfi_p0_cas_n = 1'd1; -reg main_litedramcore_dfi_p0_cs_n = 1'd1; -reg main_litedramcore_dfi_p0_ras_n = 1'd1; -reg main_litedramcore_dfi_p0_we_n = 1'd1; -wire main_litedramcore_dfi_p0_cke; -wire main_litedramcore_dfi_p0_odt; -wire main_litedramcore_dfi_p0_reset_n; -reg main_litedramcore_dfi_p0_act_n = 1'd1; -wire [63:0] main_litedramcore_dfi_p0_wrdata; -reg main_litedramcore_dfi_p0_wrdata_en = 1'd0; -wire [7:0] main_litedramcore_dfi_p0_wrdata_mask; -reg main_litedramcore_dfi_p0_rddata_en = 1'd0; -wire [63:0] main_litedramcore_dfi_p0_rddata; -wire main_litedramcore_dfi_p0_rddata_valid; -reg [14:0] main_litedramcore_dfi_p1_address = 15'd0; -reg [2:0] main_litedramcore_dfi_p1_bank = 3'd0; -reg main_litedramcore_dfi_p1_cas_n = 1'd1; -reg main_litedramcore_dfi_p1_cs_n = 1'd1; -reg main_litedramcore_dfi_p1_ras_n = 1'd1; -reg main_litedramcore_dfi_p1_we_n = 1'd1; -wire main_litedramcore_dfi_p1_cke; -wire main_litedramcore_dfi_p1_odt; -wire main_litedramcore_dfi_p1_reset_n; -reg main_litedramcore_dfi_p1_act_n = 1'd1; -wire [63:0] main_litedramcore_dfi_p1_wrdata; -reg main_litedramcore_dfi_p1_wrdata_en = 1'd0; -wire [7:0] main_litedramcore_dfi_p1_wrdata_mask; -reg main_litedramcore_dfi_p1_rddata_en = 1'd0; -wire [63:0] main_litedramcore_dfi_p1_rddata; -wire main_litedramcore_dfi_p1_rddata_valid; -reg [14:0] main_litedramcore_dfi_p2_address = 15'd0; -reg [2:0] main_litedramcore_dfi_p2_bank = 3'd0; -reg main_litedramcore_dfi_p2_cas_n = 1'd1; -reg main_litedramcore_dfi_p2_cs_n = 1'd1; -reg main_litedramcore_dfi_p2_ras_n = 1'd1; -reg main_litedramcore_dfi_p2_we_n = 1'd1; -wire main_litedramcore_dfi_p2_cke; -wire main_litedramcore_dfi_p2_odt; -wire main_litedramcore_dfi_p2_reset_n; -reg main_litedramcore_dfi_p2_act_n = 1'd1; -wire [63:0] main_litedramcore_dfi_p2_wrdata; -reg main_litedramcore_dfi_p2_wrdata_en = 1'd0; -wire [7:0] main_litedramcore_dfi_p2_wrdata_mask; -reg main_litedramcore_dfi_p2_rddata_en = 1'd0; -wire [63:0] main_litedramcore_dfi_p2_rddata; -wire main_litedramcore_dfi_p2_rddata_valid; -reg [14:0] main_litedramcore_dfi_p3_address = 15'd0; -reg [2:0] main_litedramcore_dfi_p3_bank = 3'd0; -reg main_litedramcore_dfi_p3_cas_n = 1'd1; -reg main_litedramcore_dfi_p3_cs_n = 1'd1; -reg main_litedramcore_dfi_p3_ras_n = 1'd1; -reg main_litedramcore_dfi_p3_we_n = 1'd1; -wire main_litedramcore_dfi_p3_cke; -wire main_litedramcore_dfi_p3_odt; -wire main_litedramcore_dfi_p3_reset_n; -reg main_litedramcore_dfi_p3_act_n = 1'd1; -wire [63:0] main_litedramcore_dfi_p3_wrdata; -reg main_litedramcore_dfi_p3_wrdata_en = 1'd0; -wire [7:0] main_litedramcore_dfi_p3_wrdata_mask; -reg main_litedramcore_dfi_p3_rddata_en = 1'd0; -wire [63:0] main_litedramcore_dfi_p3_rddata; -wire main_litedramcore_dfi_p3_rddata_valid; -reg main_litedramcore_cmd_valid = 1'd0; -reg main_litedramcore_cmd_ready = 1'd0; -reg main_litedramcore_cmd_last = 1'd0; -reg [14:0] main_litedramcore_cmd_payload_a = 15'd0; -reg [2:0] main_litedramcore_cmd_payload_ba = 3'd0; -reg main_litedramcore_cmd_payload_cas = 1'd0; -reg main_litedramcore_cmd_payload_ras = 1'd0; -reg main_litedramcore_cmd_payload_we = 1'd0; -reg main_litedramcore_cmd_payload_is_read = 1'd0; -reg main_litedramcore_cmd_payload_is_write = 1'd0; -wire main_litedramcore_wants_refresh; -wire main_litedramcore_wants_zqcs; -wire main_litedramcore_timer_wait; -wire main_litedramcore_timer_done0; -wire [9:0] main_litedramcore_timer_count0; -wire main_litedramcore_timer_done1; -reg [9:0] main_litedramcore_timer_count1 = 10'd781; -wire main_litedramcore_postponer_req_i; -reg main_litedramcore_postponer_req_o = 1'd0; -reg main_litedramcore_postponer_count = 1'd0; -reg main_litedramcore_sequencer_start0 = 1'd0; -wire main_litedramcore_sequencer_done0; -wire main_litedramcore_sequencer_start1; -reg main_litedramcore_sequencer_done1 = 1'd0; -reg [5:0] main_litedramcore_sequencer_counter = 6'd0; -reg main_litedramcore_sequencer_count = 1'd0; -wire main_litedramcore_zqcs_timer_wait; -wire main_litedramcore_zqcs_timer_done0; -wire [26:0] main_litedramcore_zqcs_timer_count0; -wire main_litedramcore_zqcs_timer_done1; -reg [26:0] main_litedramcore_zqcs_timer_count1 = 27'd99999999; -reg main_litedramcore_zqcs_executer_start = 1'd0; -reg main_litedramcore_zqcs_executer_done = 1'd0; -reg [4:0] main_litedramcore_zqcs_executer_counter = 5'd0; -wire main_litedramcore_bankmachine0_req_valid; -wire main_litedramcore_bankmachine0_req_ready; -wire main_litedramcore_bankmachine0_req_we; -wire [21:0] main_litedramcore_bankmachine0_req_addr; -wire main_litedramcore_bankmachine0_req_lock; -reg main_litedramcore_bankmachine0_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine0_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine0_refresh_req; -reg main_litedramcore_bankmachine0_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine0_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine0_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine0_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine0_cmd_payload_ba; -reg main_litedramcore_bankmachine0_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine0_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; -wire [24:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; -wire [24:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -reg [4:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine0_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine0_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine0_row = 15'd0; -reg main_litedramcore_bankmachine0_row_opened = 1'd0; -wire main_litedramcore_bankmachine0_row_hit; -reg main_litedramcore_bankmachine0_row_open = 1'd0; -reg main_litedramcore_bankmachine0_row_close = 1'd0; -reg main_litedramcore_bankmachine0_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine0_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine0_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_trccon_count = 3'd0; -wire main_litedramcore_bankmachine0_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_trascon_count = 3'd0; -wire main_litedramcore_bankmachine1_req_valid; -wire main_litedramcore_bankmachine1_req_ready; -wire main_litedramcore_bankmachine1_req_we; -wire [21:0] main_litedramcore_bankmachine1_req_addr; -wire main_litedramcore_bankmachine1_req_lock; -reg main_litedramcore_bankmachine1_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine1_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine1_refresh_req; -reg main_litedramcore_bankmachine1_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine1_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine1_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine1_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine1_cmd_payload_ba; -reg main_litedramcore_bankmachine1_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine1_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; -wire [24:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; -wire [24:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -reg [4:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine1_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine1_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine1_row = 15'd0; -reg main_litedramcore_bankmachine1_row_opened = 1'd0; -wire main_litedramcore_bankmachine1_row_hit; -reg main_litedramcore_bankmachine1_row_open = 1'd0; -reg main_litedramcore_bankmachine1_row_close = 1'd0; -reg main_litedramcore_bankmachine1_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine1_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine1_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_trccon_count = 3'd0; -wire main_litedramcore_bankmachine1_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_trascon_count = 3'd0; -wire main_litedramcore_bankmachine2_req_valid; -wire main_litedramcore_bankmachine2_req_ready; -wire main_litedramcore_bankmachine2_req_we; -wire [21:0] main_litedramcore_bankmachine2_req_addr; -wire main_litedramcore_bankmachine2_req_lock; -reg main_litedramcore_bankmachine2_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine2_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine2_refresh_req; -reg main_litedramcore_bankmachine2_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine2_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine2_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine2_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine2_cmd_payload_ba; -reg main_litedramcore_bankmachine2_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine2_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; -wire [24:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; -wire [24:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -reg [4:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine2_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine2_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine2_row = 15'd0; -reg main_litedramcore_bankmachine2_row_opened = 1'd0; -wire main_litedramcore_bankmachine2_row_hit; -reg main_litedramcore_bankmachine2_row_open = 1'd0; -reg main_litedramcore_bankmachine2_row_close = 1'd0; -reg main_litedramcore_bankmachine2_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine2_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine2_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_trccon_count = 3'd0; -wire main_litedramcore_bankmachine2_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_trascon_count = 3'd0; -wire main_litedramcore_bankmachine3_req_valid; -wire main_litedramcore_bankmachine3_req_ready; -wire main_litedramcore_bankmachine3_req_we; -wire [21:0] main_litedramcore_bankmachine3_req_addr; -wire main_litedramcore_bankmachine3_req_lock; -reg main_litedramcore_bankmachine3_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine3_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine3_refresh_req; -reg main_litedramcore_bankmachine3_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine3_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine3_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine3_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine3_cmd_payload_ba; -reg main_litedramcore_bankmachine3_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine3_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; -wire [24:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; -wire [24:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -reg [4:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine3_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine3_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine3_row = 15'd0; -reg main_litedramcore_bankmachine3_row_opened = 1'd0; -wire main_litedramcore_bankmachine3_row_hit; -reg main_litedramcore_bankmachine3_row_open = 1'd0; -reg main_litedramcore_bankmachine3_row_close = 1'd0; -reg main_litedramcore_bankmachine3_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine3_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine3_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_trccon_count = 3'd0; -wire main_litedramcore_bankmachine3_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_trascon_count = 3'd0; -wire main_litedramcore_bankmachine4_req_valid; -wire main_litedramcore_bankmachine4_req_ready; -wire main_litedramcore_bankmachine4_req_we; -wire [21:0] main_litedramcore_bankmachine4_req_addr; -wire main_litedramcore_bankmachine4_req_lock; -reg main_litedramcore_bankmachine4_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine4_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine4_refresh_req; -reg main_litedramcore_bankmachine4_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine4_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine4_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine4_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine4_cmd_payload_ba; -reg main_litedramcore_bankmachine4_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine4_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; -wire [24:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; -wire [24:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -reg [4:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine4_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine4_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine4_row = 15'd0; -reg main_litedramcore_bankmachine4_row_opened = 1'd0; -wire main_litedramcore_bankmachine4_row_hit; -reg main_litedramcore_bankmachine4_row_open = 1'd0; -reg main_litedramcore_bankmachine4_row_close = 1'd0; -reg main_litedramcore_bankmachine4_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine4_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine4_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_trccon_count = 3'd0; -wire main_litedramcore_bankmachine4_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_trascon_count = 3'd0; -wire main_litedramcore_bankmachine5_req_valid; -wire main_litedramcore_bankmachine5_req_ready; -wire main_litedramcore_bankmachine5_req_we; -wire [21:0] main_litedramcore_bankmachine5_req_addr; -wire main_litedramcore_bankmachine5_req_lock; -reg main_litedramcore_bankmachine5_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine5_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine5_refresh_req; -reg main_litedramcore_bankmachine5_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine5_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine5_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine5_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine5_cmd_payload_ba; -reg main_litedramcore_bankmachine5_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine5_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; -wire [24:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; -wire [24:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -reg [4:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine5_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine5_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine5_row = 15'd0; -reg main_litedramcore_bankmachine5_row_opened = 1'd0; -wire main_litedramcore_bankmachine5_row_hit; -reg main_litedramcore_bankmachine5_row_open = 1'd0; -reg main_litedramcore_bankmachine5_row_close = 1'd0; -reg main_litedramcore_bankmachine5_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine5_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine5_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_trccon_count = 3'd0; -wire main_litedramcore_bankmachine5_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_trascon_count = 3'd0; -wire main_litedramcore_bankmachine6_req_valid; -wire main_litedramcore_bankmachine6_req_ready; -wire main_litedramcore_bankmachine6_req_we; -wire [21:0] main_litedramcore_bankmachine6_req_addr; -wire main_litedramcore_bankmachine6_req_lock; -reg main_litedramcore_bankmachine6_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine6_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine6_refresh_req; -reg main_litedramcore_bankmachine6_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine6_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine6_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine6_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine6_cmd_payload_ba; -reg main_litedramcore_bankmachine6_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine6_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; -wire [24:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; -wire [24:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -reg [4:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine6_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine6_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine6_row = 15'd0; -reg main_litedramcore_bankmachine6_row_opened = 1'd0; -wire main_litedramcore_bankmachine6_row_hit; -reg main_litedramcore_bankmachine6_row_open = 1'd0; -reg main_litedramcore_bankmachine6_row_close = 1'd0; -reg main_litedramcore_bankmachine6_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine6_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine6_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_trccon_count = 3'd0; -wire main_litedramcore_bankmachine6_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_trascon_count = 3'd0; -wire main_litedramcore_bankmachine7_req_valid; -wire main_litedramcore_bankmachine7_req_ready; -wire main_litedramcore_bankmachine7_req_we; -wire [21:0] main_litedramcore_bankmachine7_req_addr; -wire main_litedramcore_bankmachine7_req_lock; -reg main_litedramcore_bankmachine7_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine7_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine7_refresh_req; -reg main_litedramcore_bankmachine7_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine7_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine7_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine7_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine7_cmd_payload_ba; -reg main_litedramcore_bankmachine7_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine7_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; -wire [24:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; -wire [24:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -reg [4:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine7_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine7_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine7_row = 15'd0; -reg main_litedramcore_bankmachine7_row_opened = 1'd0; -wire main_litedramcore_bankmachine7_row_hit; -reg main_litedramcore_bankmachine7_row_open = 1'd0; -reg main_litedramcore_bankmachine7_row_close = 1'd0; -reg main_litedramcore_bankmachine7_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine7_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine7_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_trccon_count = 3'd0; -wire main_litedramcore_bankmachine7_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_trascon_count = 3'd0; -wire main_litedramcore_ras_allowed; -wire main_litedramcore_cas_allowed; -wire [1:0] main_litedramcore_rdcmdphase; -wire [1:0] main_litedramcore_wrcmdphase; -reg main_litedramcore_choose_cmd_want_reads = 1'd0; -reg main_litedramcore_choose_cmd_want_writes = 1'd0; -reg main_litedramcore_choose_cmd_want_cmds = 1'd0; -reg main_litedramcore_choose_cmd_want_activates = 1'd0; -wire main_litedramcore_choose_cmd_cmd_valid; -reg main_litedramcore_choose_cmd_cmd_ready = 1'd0; -wire [14:0] main_litedramcore_choose_cmd_cmd_payload_a; -wire [2:0] main_litedramcore_choose_cmd_cmd_payload_ba; -reg main_litedramcore_choose_cmd_cmd_payload_cas = 1'd0; -reg main_litedramcore_choose_cmd_cmd_payload_ras = 1'd0; -reg main_litedramcore_choose_cmd_cmd_payload_we = 1'd0; -wire main_litedramcore_choose_cmd_cmd_payload_is_cmd; -wire main_litedramcore_choose_cmd_cmd_payload_is_read; -wire main_litedramcore_choose_cmd_cmd_payload_is_write; -reg [7:0] main_litedramcore_choose_cmd_valids = 8'd0; -wire [7:0] main_litedramcore_choose_cmd_request; -reg [2:0] main_litedramcore_choose_cmd_grant = 3'd0; -wire main_litedramcore_choose_cmd_ce; -reg main_litedramcore_choose_req_want_reads = 1'd0; -reg main_litedramcore_choose_req_want_writes = 1'd0; -reg main_litedramcore_choose_req_want_cmds = 1'd0; -reg main_litedramcore_choose_req_want_activates = 1'd0; -wire main_litedramcore_choose_req_cmd_valid; -reg main_litedramcore_choose_req_cmd_ready = 1'd0; -wire [14:0] main_litedramcore_choose_req_cmd_payload_a; -wire [2:0] main_litedramcore_choose_req_cmd_payload_ba; -reg main_litedramcore_choose_req_cmd_payload_cas = 1'd0; -reg main_litedramcore_choose_req_cmd_payload_ras = 1'd0; -reg main_litedramcore_choose_req_cmd_payload_we = 1'd0; -wire main_litedramcore_choose_req_cmd_payload_is_cmd; -wire main_litedramcore_choose_req_cmd_payload_is_read; -wire main_litedramcore_choose_req_cmd_payload_is_write; -reg [7:0] main_litedramcore_choose_req_valids = 8'd0; -wire [7:0] main_litedramcore_choose_req_request; -reg [2:0] main_litedramcore_choose_req_grant = 3'd0; -wire main_litedramcore_choose_req_ce; -reg [14:0] main_litedramcore_nop_a = 15'd0; -reg [2:0] main_litedramcore_nop_ba = 3'd0; -reg [1:0] main_litedramcore_steerer_sel0 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel1 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel2 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel3 = 2'd0; -reg main_litedramcore_steerer0 = 1'd1; -reg main_litedramcore_steerer1 = 1'd1; -reg main_litedramcore_steerer2 = 1'd1; -reg main_litedramcore_steerer3 = 1'd1; -reg main_litedramcore_steerer4 = 1'd1; -reg main_litedramcore_steerer5 = 1'd1; -reg main_litedramcore_steerer6 = 1'd1; -reg main_litedramcore_steerer7 = 1'd1; -wire main_litedramcore_trrdcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_trrdcon_ready = 1'd0; -reg main_litedramcore_trrdcon_count = 1'd0; -wire main_litedramcore_tfawcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_tfawcon_ready = 1'd1; -wire [2:0] main_litedramcore_tfawcon_count; -reg [4:0] main_litedramcore_tfawcon_window = 5'd0; -wire main_litedramcore_tccdcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_tccdcon_ready = 1'd0; -reg main_litedramcore_tccdcon_count = 1'd0; -wire main_litedramcore_twtrcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_twtrcon_ready = 1'd0; -reg [2:0] main_litedramcore_twtrcon_count = 3'd0; -wire main_litedramcore_read_available; -wire main_litedramcore_write_available; -reg main_litedramcore_en0 = 1'd0; -wire main_litedramcore_max_time0; -reg [4:0] main_litedramcore_time0 = 5'd0; -reg main_litedramcore_en1 = 1'd0; -wire main_litedramcore_max_time1; -reg [3:0] main_litedramcore_time1 = 4'd0; -wire main_litedramcore_go_to_refresh; -reg main_init_done_storage = 1'd0; -reg main_init_done_re = 1'd0; -reg main_init_error_storage = 1'd0; -reg main_init_error_re = 1'd0; -wire [29:0] main_wb_bus_adr; -wire [31:0] main_wb_bus_dat_w; -wire [31:0] main_wb_bus_dat_r; -wire [3:0] main_wb_bus_sel; -wire main_wb_bus_cyc; -wire main_wb_bus_stb; -wire main_wb_bus_ack; -wire main_wb_bus_we; -wire [2:0] main_wb_bus_cti; -wire [1:0] main_wb_bus_bte; -wire main_wb_bus_err; -wire main_user_enable; -wire main_user_port_cmd_valid; -wire main_user_port_cmd_ready; -wire main_user_port_cmd_payload_we; -wire [24:0] main_user_port_cmd_payload_addr; -wire main_user_port_wdata_valid; -wire main_user_port_wdata_ready; -wire [255:0] main_user_port_wdata_payload_data; -wire [31:0] main_user_port_wdata_payload_we; -wire main_user_port_rdata_valid; -wire main_user_port_rdata_ready; -wire [255:0] main_user_port_rdata_payload_data; -wire builder_reset0; -wire builder_reset1; -wire builder_reset2; -wire builder_reset3; -wire builder_reset4; -wire builder_reset5; -wire builder_reset6; -wire builder_reset7; -wire builder_pll_fb; -reg [1:0] builder_refresher_state = 2'd0; -reg [1:0] builder_refresher_next_state = 2'd0; -reg [3:0] builder_bankmachine0_state = 4'd0; -reg [3:0] builder_bankmachine0_next_state = 4'd0; -reg [3:0] builder_bankmachine1_state = 4'd0; -reg [3:0] builder_bankmachine1_next_state = 4'd0; -reg [3:0] builder_bankmachine2_state = 4'd0; -reg [3:0] builder_bankmachine2_next_state = 4'd0; -reg [3:0] builder_bankmachine3_state = 4'd0; -reg [3:0] builder_bankmachine3_next_state = 4'd0; -reg [3:0] builder_bankmachine4_state = 4'd0; -reg [3:0] builder_bankmachine4_next_state = 4'd0; -reg [3:0] builder_bankmachine5_state = 4'd0; -reg [3:0] builder_bankmachine5_next_state = 4'd0; -reg [3:0] builder_bankmachine6_state = 4'd0; -reg [3:0] builder_bankmachine6_next_state = 4'd0; -reg [3:0] builder_bankmachine7_state = 4'd0; -reg [3:0] builder_bankmachine7_next_state = 4'd0; -reg [3:0] builder_multiplexer_state = 4'd0; -reg [3:0] builder_multiplexer_next_state = 4'd0; -wire builder_roundrobin0_request; -wire builder_roundrobin0_grant; -wire builder_roundrobin0_ce; -wire builder_roundrobin1_request; -wire builder_roundrobin1_grant; -wire builder_roundrobin1_ce; -wire builder_roundrobin2_request; -wire builder_roundrobin2_grant; -wire builder_roundrobin2_ce; -wire builder_roundrobin3_request; -wire builder_roundrobin3_grant; -wire builder_roundrobin3_ce; -wire builder_roundrobin4_request; -wire builder_roundrobin4_grant; -wire builder_roundrobin4_ce; -wire builder_roundrobin5_request; -wire builder_roundrobin5_grant; -wire builder_roundrobin5_ce; -wire builder_roundrobin6_request; -wire builder_roundrobin6_grant; -wire builder_roundrobin6_ce; -wire builder_roundrobin7_request; -wire builder_roundrobin7_grant; -wire builder_roundrobin7_ce; -reg builder_locked0 = 1'd0; -reg builder_locked1 = 1'd0; -reg builder_locked2 = 1'd0; -reg builder_locked3 = 1'd0; -reg builder_locked4 = 1'd0; -reg builder_locked5 = 1'd0; -reg builder_locked6 = 1'd0; -reg builder_locked7 = 1'd0; -reg builder_new_master_wdata_ready0 = 1'd0; -reg builder_new_master_wdata_ready1 = 1'd0; -reg builder_new_master_rdata_valid0 = 1'd0; -reg builder_new_master_rdata_valid1 = 1'd0; -reg builder_new_master_rdata_valid2 = 1'd0; -reg builder_new_master_rdata_valid3 = 1'd0; -reg builder_new_master_rdata_valid4 = 1'd0; -reg builder_new_master_rdata_valid5 = 1'd0; -reg builder_new_master_rdata_valid6 = 1'd0; -reg builder_new_master_rdata_valid7 = 1'd0; -reg builder_new_master_rdata_valid8 = 1'd0; -reg [13:0] builder_litedramcore_adr = 14'd0; -reg builder_litedramcore_we = 1'd0; -reg [31:0] builder_litedramcore_dat_w = 32'd0; -wire [31:0] builder_litedramcore_dat_r; -wire [29:0] builder_litedramcore_wishbone_adr; -wire [31:0] builder_litedramcore_wishbone_dat_w; -reg [31:0] builder_litedramcore_wishbone_dat_r = 32'd0; -wire [3:0] builder_litedramcore_wishbone_sel; -wire builder_litedramcore_wishbone_cyc; -wire builder_litedramcore_wishbone_stb; -reg builder_litedramcore_wishbone_ack = 1'd0; -wire builder_litedramcore_wishbone_we; -wire [2:0] builder_litedramcore_wishbone_cti; -wire [1:0] builder_litedramcore_wishbone_bte; -reg builder_litedramcore_wishbone_err = 1'd0; -wire [13:0] builder_interface0_bank_bus_adr; -wire builder_interface0_bank_bus_we; -wire [31:0] builder_interface0_bank_bus_dat_w; -reg [31:0] builder_interface0_bank_bus_dat_r = 32'd0; -reg builder_csrbank0_init_done0_re = 1'd0; -wire builder_csrbank0_init_done0_r; -reg builder_csrbank0_init_done0_we = 1'd0; -wire builder_csrbank0_init_done0_w; -reg builder_csrbank0_init_error0_re = 1'd0; -wire builder_csrbank0_init_error0_r; -reg builder_csrbank0_init_error0_we = 1'd0; -wire builder_csrbank0_init_error0_w; -wire builder_csrbank0_sel; -wire [13:0] builder_interface1_bank_bus_adr; -wire builder_interface1_bank_bus_we; -wire [31:0] builder_interface1_bank_bus_dat_w; -reg [31:0] builder_interface1_bank_bus_dat_r = 32'd0; -reg builder_csrbank1_rst0_re = 1'd0; -wire builder_csrbank1_rst0_r; -reg builder_csrbank1_rst0_we = 1'd0; -wire builder_csrbank1_rst0_w; -reg builder_csrbank1_half_sys8x_taps0_re = 1'd0; -wire [4:0] builder_csrbank1_half_sys8x_taps0_r; -reg builder_csrbank1_half_sys8x_taps0_we = 1'd0; -wire [4:0] builder_csrbank1_half_sys8x_taps0_w; -reg builder_csrbank1_wlevel_en0_re = 1'd0; -wire builder_csrbank1_wlevel_en0_r; -reg builder_csrbank1_wlevel_en0_we = 1'd0; -wire builder_csrbank1_wlevel_en0_w; -reg builder_csrbank1_dly_sel0_re = 1'd0; -wire [3:0] builder_csrbank1_dly_sel0_r; -reg builder_csrbank1_dly_sel0_we = 1'd0; -wire [3:0] builder_csrbank1_dly_sel0_w; -reg builder_csrbank1_rdphase0_re = 1'd0; -wire [1:0] builder_csrbank1_rdphase0_r; -reg builder_csrbank1_rdphase0_we = 1'd0; -wire [1:0] builder_csrbank1_rdphase0_w; -reg builder_csrbank1_wrphase0_re = 1'd0; -wire [1:0] builder_csrbank1_wrphase0_r; -reg builder_csrbank1_wrphase0_we = 1'd0; -wire [1:0] builder_csrbank1_wrphase0_w; -wire builder_csrbank1_sel; -wire [13:0] builder_interface2_bank_bus_adr; -wire builder_interface2_bank_bus_we; -wire [31:0] builder_interface2_bank_bus_dat_w; -reg [31:0] builder_interface2_bank_bus_dat_r = 32'd0; -reg builder_csrbank2_dfii_control0_re = 1'd0; -wire [3:0] builder_csrbank2_dfii_control0_r; -reg builder_csrbank2_dfii_control0_we = 1'd0; -wire [3:0] builder_csrbank2_dfii_control0_w; -reg builder_csrbank2_dfii_pi0_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi0_command0_r; -reg builder_csrbank2_dfii_pi0_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi0_command0_w; -reg builder_csrbank2_dfii_pi0_address0_re = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi0_address0_r; -reg builder_csrbank2_dfii_pi0_address0_we = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi0_address0_w; -reg builder_csrbank2_dfii_pi0_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi0_baddress0_r; -reg builder_csrbank2_dfii_pi0_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi0_baddress0_w; -reg builder_csrbank2_dfii_pi0_wrdata1_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_wrdata1_r; -reg builder_csrbank2_dfii_pi0_wrdata1_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_wrdata1_w; -reg builder_csrbank2_dfii_pi0_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_wrdata0_r; -reg builder_csrbank2_dfii_pi0_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_wrdata0_w; -reg builder_csrbank2_dfii_pi0_rddata1_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_rddata1_r; -reg builder_csrbank2_dfii_pi0_rddata1_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_rddata1_w; -reg builder_csrbank2_dfii_pi0_rddata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_rddata0_r; -reg builder_csrbank2_dfii_pi0_rddata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_rddata0_w; -reg builder_csrbank2_dfii_pi1_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi1_command0_r; -reg builder_csrbank2_dfii_pi1_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi1_command0_w; -reg builder_csrbank2_dfii_pi1_address0_re = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi1_address0_r; -reg builder_csrbank2_dfii_pi1_address0_we = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi1_address0_w; -reg builder_csrbank2_dfii_pi1_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi1_baddress0_r; -reg builder_csrbank2_dfii_pi1_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi1_baddress0_w; -reg builder_csrbank2_dfii_pi1_wrdata1_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_wrdata1_r; -reg builder_csrbank2_dfii_pi1_wrdata1_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_wrdata1_w; -reg builder_csrbank2_dfii_pi1_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_wrdata0_r; -reg builder_csrbank2_dfii_pi1_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_wrdata0_w; -reg builder_csrbank2_dfii_pi1_rddata1_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_rddata1_r; -reg builder_csrbank2_dfii_pi1_rddata1_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_rddata1_w; -reg builder_csrbank2_dfii_pi1_rddata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_rddata0_r; -reg builder_csrbank2_dfii_pi1_rddata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_rddata0_w; -reg builder_csrbank2_dfii_pi2_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi2_command0_r; -reg builder_csrbank2_dfii_pi2_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi2_command0_w; -reg builder_csrbank2_dfii_pi2_address0_re = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi2_address0_r; -reg builder_csrbank2_dfii_pi2_address0_we = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi2_address0_w; -reg builder_csrbank2_dfii_pi2_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi2_baddress0_r; -reg builder_csrbank2_dfii_pi2_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi2_baddress0_w; -reg builder_csrbank2_dfii_pi2_wrdata1_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_wrdata1_r; -reg builder_csrbank2_dfii_pi2_wrdata1_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_wrdata1_w; -reg builder_csrbank2_dfii_pi2_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_wrdata0_r; -reg builder_csrbank2_dfii_pi2_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_wrdata0_w; -reg builder_csrbank2_dfii_pi2_rddata1_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_rddata1_r; -reg builder_csrbank2_dfii_pi2_rddata1_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_rddata1_w; -reg builder_csrbank2_dfii_pi2_rddata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_rddata0_r; -reg builder_csrbank2_dfii_pi2_rddata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_rddata0_w; -reg builder_csrbank2_dfii_pi3_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi3_command0_r; -reg builder_csrbank2_dfii_pi3_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi3_command0_w; -reg builder_csrbank2_dfii_pi3_address0_re = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi3_address0_r; -reg builder_csrbank2_dfii_pi3_address0_we = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi3_address0_w; -reg builder_csrbank2_dfii_pi3_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi3_baddress0_r; -reg builder_csrbank2_dfii_pi3_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi3_baddress0_w; -reg builder_csrbank2_dfii_pi3_wrdata1_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_wrdata1_r; -reg builder_csrbank2_dfii_pi3_wrdata1_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_wrdata1_w; -reg builder_csrbank2_dfii_pi3_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_wrdata0_r; -reg builder_csrbank2_dfii_pi3_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_wrdata0_w; -reg builder_csrbank2_dfii_pi3_rddata1_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_rddata1_r; -reg builder_csrbank2_dfii_pi3_rddata1_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_rddata1_w; -reg builder_csrbank2_dfii_pi3_rddata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_rddata0_r; -reg builder_csrbank2_dfii_pi3_rddata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_rddata0_w; -wire builder_csrbank2_sel; -wire [13:0] builder_csr_interconnect_adr; -wire builder_csr_interconnect_we; -wire [31:0] builder_csr_interconnect_dat_w; -wire [31:0] builder_csr_interconnect_dat_r; -reg [1:0] builder_state = 2'd0; -reg [1:0] builder_next_state = 2'd0; -reg [31:0] builder_litedramcore_dat_w_next_value0 = 32'd0; -reg builder_litedramcore_dat_w_next_value_ce0 = 1'd0; -reg [13:0] builder_litedramcore_adr_next_value1 = 14'd0; -reg builder_litedramcore_adr_next_value_ce1 = 1'd0; -reg builder_litedramcore_we_next_value2 = 1'd0; -reg builder_litedramcore_we_next_value_ce2 = 1'd0; -reg builder_rhs_array_muxed0 = 1'd0; -reg [14:0] builder_rhs_array_muxed1 = 15'd0; -reg [2:0] builder_rhs_array_muxed2 = 3'd0; -reg builder_rhs_array_muxed3 = 1'd0; -reg builder_rhs_array_muxed4 = 1'd0; -reg builder_rhs_array_muxed5 = 1'd0; -reg builder_t_array_muxed0 = 1'd0; -reg builder_t_array_muxed1 = 1'd0; -reg builder_t_array_muxed2 = 1'd0; -reg builder_rhs_array_muxed6 = 1'd0; -reg [14:0] builder_rhs_array_muxed7 = 15'd0; -reg [2:0] builder_rhs_array_muxed8 = 3'd0; -reg builder_rhs_array_muxed9 = 1'd0; -reg builder_rhs_array_muxed10 = 1'd0; -reg builder_rhs_array_muxed11 = 1'd0; -reg builder_t_array_muxed3 = 1'd0; -reg builder_t_array_muxed4 = 1'd0; -reg builder_t_array_muxed5 = 1'd0; -reg [21:0] builder_rhs_array_muxed12 = 22'd0; -reg builder_rhs_array_muxed13 = 1'd0; -reg builder_rhs_array_muxed14 = 1'd0; -reg [21:0] builder_rhs_array_muxed15 = 22'd0; -reg builder_rhs_array_muxed16 = 1'd0; -reg builder_rhs_array_muxed17 = 1'd0; -reg [21:0] builder_rhs_array_muxed18 = 22'd0; -reg builder_rhs_array_muxed19 = 1'd0; -reg builder_rhs_array_muxed20 = 1'd0; -reg [21:0] builder_rhs_array_muxed21 = 22'd0; -reg builder_rhs_array_muxed22 = 1'd0; -reg builder_rhs_array_muxed23 = 1'd0; -reg [21:0] builder_rhs_array_muxed24 = 22'd0; -reg builder_rhs_array_muxed25 = 1'd0; -reg builder_rhs_array_muxed26 = 1'd0; -reg [21:0] builder_rhs_array_muxed27 = 22'd0; -reg builder_rhs_array_muxed28 = 1'd0; -reg builder_rhs_array_muxed29 = 1'd0; -reg [21:0] builder_rhs_array_muxed30 = 22'd0; -reg builder_rhs_array_muxed31 = 1'd0; -reg builder_rhs_array_muxed32 = 1'd0; -reg [21:0] builder_rhs_array_muxed33 = 22'd0; -reg builder_rhs_array_muxed34 = 1'd0; -reg builder_rhs_array_muxed35 = 1'd0; -reg [2:0] builder_array_muxed0 = 3'd0; -reg [14:0] builder_array_muxed1 = 15'd0; -reg builder_array_muxed2 = 1'd0; -reg builder_array_muxed3 = 1'd0; -reg builder_array_muxed4 = 1'd0; -reg builder_array_muxed5 = 1'd0; -reg builder_array_muxed6 = 1'd0; -reg [2:0] builder_array_muxed7 = 3'd0; -reg [14:0] builder_array_muxed8 = 15'd0; -reg builder_array_muxed9 = 1'd0; -reg builder_array_muxed10 = 1'd0; -reg builder_array_muxed11 = 1'd0; -reg builder_array_muxed12 = 1'd0; -reg builder_array_muxed13 = 1'd0; -reg [2:0] builder_array_muxed14 = 3'd0; -reg [14:0] builder_array_muxed15 = 15'd0; -reg builder_array_muxed16 = 1'd0; -reg builder_array_muxed17 = 1'd0; -reg builder_array_muxed18 = 1'd0; -reg builder_array_muxed19 = 1'd0; -reg builder_array_muxed20 = 1'd0; -reg [2:0] builder_array_muxed21 = 3'd0; -reg [14:0] builder_array_muxed22 = 15'd0; -reg builder_array_muxed23 = 1'd0; -reg builder_array_muxed24 = 1'd0; -reg builder_array_muxed25 = 1'd0; -reg builder_array_muxed26 = 1'd0; -reg builder_array_muxed27 = 1'd0; -wire builder_xilinxasyncresetsynchronizerimpl0; -wire builder_xilinxasyncresetsynchronizerimpl0_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl1; -wire builder_xilinxasyncresetsynchronizerimpl1_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl2; -wire builder_xilinxasyncresetsynchronizerimpl2_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl2_expr; -wire builder_xilinxasyncresetsynchronizerimpl3; -wire builder_xilinxasyncresetsynchronizerimpl3_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl3_expr; +wire reset; +reg power_down = 1'd0; +wire locked; +wire clkin; +wire clkout0; +wire clkout_buf0; +wire clkout1; +wire clkout_buf1; +wire clkout2; +wire clkout_buf2; +wire clkout3; +wire clkout_buf3; +reg [3:0] reset_counter = 4'd15; +reg ic_reset = 1'd1; +reg k7ddrphy_rst_storage = 1'd0; +reg k7ddrphy_rst_re = 1'd0; +reg [3:0] k7ddrphy_dly_sel_storage = 4'd0; +reg k7ddrphy_dly_sel_re = 1'd0; +reg [4:0] k7ddrphy_half_sys8x_taps_storage = 5'd8; +reg k7ddrphy_half_sys8x_taps_re = 1'd0; +reg k7ddrphy_wlevel_en_storage = 1'd0; +reg k7ddrphy_wlevel_en_re = 1'd0; +reg k7ddrphy_wlevel_strobe_re = 1'd0; +wire k7ddrphy_wlevel_strobe_r; +reg k7ddrphy_wlevel_strobe_we = 1'd0; +reg k7ddrphy_wlevel_strobe_w = 1'd0; +reg k7ddrphy_cdly_rst_re = 1'd0; +wire k7ddrphy_cdly_rst_r; +reg k7ddrphy_cdly_rst_we = 1'd0; +reg k7ddrphy_cdly_rst_w = 1'd0; +reg k7ddrphy_cdly_inc_re = 1'd0; +wire k7ddrphy_cdly_inc_r; +reg k7ddrphy_cdly_inc_we = 1'd0; +reg k7ddrphy_cdly_inc_w = 1'd0; +reg k7ddrphy_rdly_dq_rst_re = 1'd0; +wire k7ddrphy_rdly_dq_rst_r; +reg k7ddrphy_rdly_dq_rst_we = 1'd0; +reg k7ddrphy_rdly_dq_rst_w = 1'd0; +reg k7ddrphy_rdly_dq_inc_re = 1'd0; +wire k7ddrphy_rdly_dq_inc_r; +reg k7ddrphy_rdly_dq_inc_we = 1'd0; +reg k7ddrphy_rdly_dq_inc_w = 1'd0; +reg k7ddrphy_rdly_dq_bitslip_rst_re = 1'd0; +wire k7ddrphy_rdly_dq_bitslip_rst_r; +reg k7ddrphy_rdly_dq_bitslip_rst_we = 1'd0; +reg k7ddrphy_rdly_dq_bitslip_rst_w = 1'd0; +reg k7ddrphy_rdly_dq_bitslip_re = 1'd0; +wire k7ddrphy_rdly_dq_bitslip_r; +reg k7ddrphy_rdly_dq_bitslip_we = 1'd0; +reg k7ddrphy_rdly_dq_bitslip_w = 1'd0; +reg k7ddrphy_wdly_dq_rst_re = 1'd0; +wire k7ddrphy_wdly_dq_rst_r; +reg k7ddrphy_wdly_dq_rst_we = 1'd0; +reg k7ddrphy_wdly_dq_rst_w = 1'd0; +reg k7ddrphy_wdly_dq_inc_re = 1'd0; +wire k7ddrphy_wdly_dq_inc_r; +reg k7ddrphy_wdly_dq_inc_we = 1'd0; +reg k7ddrphy_wdly_dq_inc_w = 1'd0; +reg k7ddrphy_wdly_dqs_rst_re = 1'd0; +wire k7ddrphy_wdly_dqs_rst_r; +reg k7ddrphy_wdly_dqs_rst_we = 1'd0; +reg k7ddrphy_wdly_dqs_rst_w = 1'd0; +reg k7ddrphy_wdly_dqs_inc_re = 1'd0; +wire k7ddrphy_wdly_dqs_inc_r; +reg k7ddrphy_wdly_dqs_inc_we = 1'd0; +reg k7ddrphy_wdly_dqs_inc_w = 1'd0; +reg k7ddrphy_wdly_dq_bitslip_rst_re = 1'd0; +wire k7ddrphy_wdly_dq_bitslip_rst_r; +reg k7ddrphy_wdly_dq_bitslip_rst_we = 1'd0; +reg k7ddrphy_wdly_dq_bitslip_rst_w = 1'd0; +reg k7ddrphy_wdly_dq_bitslip_re = 1'd0; +wire k7ddrphy_wdly_dq_bitslip_r; +reg k7ddrphy_wdly_dq_bitslip_we = 1'd0; +reg k7ddrphy_wdly_dq_bitslip_w = 1'd0; +reg [1:0] k7ddrphy_rdphase_storage = 2'd1; +reg k7ddrphy_rdphase_re = 1'd0; +reg [1:0] k7ddrphy_wrphase_storage = 2'd2; +reg k7ddrphy_wrphase_re = 1'd0; +wire [14:0] k7ddrphy_dfi_p0_address; +wire [2:0] k7ddrphy_dfi_p0_bank; +wire k7ddrphy_dfi_p0_cas_n; +wire k7ddrphy_dfi_p0_cs_n; +wire k7ddrphy_dfi_p0_ras_n; +wire k7ddrphy_dfi_p0_we_n; +wire k7ddrphy_dfi_p0_cke; +wire k7ddrphy_dfi_p0_odt; +wire k7ddrphy_dfi_p0_reset_n; +wire k7ddrphy_dfi_p0_act_n; +wire [63:0] k7ddrphy_dfi_p0_wrdata; +wire k7ddrphy_dfi_p0_wrdata_en; +wire [7:0] k7ddrphy_dfi_p0_wrdata_mask; +wire k7ddrphy_dfi_p0_rddata_en; +reg [63:0] k7ddrphy_dfi_p0_rddata = 64'd0; +wire k7ddrphy_dfi_p0_rddata_valid; +wire [14:0] k7ddrphy_dfi_p1_address; +wire [2:0] k7ddrphy_dfi_p1_bank; +wire k7ddrphy_dfi_p1_cas_n; +wire k7ddrphy_dfi_p1_cs_n; +wire k7ddrphy_dfi_p1_ras_n; +wire k7ddrphy_dfi_p1_we_n; +wire k7ddrphy_dfi_p1_cke; +wire k7ddrphy_dfi_p1_odt; +wire k7ddrphy_dfi_p1_reset_n; +wire k7ddrphy_dfi_p1_act_n; +wire [63:0] k7ddrphy_dfi_p1_wrdata; +wire k7ddrphy_dfi_p1_wrdata_en; +wire [7:0] k7ddrphy_dfi_p1_wrdata_mask; +wire k7ddrphy_dfi_p1_rddata_en; +reg [63:0] k7ddrphy_dfi_p1_rddata = 64'd0; +wire k7ddrphy_dfi_p1_rddata_valid; +wire [14:0] k7ddrphy_dfi_p2_address; +wire [2:0] k7ddrphy_dfi_p2_bank; +wire k7ddrphy_dfi_p2_cas_n; +wire k7ddrphy_dfi_p2_cs_n; +wire k7ddrphy_dfi_p2_ras_n; +wire k7ddrphy_dfi_p2_we_n; +wire k7ddrphy_dfi_p2_cke; +wire k7ddrphy_dfi_p2_odt; +wire k7ddrphy_dfi_p2_reset_n; +wire k7ddrphy_dfi_p2_act_n; +wire [63:0] k7ddrphy_dfi_p2_wrdata; +wire k7ddrphy_dfi_p2_wrdata_en; +wire [7:0] k7ddrphy_dfi_p2_wrdata_mask; +wire k7ddrphy_dfi_p2_rddata_en; +reg [63:0] k7ddrphy_dfi_p2_rddata = 64'd0; +wire k7ddrphy_dfi_p2_rddata_valid; +wire [14:0] k7ddrphy_dfi_p3_address; +wire [2:0] k7ddrphy_dfi_p3_bank; +wire k7ddrphy_dfi_p3_cas_n; +wire k7ddrphy_dfi_p3_cs_n; +wire k7ddrphy_dfi_p3_ras_n; +wire k7ddrphy_dfi_p3_we_n; +wire k7ddrphy_dfi_p3_cke; +wire k7ddrphy_dfi_p3_odt; +wire k7ddrphy_dfi_p3_reset_n; +wire k7ddrphy_dfi_p3_act_n; +wire [63:0] k7ddrphy_dfi_p3_wrdata; +wire k7ddrphy_dfi_p3_wrdata_en; +wire [7:0] k7ddrphy_dfi_p3_wrdata_mask; +wire k7ddrphy_dfi_p3_rddata_en; +reg [63:0] k7ddrphy_dfi_p3_rddata = 64'd0; +wire k7ddrphy_dfi_p3_rddata_valid; +wire k7ddrphy_sd_clk_se_nodelay; +wire k7ddrphy_sd_clk_se_delayed; +wire [2:0] k7ddrphy_pads_ba; +wire k7ddrphy_oq0; +wire k7ddrphy_oq1; +wire k7ddrphy_oq2; +wire k7ddrphy_oq3; +wire k7ddrphy_oq4; +wire k7ddrphy_oq5; +wire k7ddrphy_oq6; +wire k7ddrphy_oq7; +wire k7ddrphy_oq8; +wire k7ddrphy_oq9; +wire k7ddrphy_oq10; +wire k7ddrphy_oq11; +wire k7ddrphy_oq12; +wire k7ddrphy_oq13; +wire k7ddrphy_oq14; +wire k7ddrphy_oq15; +wire k7ddrphy_oq16; +wire k7ddrphy_oq17; +wire k7ddrphy_oq18; +wire k7ddrphy_oq19; +wire k7ddrphy_oq20; +wire k7ddrphy_oq21; +wire k7ddrphy_oq22; +wire k7ddrphy_oq23; +wire k7ddrphy_oq24; +reg k7ddrphy_dqs_oe = 1'd0; +wire k7ddrphy_dqs_preamble; +wire k7ddrphy_dqs_postamble; +wire k7ddrphy_dqs_oe_delay_tappeddelayline; +reg k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; +reg k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; +reg k7ddrphy_dqspattern0 = 1'd0; +reg k7ddrphy_dqspattern1 = 1'd0; +reg [7:0] k7ddrphy_dqspattern_o = 8'd0; +wire k7ddrphy_dqs_o_no_delay0; +wire k7ddrphy_dqs_o_delayed0; +wire k7ddrphy_dqs_t0; +reg [7:0] k7ddrphy_bitslip00 = 8'd0; +reg [2:0] k7ddrphy_bitslip0_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip0_r0 = 16'd0; +wire k7ddrphy0; +wire k7ddrphy_dqs_o_no_delay1; +wire k7ddrphy_dqs_o_delayed1; +wire k7ddrphy_dqs_t1; +reg [7:0] k7ddrphy_bitslip10 = 8'd0; +reg [2:0] k7ddrphy_bitslip1_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip1_r0 = 16'd0; +wire k7ddrphy1; +wire k7ddrphy_dqs_o_no_delay2; +wire k7ddrphy_dqs_o_delayed2; +wire k7ddrphy_dqs_t2; +reg [7:0] k7ddrphy_bitslip20 = 8'd0; +reg [2:0] k7ddrphy_bitslip2_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip2_r0 = 16'd0; +wire k7ddrphy2; +wire k7ddrphy_dqs_o_no_delay3; +wire k7ddrphy_dqs_o_delayed3; +wire k7ddrphy_dqs_t3; +reg [7:0] k7ddrphy_bitslip30 = 8'd0; +reg [2:0] k7ddrphy_bitslip3_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip3_r0 = 16'd0; +wire k7ddrphy3; +wire k7ddrphy_dm_o_nodelay0; +reg [7:0] k7ddrphy_bitslip01 = 8'd0; +reg [2:0] k7ddrphy_bitslip0_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip0_r1 = 16'd0; +wire k7ddrphy_dm_o_nodelay1; +reg [7:0] k7ddrphy_bitslip11 = 8'd0; +reg [2:0] k7ddrphy_bitslip1_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip1_r1 = 16'd0; +wire k7ddrphy_dm_o_nodelay2; +reg [7:0] k7ddrphy_bitslip21 = 8'd0; +reg [2:0] k7ddrphy_bitslip2_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip2_r1 = 16'd0; +wire k7ddrphy_dm_o_nodelay3; +reg [7:0] k7ddrphy_bitslip31 = 8'd0; +reg [2:0] k7ddrphy_bitslip3_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip3_r1 = 16'd0; +wire k7ddrphy_dq_oe; +wire k7ddrphy_dq_oe_delay_tappeddelayline; +reg k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; +reg k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; +wire k7ddrphy_dq_o_nodelay0; +wire k7ddrphy_dq_o_delayed0; +wire k7ddrphy_dq_i_nodelay0; +wire k7ddrphy_dq_i_delayed0; +wire k7ddrphy_dq_t0; +reg [7:0] k7ddrphy_bitslip02 = 8'd0; +reg [2:0] k7ddrphy_bitslip0_value2 = 3'd7; +reg [15:0] k7ddrphy_bitslip0_r2 = 16'd0; +wire [7:0] k7ddrphy_bitslip03; +reg [7:0] k7ddrphy_bitslip04 = 8'd0; +reg [2:0] k7ddrphy_bitslip0_value3 = 3'd7; +reg [15:0] k7ddrphy_bitslip0_r3 = 16'd0; +wire k7ddrphy_dq_o_nodelay1; +wire k7ddrphy_dq_o_delayed1; +wire k7ddrphy_dq_i_nodelay1; +wire k7ddrphy_dq_i_delayed1; +wire k7ddrphy_dq_t1; +reg [7:0] k7ddrphy_bitslip12 = 8'd0; +reg [2:0] k7ddrphy_bitslip1_value2 = 3'd7; +reg [15:0] k7ddrphy_bitslip1_r2 = 16'd0; +wire [7:0] k7ddrphy_bitslip13; +reg [7:0] k7ddrphy_bitslip14 = 8'd0; +reg [2:0] k7ddrphy_bitslip1_value3 = 3'd7; +reg [15:0] k7ddrphy_bitslip1_r3 = 16'd0; +wire k7ddrphy_dq_o_nodelay2; +wire k7ddrphy_dq_o_delayed2; +wire k7ddrphy_dq_i_nodelay2; +wire k7ddrphy_dq_i_delayed2; +wire k7ddrphy_dq_t2; +reg [7:0] k7ddrphy_bitslip22 = 8'd0; +reg [2:0] k7ddrphy_bitslip2_value2 = 3'd7; +reg [15:0] k7ddrphy_bitslip2_r2 = 16'd0; +wire [7:0] k7ddrphy_bitslip23; +reg [7:0] k7ddrphy_bitslip24 = 8'd0; +reg [2:0] k7ddrphy_bitslip2_value3 = 3'd7; +reg [15:0] k7ddrphy_bitslip2_r3 = 16'd0; +wire k7ddrphy_dq_o_nodelay3; +wire k7ddrphy_dq_o_delayed3; +wire k7ddrphy_dq_i_nodelay3; +wire k7ddrphy_dq_i_delayed3; +wire k7ddrphy_dq_t3; +reg [7:0] k7ddrphy_bitslip32 = 8'd0; +reg [2:0] k7ddrphy_bitslip3_value2 = 3'd7; +reg [15:0] k7ddrphy_bitslip3_r2 = 16'd0; +wire [7:0] k7ddrphy_bitslip33; +reg [7:0] k7ddrphy_bitslip34 = 8'd0; +reg [2:0] k7ddrphy_bitslip3_value3 = 3'd7; +reg [15:0] k7ddrphy_bitslip3_r3 = 16'd0; +wire k7ddrphy_dq_o_nodelay4; +wire k7ddrphy_dq_o_delayed4; +wire k7ddrphy_dq_i_nodelay4; +wire k7ddrphy_dq_i_delayed4; +wire k7ddrphy_dq_t4; +reg [7:0] k7ddrphy_bitslip40 = 8'd0; +reg [2:0] k7ddrphy_bitslip4_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip4_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip41; +reg [7:0] k7ddrphy_bitslip42 = 8'd0; +reg [2:0] k7ddrphy_bitslip4_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip4_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay5; +wire k7ddrphy_dq_o_delayed5; +wire k7ddrphy_dq_i_nodelay5; +wire k7ddrphy_dq_i_delayed5; +wire k7ddrphy_dq_t5; +reg [7:0] k7ddrphy_bitslip50 = 8'd0; +reg [2:0] k7ddrphy_bitslip5_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip5_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip51; +reg [7:0] k7ddrphy_bitslip52 = 8'd0; +reg [2:0] k7ddrphy_bitslip5_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip5_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay6; +wire k7ddrphy_dq_o_delayed6; +wire k7ddrphy_dq_i_nodelay6; +wire k7ddrphy_dq_i_delayed6; +wire k7ddrphy_dq_t6; +reg [7:0] k7ddrphy_bitslip60 = 8'd0; +reg [2:0] k7ddrphy_bitslip6_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip6_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip61; +reg [7:0] k7ddrphy_bitslip62 = 8'd0; +reg [2:0] k7ddrphy_bitslip6_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip6_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay7; +wire k7ddrphy_dq_o_delayed7; +wire k7ddrphy_dq_i_nodelay7; +wire k7ddrphy_dq_i_delayed7; +wire k7ddrphy_dq_t7; +reg [7:0] k7ddrphy_bitslip70 = 8'd0; +reg [2:0] k7ddrphy_bitslip7_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip7_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip71; +reg [7:0] k7ddrphy_bitslip72 = 8'd0; +reg [2:0] k7ddrphy_bitslip7_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip7_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay8; +wire k7ddrphy_dq_o_delayed8; +wire k7ddrphy_dq_i_nodelay8; +wire k7ddrphy_dq_i_delayed8; +wire k7ddrphy_dq_t8; +reg [7:0] k7ddrphy_bitslip80 = 8'd0; +reg [2:0] k7ddrphy_bitslip8_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip8_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip81; +reg [7:0] k7ddrphy_bitslip82 = 8'd0; +reg [2:0] k7ddrphy_bitslip8_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip8_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay9; +wire k7ddrphy_dq_o_delayed9; +wire k7ddrphy_dq_i_nodelay9; +wire k7ddrphy_dq_i_delayed9; +wire k7ddrphy_dq_t9; +reg [7:0] k7ddrphy_bitslip90 = 8'd0; +reg [2:0] k7ddrphy_bitslip9_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip9_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip91; +reg [7:0] k7ddrphy_bitslip92 = 8'd0; +reg [2:0] k7ddrphy_bitslip9_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip9_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay10; +wire k7ddrphy_dq_o_delayed10; +wire k7ddrphy_dq_i_nodelay10; +wire k7ddrphy_dq_i_delayed10; +wire k7ddrphy_dq_t10; +reg [7:0] k7ddrphy_bitslip100 = 8'd0; +reg [2:0] k7ddrphy_bitslip10_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip10_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip101; +reg [7:0] k7ddrphy_bitslip102 = 8'd0; +reg [2:0] k7ddrphy_bitslip10_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip10_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay11; +wire k7ddrphy_dq_o_delayed11; +wire k7ddrphy_dq_i_nodelay11; +wire k7ddrphy_dq_i_delayed11; +wire k7ddrphy_dq_t11; +reg [7:0] k7ddrphy_bitslip110 = 8'd0; +reg [2:0] k7ddrphy_bitslip11_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip11_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip111; +reg [7:0] k7ddrphy_bitslip112 = 8'd0; +reg [2:0] k7ddrphy_bitslip11_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip11_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay12; +wire k7ddrphy_dq_o_delayed12; +wire k7ddrphy_dq_i_nodelay12; +wire k7ddrphy_dq_i_delayed12; +wire k7ddrphy_dq_t12; +reg [7:0] k7ddrphy_bitslip120 = 8'd0; +reg [2:0] k7ddrphy_bitslip12_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip12_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip121; +reg [7:0] k7ddrphy_bitslip122 = 8'd0; +reg [2:0] k7ddrphy_bitslip12_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip12_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay13; +wire k7ddrphy_dq_o_delayed13; +wire k7ddrphy_dq_i_nodelay13; +wire k7ddrphy_dq_i_delayed13; +wire k7ddrphy_dq_t13; +reg [7:0] k7ddrphy_bitslip130 = 8'd0; +reg [2:0] k7ddrphy_bitslip13_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip13_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip131; +reg [7:0] k7ddrphy_bitslip132 = 8'd0; +reg [2:0] k7ddrphy_bitslip13_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip13_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay14; +wire k7ddrphy_dq_o_delayed14; +wire k7ddrphy_dq_i_nodelay14; +wire k7ddrphy_dq_i_delayed14; +wire k7ddrphy_dq_t14; +reg [7:0] k7ddrphy_bitslip140 = 8'd0; +reg [2:0] k7ddrphy_bitslip14_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip14_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip141; +reg [7:0] k7ddrphy_bitslip142 = 8'd0; +reg [2:0] k7ddrphy_bitslip14_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip14_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay15; +wire k7ddrphy_dq_o_delayed15; +wire k7ddrphy_dq_i_nodelay15; +wire k7ddrphy_dq_i_delayed15; +wire k7ddrphy_dq_t15; +reg [7:0] k7ddrphy_bitslip150 = 8'd0; +reg [2:0] k7ddrphy_bitslip15_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip15_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip151; +reg [7:0] k7ddrphy_bitslip152 = 8'd0; +reg [2:0] k7ddrphy_bitslip15_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip15_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay16; +wire k7ddrphy_dq_o_delayed16; +wire k7ddrphy_dq_i_nodelay16; +wire k7ddrphy_dq_i_delayed16; +wire k7ddrphy_dq_t16; +reg [7:0] k7ddrphy_bitslip160 = 8'd0; +reg [2:0] k7ddrphy_bitslip16_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip16_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip161; +reg [7:0] k7ddrphy_bitslip162 = 8'd0; +reg [2:0] k7ddrphy_bitslip16_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip16_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay17; +wire k7ddrphy_dq_o_delayed17; +wire k7ddrphy_dq_i_nodelay17; +wire k7ddrphy_dq_i_delayed17; +wire k7ddrphy_dq_t17; +reg [7:0] k7ddrphy_bitslip170 = 8'd0; +reg [2:0] k7ddrphy_bitslip17_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip17_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip171; +reg [7:0] k7ddrphy_bitslip172 = 8'd0; +reg [2:0] k7ddrphy_bitslip17_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip17_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay18; +wire k7ddrphy_dq_o_delayed18; +wire k7ddrphy_dq_i_nodelay18; +wire k7ddrphy_dq_i_delayed18; +wire k7ddrphy_dq_t18; +reg [7:0] k7ddrphy_bitslip180 = 8'd0; +reg [2:0] k7ddrphy_bitslip18_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip18_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip181; +reg [7:0] k7ddrphy_bitslip182 = 8'd0; +reg [2:0] k7ddrphy_bitslip18_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip18_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay19; +wire k7ddrphy_dq_o_delayed19; +wire k7ddrphy_dq_i_nodelay19; +wire k7ddrphy_dq_i_delayed19; +wire k7ddrphy_dq_t19; +reg [7:0] k7ddrphy_bitslip190 = 8'd0; +reg [2:0] k7ddrphy_bitslip19_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip19_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip191; +reg [7:0] k7ddrphy_bitslip192 = 8'd0; +reg [2:0] k7ddrphy_bitslip19_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip19_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay20; +wire k7ddrphy_dq_o_delayed20; +wire k7ddrphy_dq_i_nodelay20; +wire k7ddrphy_dq_i_delayed20; +wire k7ddrphy_dq_t20; +reg [7:0] k7ddrphy_bitslip200 = 8'd0; +reg [2:0] k7ddrphy_bitslip20_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip20_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip201; +reg [7:0] k7ddrphy_bitslip202 = 8'd0; +reg [2:0] k7ddrphy_bitslip20_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip20_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay21; +wire k7ddrphy_dq_o_delayed21; +wire k7ddrphy_dq_i_nodelay21; +wire k7ddrphy_dq_i_delayed21; +wire k7ddrphy_dq_t21; +reg [7:0] k7ddrphy_bitslip210 = 8'd0; +reg [2:0] k7ddrphy_bitslip21_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip21_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip211; +reg [7:0] k7ddrphy_bitslip212 = 8'd0; +reg [2:0] k7ddrphy_bitslip21_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip21_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay22; +wire k7ddrphy_dq_o_delayed22; +wire k7ddrphy_dq_i_nodelay22; +wire k7ddrphy_dq_i_delayed22; +wire k7ddrphy_dq_t22; +reg [7:0] k7ddrphy_bitslip220 = 8'd0; +reg [2:0] k7ddrphy_bitslip22_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip22_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip221; +reg [7:0] k7ddrphy_bitslip222 = 8'd0; +reg [2:0] k7ddrphy_bitslip22_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip22_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay23; +wire k7ddrphy_dq_o_delayed23; +wire k7ddrphy_dq_i_nodelay23; +wire k7ddrphy_dq_i_delayed23; +wire k7ddrphy_dq_t23; +reg [7:0] k7ddrphy_bitslip230 = 8'd0; +reg [2:0] k7ddrphy_bitslip23_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip23_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip231; +reg [7:0] k7ddrphy_bitslip232 = 8'd0; +reg [2:0] k7ddrphy_bitslip23_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip23_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay24; +wire k7ddrphy_dq_o_delayed24; +wire k7ddrphy_dq_i_nodelay24; +wire k7ddrphy_dq_i_delayed24; +wire k7ddrphy_dq_t24; +reg [7:0] k7ddrphy_bitslip240 = 8'd0; +reg [2:0] k7ddrphy_bitslip24_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip24_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip241; +reg [7:0] k7ddrphy_bitslip242 = 8'd0; +reg [2:0] k7ddrphy_bitslip24_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip24_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay25; +wire k7ddrphy_dq_o_delayed25; +wire k7ddrphy_dq_i_nodelay25; +wire k7ddrphy_dq_i_delayed25; +wire k7ddrphy_dq_t25; +reg [7:0] k7ddrphy_bitslip250 = 8'd0; +reg [2:0] k7ddrphy_bitslip25_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip25_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip251; +reg [7:0] k7ddrphy_bitslip252 = 8'd0; +reg [2:0] k7ddrphy_bitslip25_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip25_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay26; +wire k7ddrphy_dq_o_delayed26; +wire k7ddrphy_dq_i_nodelay26; +wire k7ddrphy_dq_i_delayed26; +wire k7ddrphy_dq_t26; +reg [7:0] k7ddrphy_bitslip260 = 8'd0; +reg [2:0] k7ddrphy_bitslip26_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip26_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip261; +reg [7:0] k7ddrphy_bitslip262 = 8'd0; +reg [2:0] k7ddrphy_bitslip26_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip26_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay27; +wire k7ddrphy_dq_o_delayed27; +wire k7ddrphy_dq_i_nodelay27; +wire k7ddrphy_dq_i_delayed27; +wire k7ddrphy_dq_t27; +reg [7:0] k7ddrphy_bitslip270 = 8'd0; +reg [2:0] k7ddrphy_bitslip27_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip27_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip271; +reg [7:0] k7ddrphy_bitslip272 = 8'd0; +reg [2:0] k7ddrphy_bitslip27_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip27_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay28; +wire k7ddrphy_dq_o_delayed28; +wire k7ddrphy_dq_i_nodelay28; +wire k7ddrphy_dq_i_delayed28; +wire k7ddrphy_dq_t28; +reg [7:0] k7ddrphy_bitslip280 = 8'd0; +reg [2:0] k7ddrphy_bitslip28_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip28_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip281; +reg [7:0] k7ddrphy_bitslip282 = 8'd0; +reg [2:0] k7ddrphy_bitslip28_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip28_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay29; +wire k7ddrphy_dq_o_delayed29; +wire k7ddrphy_dq_i_nodelay29; +wire k7ddrphy_dq_i_delayed29; +wire k7ddrphy_dq_t29; +reg [7:0] k7ddrphy_bitslip290 = 8'd0; +reg [2:0] k7ddrphy_bitslip29_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip29_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip291; +reg [7:0] k7ddrphy_bitslip292 = 8'd0; +reg [2:0] k7ddrphy_bitslip29_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip29_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay30; +wire k7ddrphy_dq_o_delayed30; +wire k7ddrphy_dq_i_nodelay30; +wire k7ddrphy_dq_i_delayed30; +wire k7ddrphy_dq_t30; +reg [7:0] k7ddrphy_bitslip300 = 8'd0; +reg [2:0] k7ddrphy_bitslip30_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip30_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip301; +reg [7:0] k7ddrphy_bitslip302 = 8'd0; +reg [2:0] k7ddrphy_bitslip30_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip30_r1 = 16'd0; +wire k7ddrphy_dq_o_nodelay31; +wire k7ddrphy_dq_o_delayed31; +wire k7ddrphy_dq_i_nodelay31; +wire k7ddrphy_dq_i_delayed31; +wire k7ddrphy_dq_t31; +reg [7:0] k7ddrphy_bitslip310 = 8'd0; +reg [2:0] k7ddrphy_bitslip31_value0 = 3'd7; +reg [15:0] k7ddrphy_bitslip31_r0 = 16'd0; +wire [7:0] k7ddrphy_bitslip311; +reg [7:0] k7ddrphy_bitslip312 = 8'd0; +reg [2:0] k7ddrphy_bitslip31_value1 = 3'd7; +reg [15:0] k7ddrphy_bitslip31_r1 = 16'd0; +reg k7ddrphy_rddata_en_tappeddelayline0 = 1'd0; +reg k7ddrphy_rddata_en_tappeddelayline1 = 1'd0; +reg k7ddrphy_rddata_en_tappeddelayline2 = 1'd0; +reg k7ddrphy_rddata_en_tappeddelayline3 = 1'd0; +reg k7ddrphy_rddata_en_tappeddelayline4 = 1'd0; +reg k7ddrphy_rddata_en_tappeddelayline5 = 1'd0; +reg k7ddrphy_rddata_en_tappeddelayline6 = 1'd0; +reg k7ddrphy_rddata_en_tappeddelayline7 = 1'd0; +reg k7ddrphy_wrdata_en_tappeddelayline0 = 1'd0; +reg k7ddrphy_wrdata_en_tappeddelayline1 = 1'd0; +reg k7ddrphy_wrdata_en_tappeddelayline2 = 1'd0; +wire [14:0] litedramcore_slave_p0_address; +wire [2:0] litedramcore_slave_p0_bank; +wire litedramcore_slave_p0_cas_n; +wire litedramcore_slave_p0_cs_n; +wire litedramcore_slave_p0_ras_n; +wire litedramcore_slave_p0_we_n; +wire litedramcore_slave_p0_cke; +wire litedramcore_slave_p0_odt; +wire litedramcore_slave_p0_reset_n; +wire litedramcore_slave_p0_act_n; +wire [63:0] litedramcore_slave_p0_wrdata; +wire litedramcore_slave_p0_wrdata_en; +wire [7:0] litedramcore_slave_p0_wrdata_mask; +wire litedramcore_slave_p0_rddata_en; +reg [63:0] litedramcore_slave_p0_rddata = 64'd0; +reg litedramcore_slave_p0_rddata_valid = 1'd0; +wire [14:0] litedramcore_slave_p1_address; +wire [2:0] litedramcore_slave_p1_bank; +wire litedramcore_slave_p1_cas_n; +wire litedramcore_slave_p1_cs_n; +wire litedramcore_slave_p1_ras_n; +wire litedramcore_slave_p1_we_n; +wire litedramcore_slave_p1_cke; +wire litedramcore_slave_p1_odt; +wire litedramcore_slave_p1_reset_n; +wire litedramcore_slave_p1_act_n; +wire [63:0] litedramcore_slave_p1_wrdata; +wire litedramcore_slave_p1_wrdata_en; +wire [7:0] litedramcore_slave_p1_wrdata_mask; +wire litedramcore_slave_p1_rddata_en; +reg [63:0] litedramcore_slave_p1_rddata = 64'd0; +reg litedramcore_slave_p1_rddata_valid = 1'd0; +wire [14:0] litedramcore_slave_p2_address; +wire [2:0] litedramcore_slave_p2_bank; +wire litedramcore_slave_p2_cas_n; +wire litedramcore_slave_p2_cs_n; +wire litedramcore_slave_p2_ras_n; +wire litedramcore_slave_p2_we_n; +wire litedramcore_slave_p2_cke; +wire litedramcore_slave_p2_odt; +wire litedramcore_slave_p2_reset_n; +wire litedramcore_slave_p2_act_n; +wire [63:0] litedramcore_slave_p2_wrdata; +wire litedramcore_slave_p2_wrdata_en; +wire [7:0] litedramcore_slave_p2_wrdata_mask; +wire litedramcore_slave_p2_rddata_en; +reg [63:0] litedramcore_slave_p2_rddata = 64'd0; +reg litedramcore_slave_p2_rddata_valid = 1'd0; +wire [14:0] litedramcore_slave_p3_address; +wire [2:0] litedramcore_slave_p3_bank; +wire litedramcore_slave_p3_cas_n; +wire litedramcore_slave_p3_cs_n; +wire litedramcore_slave_p3_ras_n; +wire litedramcore_slave_p3_we_n; +wire litedramcore_slave_p3_cke; +wire litedramcore_slave_p3_odt; +wire litedramcore_slave_p3_reset_n; +wire litedramcore_slave_p3_act_n; +wire [63:0] litedramcore_slave_p3_wrdata; +wire litedramcore_slave_p3_wrdata_en; +wire [7:0] litedramcore_slave_p3_wrdata_mask; +wire litedramcore_slave_p3_rddata_en; +reg [63:0] litedramcore_slave_p3_rddata = 64'd0; +reg litedramcore_slave_p3_rddata_valid = 1'd0; +reg [14:0] litedramcore_master_p0_address = 15'd0; +reg [2:0] litedramcore_master_p0_bank = 3'd0; +reg litedramcore_master_p0_cas_n = 1'd1; +reg litedramcore_master_p0_cs_n = 1'd1; +reg litedramcore_master_p0_ras_n = 1'd1; +reg litedramcore_master_p0_we_n = 1'd1; +reg litedramcore_master_p0_cke = 1'd0; +reg litedramcore_master_p0_odt = 1'd0; +reg litedramcore_master_p0_reset_n = 1'd0; +reg litedramcore_master_p0_act_n = 1'd1; +reg [63:0] litedramcore_master_p0_wrdata = 64'd0; +reg litedramcore_master_p0_wrdata_en = 1'd0; +reg [7:0] litedramcore_master_p0_wrdata_mask = 8'd0; +reg litedramcore_master_p0_rddata_en = 1'd0; +wire [63:0] litedramcore_master_p0_rddata; +wire litedramcore_master_p0_rddata_valid; +reg [14:0] litedramcore_master_p1_address = 15'd0; +reg [2:0] litedramcore_master_p1_bank = 3'd0; +reg litedramcore_master_p1_cas_n = 1'd1; +reg litedramcore_master_p1_cs_n = 1'd1; +reg litedramcore_master_p1_ras_n = 1'd1; +reg litedramcore_master_p1_we_n = 1'd1; +reg litedramcore_master_p1_cke = 1'd0; +reg litedramcore_master_p1_odt = 1'd0; +reg litedramcore_master_p1_reset_n = 1'd0; +reg litedramcore_master_p1_act_n = 1'd1; +reg [63:0] litedramcore_master_p1_wrdata = 64'd0; +reg litedramcore_master_p1_wrdata_en = 1'd0; +reg [7:0] litedramcore_master_p1_wrdata_mask = 8'd0; +reg litedramcore_master_p1_rddata_en = 1'd0; +wire [63:0] litedramcore_master_p1_rddata; +wire litedramcore_master_p1_rddata_valid; +reg [14:0] litedramcore_master_p2_address = 15'd0; +reg [2:0] litedramcore_master_p2_bank = 3'd0; +reg litedramcore_master_p2_cas_n = 1'd1; +reg litedramcore_master_p2_cs_n = 1'd1; +reg litedramcore_master_p2_ras_n = 1'd1; +reg litedramcore_master_p2_we_n = 1'd1; +reg litedramcore_master_p2_cke = 1'd0; +reg litedramcore_master_p2_odt = 1'd0; +reg litedramcore_master_p2_reset_n = 1'd0; +reg litedramcore_master_p2_act_n = 1'd1; +reg [63:0] litedramcore_master_p2_wrdata = 64'd0; +reg litedramcore_master_p2_wrdata_en = 1'd0; +reg [7:0] litedramcore_master_p2_wrdata_mask = 8'd0; +reg litedramcore_master_p2_rddata_en = 1'd0; +wire [63:0] litedramcore_master_p2_rddata; +wire litedramcore_master_p2_rddata_valid; +reg [14:0] litedramcore_master_p3_address = 15'd0; +reg [2:0] litedramcore_master_p3_bank = 3'd0; +reg litedramcore_master_p3_cas_n = 1'd1; +reg litedramcore_master_p3_cs_n = 1'd1; +reg litedramcore_master_p3_ras_n = 1'd1; +reg litedramcore_master_p3_we_n = 1'd1; +reg litedramcore_master_p3_cke = 1'd0; +reg litedramcore_master_p3_odt = 1'd0; +reg litedramcore_master_p3_reset_n = 1'd0; +reg litedramcore_master_p3_act_n = 1'd1; +reg [63:0] litedramcore_master_p3_wrdata = 64'd0; +reg litedramcore_master_p3_wrdata_en = 1'd0; +reg [7:0] litedramcore_master_p3_wrdata_mask = 8'd0; +reg litedramcore_master_p3_rddata_en = 1'd0; +wire [63:0] litedramcore_master_p3_rddata; +wire litedramcore_master_p3_rddata_valid; +wire [14:0] litedramcore_csr_dfi_p0_address; +wire [2:0] litedramcore_csr_dfi_p0_bank; +reg litedramcore_csr_dfi_p0_cas_n = 1'd1; +reg litedramcore_csr_dfi_p0_cs_n = 1'd1; +reg litedramcore_csr_dfi_p0_ras_n = 1'd1; +reg litedramcore_csr_dfi_p0_we_n = 1'd1; +wire litedramcore_csr_dfi_p0_cke; +wire litedramcore_csr_dfi_p0_odt; +wire litedramcore_csr_dfi_p0_reset_n; +reg litedramcore_csr_dfi_p0_act_n = 1'd1; +wire [63:0] litedramcore_csr_dfi_p0_wrdata; +wire litedramcore_csr_dfi_p0_wrdata_en; +wire [7:0] litedramcore_csr_dfi_p0_wrdata_mask; +wire litedramcore_csr_dfi_p0_rddata_en; +reg [63:0] litedramcore_csr_dfi_p0_rddata = 64'd0; +reg litedramcore_csr_dfi_p0_rddata_valid = 1'd0; +wire [14:0] litedramcore_csr_dfi_p1_address; +wire [2:0] litedramcore_csr_dfi_p1_bank; +reg litedramcore_csr_dfi_p1_cas_n = 1'd1; +reg litedramcore_csr_dfi_p1_cs_n = 1'd1; +reg litedramcore_csr_dfi_p1_ras_n = 1'd1; +reg litedramcore_csr_dfi_p1_we_n = 1'd1; +wire litedramcore_csr_dfi_p1_cke; +wire litedramcore_csr_dfi_p1_odt; +wire litedramcore_csr_dfi_p1_reset_n; +reg litedramcore_csr_dfi_p1_act_n = 1'd1; +wire [63:0] litedramcore_csr_dfi_p1_wrdata; +wire litedramcore_csr_dfi_p1_wrdata_en; +wire [7:0] litedramcore_csr_dfi_p1_wrdata_mask; +wire litedramcore_csr_dfi_p1_rddata_en; +reg [63:0] litedramcore_csr_dfi_p1_rddata = 64'd0; +reg litedramcore_csr_dfi_p1_rddata_valid = 1'd0; +wire [14:0] litedramcore_csr_dfi_p2_address; +wire [2:0] litedramcore_csr_dfi_p2_bank; +reg litedramcore_csr_dfi_p2_cas_n = 1'd1; +reg litedramcore_csr_dfi_p2_cs_n = 1'd1; +reg litedramcore_csr_dfi_p2_ras_n = 1'd1; +reg litedramcore_csr_dfi_p2_we_n = 1'd1; +wire litedramcore_csr_dfi_p2_cke; +wire litedramcore_csr_dfi_p2_odt; +wire litedramcore_csr_dfi_p2_reset_n; +reg litedramcore_csr_dfi_p2_act_n = 1'd1; +wire [63:0] litedramcore_csr_dfi_p2_wrdata; +wire litedramcore_csr_dfi_p2_wrdata_en; +wire [7:0] litedramcore_csr_dfi_p2_wrdata_mask; +wire litedramcore_csr_dfi_p2_rddata_en; +reg [63:0] litedramcore_csr_dfi_p2_rddata = 64'd0; +reg litedramcore_csr_dfi_p2_rddata_valid = 1'd0; +wire [14:0] litedramcore_csr_dfi_p3_address; +wire [2:0] litedramcore_csr_dfi_p3_bank; +reg litedramcore_csr_dfi_p3_cas_n = 1'd1; +reg litedramcore_csr_dfi_p3_cs_n = 1'd1; +reg litedramcore_csr_dfi_p3_ras_n = 1'd1; +reg litedramcore_csr_dfi_p3_we_n = 1'd1; +wire litedramcore_csr_dfi_p3_cke; +wire litedramcore_csr_dfi_p3_odt; +wire litedramcore_csr_dfi_p3_reset_n; +reg litedramcore_csr_dfi_p3_act_n = 1'd1; +wire [63:0] litedramcore_csr_dfi_p3_wrdata; +wire litedramcore_csr_dfi_p3_wrdata_en; +wire [7:0] litedramcore_csr_dfi_p3_wrdata_mask; +wire litedramcore_csr_dfi_p3_rddata_en; +reg [63:0] litedramcore_csr_dfi_p3_rddata = 64'd0; +reg litedramcore_csr_dfi_p3_rddata_valid = 1'd0; +reg [14:0] litedramcore_ext_dfi_p0_address = 15'd0; +reg [2:0] litedramcore_ext_dfi_p0_bank = 3'd0; +reg litedramcore_ext_dfi_p0_cas_n = 1'd1; +reg litedramcore_ext_dfi_p0_cs_n = 1'd1; +reg litedramcore_ext_dfi_p0_ras_n = 1'd1; +reg litedramcore_ext_dfi_p0_we_n = 1'd1; +reg litedramcore_ext_dfi_p0_cke = 1'd0; +reg litedramcore_ext_dfi_p0_odt = 1'd0; +reg litedramcore_ext_dfi_p0_reset_n = 1'd0; +reg litedramcore_ext_dfi_p0_act_n = 1'd1; +reg [63:0] litedramcore_ext_dfi_p0_wrdata = 64'd0; +reg litedramcore_ext_dfi_p0_wrdata_en = 1'd0; +reg [7:0] litedramcore_ext_dfi_p0_wrdata_mask = 8'd0; +reg litedramcore_ext_dfi_p0_rddata_en = 1'd0; +reg [63:0] litedramcore_ext_dfi_p0_rddata = 64'd0; +reg litedramcore_ext_dfi_p0_rddata_valid = 1'd0; +reg [14:0] litedramcore_ext_dfi_p1_address = 15'd0; +reg [2:0] litedramcore_ext_dfi_p1_bank = 3'd0; +reg litedramcore_ext_dfi_p1_cas_n = 1'd1; +reg litedramcore_ext_dfi_p1_cs_n = 1'd1; +reg litedramcore_ext_dfi_p1_ras_n = 1'd1; +reg litedramcore_ext_dfi_p1_we_n = 1'd1; +reg litedramcore_ext_dfi_p1_cke = 1'd0; +reg litedramcore_ext_dfi_p1_odt = 1'd0; +reg litedramcore_ext_dfi_p1_reset_n = 1'd0; +reg litedramcore_ext_dfi_p1_act_n = 1'd1; +reg [63:0] litedramcore_ext_dfi_p1_wrdata = 64'd0; +reg litedramcore_ext_dfi_p1_wrdata_en = 1'd0; +reg [7:0] litedramcore_ext_dfi_p1_wrdata_mask = 8'd0; +reg litedramcore_ext_dfi_p1_rddata_en = 1'd0; +reg [63:0] litedramcore_ext_dfi_p1_rddata = 64'd0; +reg litedramcore_ext_dfi_p1_rddata_valid = 1'd0; +reg [14:0] litedramcore_ext_dfi_p2_address = 15'd0; +reg [2:0] litedramcore_ext_dfi_p2_bank = 3'd0; +reg litedramcore_ext_dfi_p2_cas_n = 1'd1; +reg litedramcore_ext_dfi_p2_cs_n = 1'd1; +reg litedramcore_ext_dfi_p2_ras_n = 1'd1; +reg litedramcore_ext_dfi_p2_we_n = 1'd1; +reg litedramcore_ext_dfi_p2_cke = 1'd0; +reg litedramcore_ext_dfi_p2_odt = 1'd0; +reg litedramcore_ext_dfi_p2_reset_n = 1'd0; +reg litedramcore_ext_dfi_p2_act_n = 1'd1; +reg [63:0] litedramcore_ext_dfi_p2_wrdata = 64'd0; +reg litedramcore_ext_dfi_p2_wrdata_en = 1'd0; +reg [7:0] litedramcore_ext_dfi_p2_wrdata_mask = 8'd0; +reg litedramcore_ext_dfi_p2_rddata_en = 1'd0; +reg [63:0] litedramcore_ext_dfi_p2_rddata = 64'd0; +reg litedramcore_ext_dfi_p2_rddata_valid = 1'd0; +reg [14:0] litedramcore_ext_dfi_p3_address = 15'd0; +reg [2:0] litedramcore_ext_dfi_p3_bank = 3'd0; +reg litedramcore_ext_dfi_p3_cas_n = 1'd1; +reg litedramcore_ext_dfi_p3_cs_n = 1'd1; +reg litedramcore_ext_dfi_p3_ras_n = 1'd1; +reg litedramcore_ext_dfi_p3_we_n = 1'd1; +reg litedramcore_ext_dfi_p3_cke = 1'd0; +reg litedramcore_ext_dfi_p3_odt = 1'd0; +reg litedramcore_ext_dfi_p3_reset_n = 1'd0; +reg litedramcore_ext_dfi_p3_act_n = 1'd1; +reg [63:0] litedramcore_ext_dfi_p3_wrdata = 64'd0; +reg litedramcore_ext_dfi_p3_wrdata_en = 1'd0; +reg [7:0] litedramcore_ext_dfi_p3_wrdata_mask = 8'd0; +reg litedramcore_ext_dfi_p3_rddata_en = 1'd0; +reg [63:0] litedramcore_ext_dfi_p3_rddata = 64'd0; +reg litedramcore_ext_dfi_p3_rddata_valid = 1'd0; +reg litedramcore_ext_dfi_sel = 1'd0; +wire litedramcore_sel; +wire litedramcore_cke; +wire litedramcore_odt; +wire litedramcore_reset_n; +reg [3:0] litedramcore_storage = 4'd1; +reg litedramcore_re = 1'd0; +wire litedramcore_phaseinjector0_csrfield_cs; +wire litedramcore_phaseinjector0_csrfield_we; +wire litedramcore_phaseinjector0_csrfield_cas; +wire litedramcore_phaseinjector0_csrfield_ras; +wire litedramcore_phaseinjector0_csrfield_wren; +wire litedramcore_phaseinjector0_csrfield_rden; +reg [5:0] litedramcore_phaseinjector0_command_storage = 6'd0; +reg litedramcore_phaseinjector0_command_re = 1'd0; +reg litedramcore_phaseinjector0_command_issue_re = 1'd0; +wire litedramcore_phaseinjector0_command_issue_r; +reg litedramcore_phaseinjector0_command_issue_we = 1'd0; +reg litedramcore_phaseinjector0_command_issue_w = 1'd0; +reg [14:0] litedramcore_phaseinjector0_address_storage = 15'd0; +reg litedramcore_phaseinjector0_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector0_baddress_storage = 3'd0; +reg litedramcore_phaseinjector0_baddress_re = 1'd0; +reg [63:0] litedramcore_phaseinjector0_wrdata_storage = 64'd0; +reg litedramcore_phaseinjector0_wrdata_re = 1'd0; +reg [63:0] litedramcore_phaseinjector0_rddata_status = 64'd0; +wire litedramcore_phaseinjector0_rddata_we; +reg litedramcore_phaseinjector0_rddata_re = 1'd0; +wire litedramcore_phaseinjector1_csrfield_cs; +wire litedramcore_phaseinjector1_csrfield_we; +wire litedramcore_phaseinjector1_csrfield_cas; +wire litedramcore_phaseinjector1_csrfield_ras; +wire litedramcore_phaseinjector1_csrfield_wren; +wire litedramcore_phaseinjector1_csrfield_rden; +reg [5:0] litedramcore_phaseinjector1_command_storage = 6'd0; +reg litedramcore_phaseinjector1_command_re = 1'd0; +reg litedramcore_phaseinjector1_command_issue_re = 1'd0; +wire litedramcore_phaseinjector1_command_issue_r; +reg litedramcore_phaseinjector1_command_issue_we = 1'd0; +reg litedramcore_phaseinjector1_command_issue_w = 1'd0; +reg [14:0] litedramcore_phaseinjector1_address_storage = 15'd0; +reg litedramcore_phaseinjector1_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector1_baddress_storage = 3'd0; +reg litedramcore_phaseinjector1_baddress_re = 1'd0; +reg [63:0] litedramcore_phaseinjector1_wrdata_storage = 64'd0; +reg litedramcore_phaseinjector1_wrdata_re = 1'd0; +reg [63:0] litedramcore_phaseinjector1_rddata_status = 64'd0; +wire litedramcore_phaseinjector1_rddata_we; +reg litedramcore_phaseinjector1_rddata_re = 1'd0; +wire litedramcore_phaseinjector2_csrfield_cs; +wire litedramcore_phaseinjector2_csrfield_we; +wire litedramcore_phaseinjector2_csrfield_cas; +wire litedramcore_phaseinjector2_csrfield_ras; +wire litedramcore_phaseinjector2_csrfield_wren; +wire litedramcore_phaseinjector2_csrfield_rden; +reg [5:0] litedramcore_phaseinjector2_command_storage = 6'd0; +reg litedramcore_phaseinjector2_command_re = 1'd0; +reg litedramcore_phaseinjector2_command_issue_re = 1'd0; +wire litedramcore_phaseinjector2_command_issue_r; +reg litedramcore_phaseinjector2_command_issue_we = 1'd0; +reg litedramcore_phaseinjector2_command_issue_w = 1'd0; +reg [14:0] litedramcore_phaseinjector2_address_storage = 15'd0; +reg litedramcore_phaseinjector2_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector2_baddress_storage = 3'd0; +reg litedramcore_phaseinjector2_baddress_re = 1'd0; +reg [63:0] litedramcore_phaseinjector2_wrdata_storage = 64'd0; +reg litedramcore_phaseinjector2_wrdata_re = 1'd0; +reg [63:0] litedramcore_phaseinjector2_rddata_status = 64'd0; +wire litedramcore_phaseinjector2_rddata_we; +reg litedramcore_phaseinjector2_rddata_re = 1'd0; +wire litedramcore_phaseinjector3_csrfield_cs; +wire litedramcore_phaseinjector3_csrfield_we; +wire litedramcore_phaseinjector3_csrfield_cas; +wire litedramcore_phaseinjector3_csrfield_ras; +wire litedramcore_phaseinjector3_csrfield_wren; +wire litedramcore_phaseinjector3_csrfield_rden; +reg [5:0] litedramcore_phaseinjector3_command_storage = 6'd0; +reg litedramcore_phaseinjector3_command_re = 1'd0; +reg litedramcore_phaseinjector3_command_issue_re = 1'd0; +wire litedramcore_phaseinjector3_command_issue_r; +reg litedramcore_phaseinjector3_command_issue_we = 1'd0; +reg litedramcore_phaseinjector3_command_issue_w = 1'd0; +reg [14:0] litedramcore_phaseinjector3_address_storage = 15'd0; +reg litedramcore_phaseinjector3_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector3_baddress_storage = 3'd0; +reg litedramcore_phaseinjector3_baddress_re = 1'd0; +reg [63:0] litedramcore_phaseinjector3_wrdata_storage = 64'd0; +reg litedramcore_phaseinjector3_wrdata_re = 1'd0; +reg [63:0] litedramcore_phaseinjector3_rddata_status = 64'd0; +wire litedramcore_phaseinjector3_rddata_we; +reg litedramcore_phaseinjector3_rddata_re = 1'd0; +wire litedramcore_interface_bank0_valid; +wire litedramcore_interface_bank0_ready; +wire litedramcore_interface_bank0_we; +wire [21:0] litedramcore_interface_bank0_addr; +wire litedramcore_interface_bank0_lock; +wire litedramcore_interface_bank0_wdata_ready; +wire litedramcore_interface_bank0_rdata_valid; +wire litedramcore_interface_bank1_valid; +wire litedramcore_interface_bank1_ready; +wire litedramcore_interface_bank1_we; +wire [21:0] litedramcore_interface_bank1_addr; +wire litedramcore_interface_bank1_lock; +wire litedramcore_interface_bank1_wdata_ready; +wire litedramcore_interface_bank1_rdata_valid; +wire litedramcore_interface_bank2_valid; +wire litedramcore_interface_bank2_ready; +wire litedramcore_interface_bank2_we; +wire [21:0] litedramcore_interface_bank2_addr; +wire litedramcore_interface_bank2_lock; +wire litedramcore_interface_bank2_wdata_ready; +wire litedramcore_interface_bank2_rdata_valid; +wire litedramcore_interface_bank3_valid; +wire litedramcore_interface_bank3_ready; +wire litedramcore_interface_bank3_we; +wire [21:0] litedramcore_interface_bank3_addr; +wire litedramcore_interface_bank3_lock; +wire litedramcore_interface_bank3_wdata_ready; +wire litedramcore_interface_bank3_rdata_valid; +wire litedramcore_interface_bank4_valid; +wire litedramcore_interface_bank4_ready; +wire litedramcore_interface_bank4_we; +wire [21:0] litedramcore_interface_bank4_addr; +wire litedramcore_interface_bank4_lock; +wire litedramcore_interface_bank4_wdata_ready; +wire litedramcore_interface_bank4_rdata_valid; +wire litedramcore_interface_bank5_valid; +wire litedramcore_interface_bank5_ready; +wire litedramcore_interface_bank5_we; +wire [21:0] litedramcore_interface_bank5_addr; +wire litedramcore_interface_bank5_lock; +wire litedramcore_interface_bank5_wdata_ready; +wire litedramcore_interface_bank5_rdata_valid; +wire litedramcore_interface_bank6_valid; +wire litedramcore_interface_bank6_ready; +wire litedramcore_interface_bank6_we; +wire [21:0] litedramcore_interface_bank6_addr; +wire litedramcore_interface_bank6_lock; +wire litedramcore_interface_bank6_wdata_ready; +wire litedramcore_interface_bank6_rdata_valid; +wire litedramcore_interface_bank7_valid; +wire litedramcore_interface_bank7_ready; +wire litedramcore_interface_bank7_we; +wire [21:0] litedramcore_interface_bank7_addr; +wire litedramcore_interface_bank7_lock; +wire litedramcore_interface_bank7_wdata_ready; +wire litedramcore_interface_bank7_rdata_valid; +reg [255:0] litedramcore_interface_wdata = 256'd0; +reg [31:0] litedramcore_interface_wdata_we = 32'd0; +wire [255:0] litedramcore_interface_rdata; +reg [14:0] litedramcore_dfi_p0_address = 15'd0; +reg [2:0] litedramcore_dfi_p0_bank = 3'd0; +reg litedramcore_dfi_p0_cas_n = 1'd1; +reg litedramcore_dfi_p0_cs_n = 1'd1; +reg litedramcore_dfi_p0_ras_n = 1'd1; +reg litedramcore_dfi_p0_we_n = 1'd1; +wire litedramcore_dfi_p0_cke; +wire litedramcore_dfi_p0_odt; +wire litedramcore_dfi_p0_reset_n; +reg litedramcore_dfi_p0_act_n = 1'd1; +wire [63:0] litedramcore_dfi_p0_wrdata; +reg litedramcore_dfi_p0_wrdata_en = 1'd0; +wire [7:0] litedramcore_dfi_p0_wrdata_mask; +reg litedramcore_dfi_p0_rddata_en = 1'd0; +wire [63:0] litedramcore_dfi_p0_rddata; +wire litedramcore_dfi_p0_rddata_valid; +reg [14:0] litedramcore_dfi_p1_address = 15'd0; +reg [2:0] litedramcore_dfi_p1_bank = 3'd0; +reg litedramcore_dfi_p1_cas_n = 1'd1; +reg litedramcore_dfi_p1_cs_n = 1'd1; +reg litedramcore_dfi_p1_ras_n = 1'd1; +reg litedramcore_dfi_p1_we_n = 1'd1; +wire litedramcore_dfi_p1_cke; +wire litedramcore_dfi_p1_odt; +wire litedramcore_dfi_p1_reset_n; +reg litedramcore_dfi_p1_act_n = 1'd1; +wire [63:0] litedramcore_dfi_p1_wrdata; +reg litedramcore_dfi_p1_wrdata_en = 1'd0; +wire [7:0] litedramcore_dfi_p1_wrdata_mask; +reg litedramcore_dfi_p1_rddata_en = 1'd0; +wire [63:0] litedramcore_dfi_p1_rddata; +wire litedramcore_dfi_p1_rddata_valid; +reg [14:0] litedramcore_dfi_p2_address = 15'd0; +reg [2:0] litedramcore_dfi_p2_bank = 3'd0; +reg litedramcore_dfi_p2_cas_n = 1'd1; +reg litedramcore_dfi_p2_cs_n = 1'd1; +reg litedramcore_dfi_p2_ras_n = 1'd1; +reg litedramcore_dfi_p2_we_n = 1'd1; +wire litedramcore_dfi_p2_cke; +wire litedramcore_dfi_p2_odt; +wire litedramcore_dfi_p2_reset_n; +reg litedramcore_dfi_p2_act_n = 1'd1; +wire [63:0] litedramcore_dfi_p2_wrdata; +reg litedramcore_dfi_p2_wrdata_en = 1'd0; +wire [7:0] litedramcore_dfi_p2_wrdata_mask; +reg litedramcore_dfi_p2_rddata_en = 1'd0; +wire [63:0] litedramcore_dfi_p2_rddata; +wire litedramcore_dfi_p2_rddata_valid; +reg [14:0] litedramcore_dfi_p3_address = 15'd0; +reg [2:0] litedramcore_dfi_p3_bank = 3'd0; +reg litedramcore_dfi_p3_cas_n = 1'd1; +reg litedramcore_dfi_p3_cs_n = 1'd1; +reg litedramcore_dfi_p3_ras_n = 1'd1; +reg litedramcore_dfi_p3_we_n = 1'd1; +wire litedramcore_dfi_p3_cke; +wire litedramcore_dfi_p3_odt; +wire litedramcore_dfi_p3_reset_n; +reg litedramcore_dfi_p3_act_n = 1'd1; +wire [63:0] litedramcore_dfi_p3_wrdata; +reg litedramcore_dfi_p3_wrdata_en = 1'd0; +wire [7:0] litedramcore_dfi_p3_wrdata_mask; +reg litedramcore_dfi_p3_rddata_en = 1'd0; +wire [63:0] litedramcore_dfi_p3_rddata; +wire litedramcore_dfi_p3_rddata_valid; +reg litedramcore_cmd_valid = 1'd0; +reg litedramcore_cmd_ready = 1'd0; +reg litedramcore_cmd_last = 1'd0; +reg [14:0] litedramcore_cmd_payload_a = 15'd0; +reg [2:0] litedramcore_cmd_payload_ba = 3'd0; +reg litedramcore_cmd_payload_cas = 1'd0; +reg litedramcore_cmd_payload_ras = 1'd0; +reg litedramcore_cmd_payload_we = 1'd0; +reg litedramcore_cmd_payload_is_read = 1'd0; +reg litedramcore_cmd_payload_is_write = 1'd0; +wire litedramcore_wants_refresh; +wire litedramcore_wants_zqcs; +wire litedramcore_timer_wait; +wire litedramcore_timer_done0; +wire [9:0] litedramcore_timer_count0; +wire litedramcore_timer_done1; +reg [9:0] litedramcore_timer_count1 = 10'd781; +wire litedramcore_postponer_req_i; +reg litedramcore_postponer_req_o = 1'd0; +reg litedramcore_postponer_count = 1'd0; +reg litedramcore_sequencer_start0 = 1'd0; +wire litedramcore_sequencer_done0; +wire litedramcore_sequencer_start1; +reg litedramcore_sequencer_done1 = 1'd0; +reg [5:0] litedramcore_sequencer_counter = 6'd0; +reg litedramcore_sequencer_count = 1'd0; +wire litedramcore_zqcs_timer_wait; +wire litedramcore_zqcs_timer_done0; +wire [26:0] litedramcore_zqcs_timer_count0; +wire litedramcore_zqcs_timer_done1; +reg [26:0] litedramcore_zqcs_timer_count1 = 27'd99999999; +reg litedramcore_zqcs_executer_start = 1'd0; +reg litedramcore_zqcs_executer_done = 1'd0; +reg [4:0] litedramcore_zqcs_executer_counter = 5'd0; +wire litedramcore_bankmachine0_req_valid; +wire litedramcore_bankmachine0_req_ready; +wire litedramcore_bankmachine0_req_we; +wire [21:0] litedramcore_bankmachine0_req_addr; +wire litedramcore_bankmachine0_req_lock; +reg litedramcore_bankmachine0_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine0_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine0_refresh_req; +reg litedramcore_bankmachine0_refresh_gnt = 1'd0; +reg litedramcore_bankmachine0_cmd_valid = 1'd0; +reg litedramcore_bankmachine0_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine0_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine0_cmd_payload_ba; +reg litedramcore_bankmachine0_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine0_auto_precharge = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; +wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; +wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +reg [4:0] litedramcore_bankmachine0_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine0_cmd_buffer_sink_valid; +wire litedramcore_bankmachine0_cmd_buffer_sink_ready; +wire litedramcore_bankmachine0_cmd_buffer_sink_first; +wire litedramcore_bankmachine0_cmd_buffer_sink_last; +wire litedramcore_bankmachine0_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine0_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_source_ready; +reg litedramcore_bankmachine0_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine0_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine0_row = 15'd0; +reg litedramcore_bankmachine0_row_opened = 1'd0; +wire litedramcore_bankmachine0_row_hit; +reg litedramcore_bankmachine0_row_open = 1'd0; +reg litedramcore_bankmachine0_row_close = 1'd0; +reg litedramcore_bankmachine0_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine0_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_twtpcon_count = 3'd0; +wire litedramcore_bankmachine0_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_trccon_count = 3'd0; +wire litedramcore_bankmachine0_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_trascon_count = 3'd0; +wire litedramcore_bankmachine1_req_valid; +wire litedramcore_bankmachine1_req_ready; +wire litedramcore_bankmachine1_req_we; +wire [21:0] litedramcore_bankmachine1_req_addr; +wire litedramcore_bankmachine1_req_lock; +reg litedramcore_bankmachine1_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine1_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine1_refresh_req; +reg litedramcore_bankmachine1_refresh_gnt = 1'd0; +reg litedramcore_bankmachine1_cmd_valid = 1'd0; +reg litedramcore_bankmachine1_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine1_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine1_cmd_payload_ba; +reg litedramcore_bankmachine1_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine1_auto_precharge = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; +wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; +wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +reg [4:0] litedramcore_bankmachine1_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine1_cmd_buffer_sink_valid; +wire litedramcore_bankmachine1_cmd_buffer_sink_ready; +wire litedramcore_bankmachine1_cmd_buffer_sink_first; +wire litedramcore_bankmachine1_cmd_buffer_sink_last; +wire litedramcore_bankmachine1_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine1_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_source_ready; +reg litedramcore_bankmachine1_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine1_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine1_row = 15'd0; +reg litedramcore_bankmachine1_row_opened = 1'd0; +wire litedramcore_bankmachine1_row_hit; +reg litedramcore_bankmachine1_row_open = 1'd0; +reg litedramcore_bankmachine1_row_close = 1'd0; +reg litedramcore_bankmachine1_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine1_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_twtpcon_count = 3'd0; +wire litedramcore_bankmachine1_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_trccon_count = 3'd0; +wire litedramcore_bankmachine1_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_trascon_count = 3'd0; +wire litedramcore_bankmachine2_req_valid; +wire litedramcore_bankmachine2_req_ready; +wire litedramcore_bankmachine2_req_we; +wire [21:0] litedramcore_bankmachine2_req_addr; +wire litedramcore_bankmachine2_req_lock; +reg litedramcore_bankmachine2_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine2_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine2_refresh_req; +reg litedramcore_bankmachine2_refresh_gnt = 1'd0; +reg litedramcore_bankmachine2_cmd_valid = 1'd0; +reg litedramcore_bankmachine2_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine2_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine2_cmd_payload_ba; +reg litedramcore_bankmachine2_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine2_auto_precharge = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; +wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; +wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +reg [4:0] litedramcore_bankmachine2_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine2_cmd_buffer_sink_valid; +wire litedramcore_bankmachine2_cmd_buffer_sink_ready; +wire litedramcore_bankmachine2_cmd_buffer_sink_first; +wire litedramcore_bankmachine2_cmd_buffer_sink_last; +wire litedramcore_bankmachine2_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine2_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_source_ready; +reg litedramcore_bankmachine2_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine2_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine2_row = 15'd0; +reg litedramcore_bankmachine2_row_opened = 1'd0; +wire litedramcore_bankmachine2_row_hit; +reg litedramcore_bankmachine2_row_open = 1'd0; +reg litedramcore_bankmachine2_row_close = 1'd0; +reg litedramcore_bankmachine2_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine2_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_twtpcon_count = 3'd0; +wire litedramcore_bankmachine2_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_trccon_count = 3'd0; +wire litedramcore_bankmachine2_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_trascon_count = 3'd0; +wire litedramcore_bankmachine3_req_valid; +wire litedramcore_bankmachine3_req_ready; +wire litedramcore_bankmachine3_req_we; +wire [21:0] litedramcore_bankmachine3_req_addr; +wire litedramcore_bankmachine3_req_lock; +reg litedramcore_bankmachine3_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine3_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine3_refresh_req; +reg litedramcore_bankmachine3_refresh_gnt = 1'd0; +reg litedramcore_bankmachine3_cmd_valid = 1'd0; +reg litedramcore_bankmachine3_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine3_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine3_cmd_payload_ba; +reg litedramcore_bankmachine3_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine3_auto_precharge = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; +wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; +wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +reg [4:0] litedramcore_bankmachine3_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine3_cmd_buffer_sink_valid; +wire litedramcore_bankmachine3_cmd_buffer_sink_ready; +wire litedramcore_bankmachine3_cmd_buffer_sink_first; +wire litedramcore_bankmachine3_cmd_buffer_sink_last; +wire litedramcore_bankmachine3_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine3_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_source_ready; +reg litedramcore_bankmachine3_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine3_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine3_row = 15'd0; +reg litedramcore_bankmachine3_row_opened = 1'd0; +wire litedramcore_bankmachine3_row_hit; +reg litedramcore_bankmachine3_row_open = 1'd0; +reg litedramcore_bankmachine3_row_close = 1'd0; +reg litedramcore_bankmachine3_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine3_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_twtpcon_count = 3'd0; +wire litedramcore_bankmachine3_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_trccon_count = 3'd0; +wire litedramcore_bankmachine3_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_trascon_count = 3'd0; +wire litedramcore_bankmachine4_req_valid; +wire litedramcore_bankmachine4_req_ready; +wire litedramcore_bankmachine4_req_we; +wire [21:0] litedramcore_bankmachine4_req_addr; +wire litedramcore_bankmachine4_req_lock; +reg litedramcore_bankmachine4_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine4_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine4_refresh_req; +reg litedramcore_bankmachine4_refresh_gnt = 1'd0; +reg litedramcore_bankmachine4_cmd_valid = 1'd0; +reg litedramcore_bankmachine4_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine4_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine4_cmd_payload_ba; +reg litedramcore_bankmachine4_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine4_auto_precharge = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; +wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; +wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +reg [4:0] litedramcore_bankmachine4_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine4_cmd_buffer_sink_valid; +wire litedramcore_bankmachine4_cmd_buffer_sink_ready; +wire litedramcore_bankmachine4_cmd_buffer_sink_first; +wire litedramcore_bankmachine4_cmd_buffer_sink_last; +wire litedramcore_bankmachine4_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine4_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_source_ready; +reg litedramcore_bankmachine4_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine4_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine4_row = 15'd0; +reg litedramcore_bankmachine4_row_opened = 1'd0; +wire litedramcore_bankmachine4_row_hit; +reg litedramcore_bankmachine4_row_open = 1'd0; +reg litedramcore_bankmachine4_row_close = 1'd0; +reg litedramcore_bankmachine4_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine4_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_twtpcon_count = 3'd0; +wire litedramcore_bankmachine4_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_trccon_count = 3'd0; +wire litedramcore_bankmachine4_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_trascon_count = 3'd0; +wire litedramcore_bankmachine5_req_valid; +wire litedramcore_bankmachine5_req_ready; +wire litedramcore_bankmachine5_req_we; +wire [21:0] litedramcore_bankmachine5_req_addr; +wire litedramcore_bankmachine5_req_lock; +reg litedramcore_bankmachine5_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine5_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine5_refresh_req; +reg litedramcore_bankmachine5_refresh_gnt = 1'd0; +reg litedramcore_bankmachine5_cmd_valid = 1'd0; +reg litedramcore_bankmachine5_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine5_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine5_cmd_payload_ba; +reg litedramcore_bankmachine5_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine5_auto_precharge = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; +wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; +wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +reg [4:0] litedramcore_bankmachine5_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine5_cmd_buffer_sink_valid; +wire litedramcore_bankmachine5_cmd_buffer_sink_ready; +wire litedramcore_bankmachine5_cmd_buffer_sink_first; +wire litedramcore_bankmachine5_cmd_buffer_sink_last; +wire litedramcore_bankmachine5_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine5_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_source_ready; +reg litedramcore_bankmachine5_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine5_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine5_row = 15'd0; +reg litedramcore_bankmachine5_row_opened = 1'd0; +wire litedramcore_bankmachine5_row_hit; +reg litedramcore_bankmachine5_row_open = 1'd0; +reg litedramcore_bankmachine5_row_close = 1'd0; +reg litedramcore_bankmachine5_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine5_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_twtpcon_count = 3'd0; +wire litedramcore_bankmachine5_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_trccon_count = 3'd0; +wire litedramcore_bankmachine5_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_trascon_count = 3'd0; +wire litedramcore_bankmachine6_req_valid; +wire litedramcore_bankmachine6_req_ready; +wire litedramcore_bankmachine6_req_we; +wire [21:0] litedramcore_bankmachine6_req_addr; +wire litedramcore_bankmachine6_req_lock; +reg litedramcore_bankmachine6_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine6_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine6_refresh_req; +reg litedramcore_bankmachine6_refresh_gnt = 1'd0; +reg litedramcore_bankmachine6_cmd_valid = 1'd0; +reg litedramcore_bankmachine6_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine6_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine6_cmd_payload_ba; +reg litedramcore_bankmachine6_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine6_auto_precharge = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; +wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; +wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +reg [4:0] litedramcore_bankmachine6_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine6_cmd_buffer_sink_valid; +wire litedramcore_bankmachine6_cmd_buffer_sink_ready; +wire litedramcore_bankmachine6_cmd_buffer_sink_first; +wire litedramcore_bankmachine6_cmd_buffer_sink_last; +wire litedramcore_bankmachine6_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine6_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_source_ready; +reg litedramcore_bankmachine6_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine6_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine6_row = 15'd0; +reg litedramcore_bankmachine6_row_opened = 1'd0; +wire litedramcore_bankmachine6_row_hit; +reg litedramcore_bankmachine6_row_open = 1'd0; +reg litedramcore_bankmachine6_row_close = 1'd0; +reg litedramcore_bankmachine6_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine6_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_twtpcon_count = 3'd0; +wire litedramcore_bankmachine6_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_trccon_count = 3'd0; +wire litedramcore_bankmachine6_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_trascon_count = 3'd0; +wire litedramcore_bankmachine7_req_valid; +wire litedramcore_bankmachine7_req_ready; +wire litedramcore_bankmachine7_req_we; +wire [21:0] litedramcore_bankmachine7_req_addr; +wire litedramcore_bankmachine7_req_lock; +reg litedramcore_bankmachine7_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine7_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine7_refresh_req; +reg litedramcore_bankmachine7_refresh_gnt = 1'd0; +reg litedramcore_bankmachine7_cmd_valid = 1'd0; +reg litedramcore_bankmachine7_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine7_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine7_cmd_payload_ba; +reg litedramcore_bankmachine7_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine7_auto_precharge = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; +wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; +wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +reg [4:0] litedramcore_bankmachine7_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine7_cmd_buffer_sink_valid; +wire litedramcore_bankmachine7_cmd_buffer_sink_ready; +wire litedramcore_bankmachine7_cmd_buffer_sink_first; +wire litedramcore_bankmachine7_cmd_buffer_sink_last; +wire litedramcore_bankmachine7_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine7_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_source_ready; +reg litedramcore_bankmachine7_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine7_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine7_row = 15'd0; +reg litedramcore_bankmachine7_row_opened = 1'd0; +wire litedramcore_bankmachine7_row_hit; +reg litedramcore_bankmachine7_row_open = 1'd0; +reg litedramcore_bankmachine7_row_close = 1'd0; +reg litedramcore_bankmachine7_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine7_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_twtpcon_count = 3'd0; +wire litedramcore_bankmachine7_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_trccon_count = 3'd0; +wire litedramcore_bankmachine7_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_trascon_count = 3'd0; +wire litedramcore_ras_allowed; +wire litedramcore_cas_allowed; +wire [1:0] litedramcore_rdcmdphase; +wire [1:0] litedramcore_wrcmdphase; +reg litedramcore_choose_cmd_want_reads = 1'd0; +reg litedramcore_choose_cmd_want_writes = 1'd0; +reg litedramcore_choose_cmd_want_cmds = 1'd0; +reg litedramcore_choose_cmd_want_activates = 1'd0; +wire litedramcore_choose_cmd_cmd_valid; +reg litedramcore_choose_cmd_cmd_ready = 1'd0; +wire [14:0] litedramcore_choose_cmd_cmd_payload_a; +wire [2:0] litedramcore_choose_cmd_cmd_payload_ba; +reg litedramcore_choose_cmd_cmd_payload_cas = 1'd0; +reg litedramcore_choose_cmd_cmd_payload_ras = 1'd0; +reg litedramcore_choose_cmd_cmd_payload_we = 1'd0; +wire litedramcore_choose_cmd_cmd_payload_is_cmd; +wire litedramcore_choose_cmd_cmd_payload_is_read; +wire litedramcore_choose_cmd_cmd_payload_is_write; +reg [7:0] litedramcore_choose_cmd_valids = 8'd0; +wire [7:0] litedramcore_choose_cmd_request; +reg [2:0] litedramcore_choose_cmd_grant = 3'd0; +wire litedramcore_choose_cmd_ce; +reg litedramcore_choose_req_want_reads = 1'd0; +reg litedramcore_choose_req_want_writes = 1'd0; +reg litedramcore_choose_req_want_cmds = 1'd0; +reg litedramcore_choose_req_want_activates = 1'd0; +wire litedramcore_choose_req_cmd_valid; +reg litedramcore_choose_req_cmd_ready = 1'd0; +wire [14:0] litedramcore_choose_req_cmd_payload_a; +wire [2:0] litedramcore_choose_req_cmd_payload_ba; +reg litedramcore_choose_req_cmd_payload_cas = 1'd0; +reg litedramcore_choose_req_cmd_payload_ras = 1'd0; +reg litedramcore_choose_req_cmd_payload_we = 1'd0; +wire litedramcore_choose_req_cmd_payload_is_cmd; +wire litedramcore_choose_req_cmd_payload_is_read; +wire litedramcore_choose_req_cmd_payload_is_write; +reg [7:0] litedramcore_choose_req_valids = 8'd0; +wire [7:0] litedramcore_choose_req_request; +reg [2:0] litedramcore_choose_req_grant = 3'd0; +wire litedramcore_choose_req_ce; +reg [14:0] litedramcore_nop_a = 15'd0; +reg [2:0] litedramcore_nop_ba = 3'd0; +reg [1:0] litedramcore_steerer_sel0 = 2'd0; +reg [1:0] litedramcore_steerer_sel1 = 2'd0; +reg [1:0] litedramcore_steerer_sel2 = 2'd0; +reg [1:0] litedramcore_steerer_sel3 = 2'd0; +reg litedramcore_steerer0 = 1'd1; +reg litedramcore_steerer1 = 1'd1; +reg litedramcore_steerer2 = 1'd1; +reg litedramcore_steerer3 = 1'd1; +reg litedramcore_steerer4 = 1'd1; +reg litedramcore_steerer5 = 1'd1; +reg litedramcore_steerer6 = 1'd1; +reg litedramcore_steerer7 = 1'd1; +wire litedramcore_trrdcon_valid; +(* dont_touch = "true" *) reg litedramcore_trrdcon_ready = 1'd0; +reg litedramcore_trrdcon_count = 1'd0; +wire litedramcore_tfawcon_valid; +(* dont_touch = "true" *) reg litedramcore_tfawcon_ready = 1'd1; +wire [2:0] litedramcore_tfawcon_count; +reg [4:0] litedramcore_tfawcon_window = 5'd0; +wire litedramcore_tccdcon_valid; +(* dont_touch = "true" *) reg litedramcore_tccdcon_ready = 1'd0; +reg litedramcore_tccdcon_count = 1'd0; +wire litedramcore_twtrcon_valid; +(* dont_touch = "true" *) reg litedramcore_twtrcon_ready = 1'd0; +reg [2:0] litedramcore_twtrcon_count = 3'd0; +wire litedramcore_read_available; +wire litedramcore_write_available; +reg litedramcore_en0 = 1'd0; +wire litedramcore_max_time0; +reg [4:0] litedramcore_time0 = 5'd0; +reg litedramcore_en1 = 1'd0; +wire litedramcore_max_time1; +reg [3:0] litedramcore_time1 = 4'd0; +wire litedramcore_go_to_refresh; +reg init_done_storage = 1'd0; +reg init_done_re = 1'd0; +reg init_error_storage = 1'd0; +reg init_error_re = 1'd0; +wire [29:0] wb_bus_adr; +wire [31:0] wb_bus_dat_w; +wire [31:0] wb_bus_dat_r; +wire [3:0] wb_bus_sel; +wire wb_bus_cyc; +wire wb_bus_stb; +wire wb_bus_ack; +wire wb_bus_we; +wire [2:0] wb_bus_cti; +wire [1:0] wb_bus_bte; +wire wb_bus_err; +wire user_enable; +wire user_port_cmd_valid; +wire user_port_cmd_ready; +wire user_port_cmd_payload_we; +wire [24:0] user_port_cmd_payload_addr; +wire user_port_wdata_valid; +wire user_port_wdata_ready; +wire [255:0] user_port_wdata_payload_data; +wire [31:0] user_port_wdata_payload_we; +wire user_port_rdata_valid; +wire user_port_rdata_ready; +wire [255:0] user_port_rdata_payload_data; +reg [13:0] litedramcore_adr = 14'd0; +reg litedramcore_we = 1'd0; +reg [31:0] litedramcore_dat_w = 32'd0; +wire [31:0] litedramcore_dat_r; +wire [29:0] litedramcore_wishbone_adr; +wire [31:0] litedramcore_wishbone_dat_w; +reg [31:0] litedramcore_wishbone_dat_r = 32'd0; +wire [3:0] litedramcore_wishbone_sel; +wire litedramcore_wishbone_cyc; +wire litedramcore_wishbone_stb; +reg litedramcore_wishbone_ack = 1'd0; +wire litedramcore_wishbone_we; +wire [2:0] litedramcore_wishbone_cti; +wire [1:0] litedramcore_wishbone_bte; +reg litedramcore_wishbone_err = 1'd0; +wire [13:0] interface0_bank_bus_adr; +wire interface0_bank_bus_we; +wire [31:0] interface0_bank_bus_dat_w; +reg [31:0] interface0_bank_bus_dat_r = 32'd0; +reg csrbank0_init_done0_re = 1'd0; +wire csrbank0_init_done0_r; +reg csrbank0_init_done0_we = 1'd0; +wire csrbank0_init_done0_w; +reg csrbank0_init_error0_re = 1'd0; +wire csrbank0_init_error0_r; +reg csrbank0_init_error0_we = 1'd0; +wire csrbank0_init_error0_w; +wire csrbank0_sel; +wire [13:0] interface1_bank_bus_adr; +wire interface1_bank_bus_we; +wire [31:0] interface1_bank_bus_dat_w; +reg [31:0] interface1_bank_bus_dat_r = 32'd0; +reg csrbank1_rst0_re = 1'd0; +wire csrbank1_rst0_r; +reg csrbank1_rst0_we = 1'd0; +wire csrbank1_rst0_w; +reg csrbank1_dly_sel0_re = 1'd0; +wire [3:0] csrbank1_dly_sel0_r; +reg csrbank1_dly_sel0_we = 1'd0; +wire [3:0] csrbank1_dly_sel0_w; +reg csrbank1_half_sys8x_taps0_re = 1'd0; +wire [4:0] csrbank1_half_sys8x_taps0_r; +reg csrbank1_half_sys8x_taps0_we = 1'd0; +wire [4:0] csrbank1_half_sys8x_taps0_w; +reg csrbank1_wlevel_en0_re = 1'd0; +wire csrbank1_wlevel_en0_r; +reg csrbank1_wlevel_en0_we = 1'd0; +wire csrbank1_wlevel_en0_w; +reg csrbank1_rdphase0_re = 1'd0; +wire [1:0] csrbank1_rdphase0_r; +reg csrbank1_rdphase0_we = 1'd0; +wire [1:0] csrbank1_rdphase0_w; +reg csrbank1_wrphase0_re = 1'd0; +wire [1:0] csrbank1_wrphase0_r; +reg csrbank1_wrphase0_we = 1'd0; +wire [1:0] csrbank1_wrphase0_w; +wire csrbank1_sel; +wire [13:0] interface2_bank_bus_adr; +wire interface2_bank_bus_we; +wire [31:0] interface2_bank_bus_dat_w; +reg [31:0] interface2_bank_bus_dat_r = 32'd0; +reg csrbank2_dfii_control0_re = 1'd0; +wire [3:0] csrbank2_dfii_control0_r; +reg csrbank2_dfii_control0_we = 1'd0; +wire [3:0] csrbank2_dfii_control0_w; +reg csrbank2_dfii_pi0_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi0_command0_r; +reg csrbank2_dfii_pi0_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi0_command0_w; +reg csrbank2_dfii_pi0_address0_re = 1'd0; +wire [14:0] csrbank2_dfii_pi0_address0_r; +reg csrbank2_dfii_pi0_address0_we = 1'd0; +wire [14:0] csrbank2_dfii_pi0_address0_w; +reg csrbank2_dfii_pi0_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi0_baddress0_r; +reg csrbank2_dfii_pi0_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi0_baddress0_w; +reg csrbank2_dfii_pi0_wrdata1_re = 1'd0; +wire [31:0] csrbank2_dfii_pi0_wrdata1_r; +reg csrbank2_dfii_pi0_wrdata1_we = 1'd0; +wire [31:0] csrbank2_dfii_pi0_wrdata1_w; +reg csrbank2_dfii_pi0_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi0_wrdata0_r; +reg csrbank2_dfii_pi0_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi0_wrdata0_w; +reg csrbank2_dfii_pi0_rddata1_re = 1'd0; +wire [31:0] csrbank2_dfii_pi0_rddata1_r; +reg csrbank2_dfii_pi0_rddata1_we = 1'd0; +wire [31:0] csrbank2_dfii_pi0_rddata1_w; +reg csrbank2_dfii_pi0_rddata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi0_rddata0_r; +reg csrbank2_dfii_pi0_rddata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi0_rddata0_w; +reg csrbank2_dfii_pi1_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi1_command0_r; +reg csrbank2_dfii_pi1_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi1_command0_w; +reg csrbank2_dfii_pi1_address0_re = 1'd0; +wire [14:0] csrbank2_dfii_pi1_address0_r; +reg csrbank2_dfii_pi1_address0_we = 1'd0; +wire [14:0] csrbank2_dfii_pi1_address0_w; +reg csrbank2_dfii_pi1_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi1_baddress0_r; +reg csrbank2_dfii_pi1_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi1_baddress0_w; +reg csrbank2_dfii_pi1_wrdata1_re = 1'd0; +wire [31:0] csrbank2_dfii_pi1_wrdata1_r; +reg csrbank2_dfii_pi1_wrdata1_we = 1'd0; +wire [31:0] csrbank2_dfii_pi1_wrdata1_w; +reg csrbank2_dfii_pi1_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi1_wrdata0_r; +reg csrbank2_dfii_pi1_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi1_wrdata0_w; +reg csrbank2_dfii_pi1_rddata1_re = 1'd0; +wire [31:0] csrbank2_dfii_pi1_rddata1_r; +reg csrbank2_dfii_pi1_rddata1_we = 1'd0; +wire [31:0] csrbank2_dfii_pi1_rddata1_w; +reg csrbank2_dfii_pi1_rddata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi1_rddata0_r; +reg csrbank2_dfii_pi1_rddata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi1_rddata0_w; +reg csrbank2_dfii_pi2_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi2_command0_r; +reg csrbank2_dfii_pi2_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi2_command0_w; +reg csrbank2_dfii_pi2_address0_re = 1'd0; +wire [14:0] csrbank2_dfii_pi2_address0_r; +reg csrbank2_dfii_pi2_address0_we = 1'd0; +wire [14:0] csrbank2_dfii_pi2_address0_w; +reg csrbank2_dfii_pi2_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi2_baddress0_r; +reg csrbank2_dfii_pi2_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi2_baddress0_w; +reg csrbank2_dfii_pi2_wrdata1_re = 1'd0; +wire [31:0] csrbank2_dfii_pi2_wrdata1_r; +reg csrbank2_dfii_pi2_wrdata1_we = 1'd0; +wire [31:0] csrbank2_dfii_pi2_wrdata1_w; +reg csrbank2_dfii_pi2_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi2_wrdata0_r; +reg csrbank2_dfii_pi2_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi2_wrdata0_w; +reg csrbank2_dfii_pi2_rddata1_re = 1'd0; +wire [31:0] csrbank2_dfii_pi2_rddata1_r; +reg csrbank2_dfii_pi2_rddata1_we = 1'd0; +wire [31:0] csrbank2_dfii_pi2_rddata1_w; +reg csrbank2_dfii_pi2_rddata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi2_rddata0_r; +reg csrbank2_dfii_pi2_rddata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi2_rddata0_w; +reg csrbank2_dfii_pi3_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi3_command0_r; +reg csrbank2_dfii_pi3_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi3_command0_w; +reg csrbank2_dfii_pi3_address0_re = 1'd0; +wire [14:0] csrbank2_dfii_pi3_address0_r; +reg csrbank2_dfii_pi3_address0_we = 1'd0; +wire [14:0] csrbank2_dfii_pi3_address0_w; +reg csrbank2_dfii_pi3_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi3_baddress0_r; +reg csrbank2_dfii_pi3_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi3_baddress0_w; +reg csrbank2_dfii_pi3_wrdata1_re = 1'd0; +wire [31:0] csrbank2_dfii_pi3_wrdata1_r; +reg csrbank2_dfii_pi3_wrdata1_we = 1'd0; +wire [31:0] csrbank2_dfii_pi3_wrdata1_w; +reg csrbank2_dfii_pi3_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi3_wrdata0_r; +reg csrbank2_dfii_pi3_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi3_wrdata0_w; +reg csrbank2_dfii_pi3_rddata1_re = 1'd0; +wire [31:0] csrbank2_dfii_pi3_rddata1_r; +reg csrbank2_dfii_pi3_rddata1_we = 1'd0; +wire [31:0] csrbank2_dfii_pi3_rddata1_w; +reg csrbank2_dfii_pi3_rddata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi3_rddata0_r; +reg csrbank2_dfii_pi3_rddata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi3_rddata0_w; +wire csrbank2_sel; +wire [13:0] csr_interconnect_adr; +wire csr_interconnect_we; +wire [31:0] csr_interconnect_dat_w; +wire [31:0] csr_interconnect_dat_r; +wire litedramcore_reset0; +wire litedramcore_reset1; +wire litedramcore_reset2; +wire litedramcore_reset3; +wire litedramcore_reset4; +wire litedramcore_reset5; +wire litedramcore_reset6; +wire litedramcore_reset7; +wire litedramcore_pll_fb; +reg [1:0] litedramcore_refresher_state = 2'd0; +reg [1:0] litedramcore_refresher_next_state = 2'd0; +reg [3:0] litedramcore_bankmachine0_state = 4'd0; +reg [3:0] litedramcore_bankmachine0_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine1_state = 4'd0; +reg [3:0] litedramcore_bankmachine1_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine2_state = 4'd0; +reg [3:0] litedramcore_bankmachine2_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine3_state = 4'd0; +reg [3:0] litedramcore_bankmachine3_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine4_state = 4'd0; +reg [3:0] litedramcore_bankmachine4_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine5_state = 4'd0; +reg [3:0] litedramcore_bankmachine5_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine6_state = 4'd0; +reg [3:0] litedramcore_bankmachine6_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine7_state = 4'd0; +reg [3:0] litedramcore_bankmachine7_next_state = 4'd0; +reg [3:0] litedramcore_multiplexer_state = 4'd0; +reg [3:0] litedramcore_multiplexer_next_state = 4'd0; +wire litedramcore_roundrobin0_request; +wire litedramcore_roundrobin0_grant; +wire litedramcore_roundrobin0_ce; +wire litedramcore_roundrobin1_request; +wire litedramcore_roundrobin1_grant; +wire litedramcore_roundrobin1_ce; +wire litedramcore_roundrobin2_request; +wire litedramcore_roundrobin2_grant; +wire litedramcore_roundrobin2_ce; +wire litedramcore_roundrobin3_request; +wire litedramcore_roundrobin3_grant; +wire litedramcore_roundrobin3_ce; +wire litedramcore_roundrobin4_request; +wire litedramcore_roundrobin4_grant; +wire litedramcore_roundrobin4_ce; +wire litedramcore_roundrobin5_request; +wire litedramcore_roundrobin5_grant; +wire litedramcore_roundrobin5_ce; +wire litedramcore_roundrobin6_request; +wire litedramcore_roundrobin6_grant; +wire litedramcore_roundrobin6_ce; +wire litedramcore_roundrobin7_request; +wire litedramcore_roundrobin7_grant; +wire litedramcore_roundrobin7_ce; +reg litedramcore_locked0 = 1'd0; +reg litedramcore_locked1 = 1'd0; +reg litedramcore_locked2 = 1'd0; +reg litedramcore_locked3 = 1'd0; +reg litedramcore_locked4 = 1'd0; +reg litedramcore_locked5 = 1'd0; +reg litedramcore_locked6 = 1'd0; +reg litedramcore_locked7 = 1'd0; +reg litedramcore_new_master_wdata_ready0 = 1'd0; +reg litedramcore_new_master_wdata_ready1 = 1'd0; +reg litedramcore_new_master_rdata_valid0 = 1'd0; +reg litedramcore_new_master_rdata_valid1 = 1'd0; +reg litedramcore_new_master_rdata_valid2 = 1'd0; +reg litedramcore_new_master_rdata_valid3 = 1'd0; +reg litedramcore_new_master_rdata_valid4 = 1'd0; +reg litedramcore_new_master_rdata_valid5 = 1'd0; +reg litedramcore_new_master_rdata_valid6 = 1'd0; +reg litedramcore_new_master_rdata_valid7 = 1'd0; +reg litedramcore_new_master_rdata_valid8 = 1'd0; +reg [1:0] litedramcore_state = 2'd0; +reg [1:0] litedramcore_next_state = 2'd0; +reg [31:0] litedramcore_dat_w_next_value0 = 32'd0; +reg litedramcore_dat_w_next_value_ce0 = 1'd0; +reg [13:0] litedramcore_adr_next_value1 = 14'd0; +reg litedramcore_adr_next_value_ce1 = 1'd0; +reg litedramcore_we_next_value2 = 1'd0; +reg litedramcore_we_next_value_ce2 = 1'd0; +reg rhs_array_muxed0 = 1'd0; +reg [14:0] rhs_array_muxed1 = 15'd0; +reg [2:0] rhs_array_muxed2 = 3'd0; +reg rhs_array_muxed3 = 1'd0; +reg rhs_array_muxed4 = 1'd0; +reg rhs_array_muxed5 = 1'd0; +reg t_array_muxed0 = 1'd0; +reg t_array_muxed1 = 1'd0; +reg t_array_muxed2 = 1'd0; +reg rhs_array_muxed6 = 1'd0; +reg [14:0] rhs_array_muxed7 = 15'd0; +reg [2:0] rhs_array_muxed8 = 3'd0; +reg rhs_array_muxed9 = 1'd0; +reg rhs_array_muxed10 = 1'd0; +reg rhs_array_muxed11 = 1'd0; +reg t_array_muxed3 = 1'd0; +reg t_array_muxed4 = 1'd0; +reg t_array_muxed5 = 1'd0; +reg [21:0] rhs_array_muxed12 = 22'd0; +reg rhs_array_muxed13 = 1'd0; +reg rhs_array_muxed14 = 1'd0; +reg [21:0] rhs_array_muxed15 = 22'd0; +reg rhs_array_muxed16 = 1'd0; +reg rhs_array_muxed17 = 1'd0; +reg [21:0] rhs_array_muxed18 = 22'd0; +reg rhs_array_muxed19 = 1'd0; +reg rhs_array_muxed20 = 1'd0; +reg [21:0] rhs_array_muxed21 = 22'd0; +reg rhs_array_muxed22 = 1'd0; +reg rhs_array_muxed23 = 1'd0; +reg [21:0] rhs_array_muxed24 = 22'd0; +reg rhs_array_muxed25 = 1'd0; +reg rhs_array_muxed26 = 1'd0; +reg [21:0] rhs_array_muxed27 = 22'd0; +reg rhs_array_muxed28 = 1'd0; +reg rhs_array_muxed29 = 1'd0; +reg [21:0] rhs_array_muxed30 = 22'd0; +reg rhs_array_muxed31 = 1'd0; +reg rhs_array_muxed32 = 1'd0; +reg [21:0] rhs_array_muxed33 = 22'd0; +reg rhs_array_muxed34 = 1'd0; +reg rhs_array_muxed35 = 1'd0; +reg [2:0] array_muxed0 = 3'd0; +reg [14:0] array_muxed1 = 15'd0; +reg array_muxed2 = 1'd0; +reg array_muxed3 = 1'd0; +reg array_muxed4 = 1'd0; +reg array_muxed5 = 1'd0; +reg array_muxed6 = 1'd0; +reg [2:0] array_muxed7 = 3'd0; +reg [14:0] array_muxed8 = 15'd0; +reg array_muxed9 = 1'd0; +reg array_muxed10 = 1'd0; +reg array_muxed11 = 1'd0; +reg array_muxed12 = 1'd0; +reg array_muxed13 = 1'd0; +reg [2:0] array_muxed14 = 3'd0; +reg [14:0] array_muxed15 = 15'd0; +reg array_muxed16 = 1'd0; +reg array_muxed17 = 1'd0; +reg array_muxed18 = 1'd0; +reg array_muxed19 = 1'd0; +reg array_muxed20 = 1'd0; +reg [2:0] array_muxed21 = 3'd0; +reg [14:0] array_muxed22 = 15'd0; +reg array_muxed23 = 1'd0; +reg array_muxed24 = 1'd0; +reg array_muxed25 = 1'd0; +reg array_muxed26 = 1'd0; +reg array_muxed27 = 1'd0; +wire xilinxasyncresetsynchronizerimpl0; +wire xilinxasyncresetsynchronizerimpl0_rst_meta; +wire xilinxasyncresetsynchronizerimpl1; +wire xilinxasyncresetsynchronizerimpl1_rst_meta; +wire xilinxasyncresetsynchronizerimpl2; +wire xilinxasyncresetsynchronizerimpl2_rst_meta; +wire xilinxasyncresetsynchronizerimpl2_expr; +wire xilinxasyncresetsynchronizerimpl3; +wire xilinxasyncresetsynchronizerimpl3_rst_meta; +wire xilinxasyncresetsynchronizerimpl3_expr; //------------------------------------------------------------------------------ // Combinatorial Logic //------------------------------------------------------------------------------ -assign init_done = main_init_done_storage; -assign init_error = main_init_error_storage; -assign main_wb_bus_adr = wb_ctrl_adr; -assign main_wb_bus_dat_w = wb_ctrl_dat_w; -assign wb_ctrl_dat_r = main_wb_bus_dat_r; -assign main_wb_bus_sel = wb_ctrl_sel; -assign main_wb_bus_cyc = wb_ctrl_cyc; -assign main_wb_bus_stb = wb_ctrl_stb; -assign wb_ctrl_ack = main_wb_bus_ack; -assign main_wb_bus_we = wb_ctrl_we; -assign main_wb_bus_cti = wb_ctrl_cti; -assign main_wb_bus_bte = wb_ctrl_bte; -assign wb_ctrl_err = main_wb_bus_err; +assign init_done = init_done_storage; +assign init_error = init_error_storage; +assign wb_bus_adr = wb_ctrl_adr; +assign wb_bus_dat_w = wb_ctrl_dat_w; +assign wb_ctrl_dat_r = wb_bus_dat_r; +assign wb_bus_sel = wb_ctrl_sel; +assign wb_bus_cyc = wb_ctrl_cyc; +assign wb_bus_stb = wb_ctrl_stb; +assign wb_ctrl_ack = wb_bus_ack; +assign wb_bus_we = wb_ctrl_we; +assign wb_bus_cti = wb_ctrl_cti; +assign wb_bus_bte = wb_ctrl_bte; +assign wb_ctrl_err = wb_bus_err; assign user_clk = sys_clk; assign user_rst = sys_rst; -assign main_user_enable = 1'd1; -assign main_user_port_cmd_valid = (user_port_native_0_cmd_valid & main_user_enable); -assign user_port_native_0_cmd_ready = (main_user_port_cmd_ready & main_user_enable); -assign main_user_port_cmd_payload_we = user_port_native_0_cmd_we; -assign main_user_port_cmd_payload_addr = user_port_native_0_cmd_addr; -assign main_user_port_wdata_valid = (user_port_native_0_wdata_valid & main_user_enable); -assign user_port_native_0_wdata_ready = (main_user_port_wdata_ready & main_user_enable); -assign main_user_port_wdata_payload_we = user_port_native_0_wdata_we; -assign main_user_port_wdata_payload_data = user_port_native_0_wdata_data; -assign user_port_native_0_rdata_valid = (main_user_port_rdata_valid & main_user_enable); -assign main_user_port_rdata_ready = (user_port_native_0_rdata_ready & main_user_enable); -assign user_port_native_0_rdata_data = main_user_port_rdata_payload_data; -assign main_reset = (rst | main_rst); -assign pll_locked = main_locked; -assign main_clkin = clk; -assign iodelay_clk = main_clkout_buf0; -assign sys_clk = main_clkout_buf1; -assign sys4x_clk = main_clkout_buf2; -assign sys4x_dqs_clk = main_clkout_buf3; -assign main_k7ddrphy_dqs_oe_delay_tappeddelayline = ((main_k7ddrphy_dqs_preamble | main_k7ddrphy_dqs_oe) | main_k7ddrphy_dqs_postamble); -assign main_k7ddrphy_dq_oe_delay_tappeddelayline = ((main_k7ddrphy_dqs_preamble | main_k7ddrphy_dq_oe) | main_k7ddrphy_dqs_postamble); -always @(*) begin - main_k7ddrphy_dfi_p0_rddata <= 64'd0; - main_k7ddrphy_dfi_p0_rddata[0] <= main_k7ddrphy_bitslip04[0]; - main_k7ddrphy_dfi_p0_rddata[32] <= main_k7ddrphy_bitslip04[1]; - main_k7ddrphy_dfi_p0_rddata[1] <= main_k7ddrphy_bitslip14[0]; - main_k7ddrphy_dfi_p0_rddata[33] <= main_k7ddrphy_bitslip14[1]; - main_k7ddrphy_dfi_p0_rddata[2] <= main_k7ddrphy_bitslip24[0]; - main_k7ddrphy_dfi_p0_rddata[34] <= main_k7ddrphy_bitslip24[1]; - main_k7ddrphy_dfi_p0_rddata[3] <= main_k7ddrphy_bitslip34[0]; - main_k7ddrphy_dfi_p0_rddata[35] <= main_k7ddrphy_bitslip34[1]; - main_k7ddrphy_dfi_p0_rddata[4] <= main_k7ddrphy_bitslip42[0]; - main_k7ddrphy_dfi_p0_rddata[36] <= main_k7ddrphy_bitslip42[1]; - main_k7ddrphy_dfi_p0_rddata[5] <= main_k7ddrphy_bitslip52[0]; - main_k7ddrphy_dfi_p0_rddata[37] <= main_k7ddrphy_bitslip52[1]; - main_k7ddrphy_dfi_p0_rddata[6] <= main_k7ddrphy_bitslip62[0]; - main_k7ddrphy_dfi_p0_rddata[38] <= main_k7ddrphy_bitslip62[1]; - main_k7ddrphy_dfi_p0_rddata[7] <= main_k7ddrphy_bitslip72[0]; - main_k7ddrphy_dfi_p0_rddata[39] <= main_k7ddrphy_bitslip72[1]; - main_k7ddrphy_dfi_p0_rddata[8] <= main_k7ddrphy_bitslip82[0]; - main_k7ddrphy_dfi_p0_rddata[40] <= main_k7ddrphy_bitslip82[1]; - main_k7ddrphy_dfi_p0_rddata[9] <= main_k7ddrphy_bitslip92[0]; - main_k7ddrphy_dfi_p0_rddata[41] <= main_k7ddrphy_bitslip92[1]; - main_k7ddrphy_dfi_p0_rddata[10] <= main_k7ddrphy_bitslip102[0]; - main_k7ddrphy_dfi_p0_rddata[42] <= main_k7ddrphy_bitslip102[1]; - main_k7ddrphy_dfi_p0_rddata[11] <= main_k7ddrphy_bitslip112[0]; - main_k7ddrphy_dfi_p0_rddata[43] <= main_k7ddrphy_bitslip112[1]; - main_k7ddrphy_dfi_p0_rddata[12] <= main_k7ddrphy_bitslip122[0]; - main_k7ddrphy_dfi_p0_rddata[44] <= main_k7ddrphy_bitslip122[1]; - main_k7ddrphy_dfi_p0_rddata[13] <= main_k7ddrphy_bitslip132[0]; - main_k7ddrphy_dfi_p0_rddata[45] <= main_k7ddrphy_bitslip132[1]; - main_k7ddrphy_dfi_p0_rddata[14] <= main_k7ddrphy_bitslip142[0]; - main_k7ddrphy_dfi_p0_rddata[46] <= main_k7ddrphy_bitslip142[1]; - main_k7ddrphy_dfi_p0_rddata[15] <= main_k7ddrphy_bitslip152[0]; - main_k7ddrphy_dfi_p0_rddata[47] <= main_k7ddrphy_bitslip152[1]; - main_k7ddrphy_dfi_p0_rddata[16] <= main_k7ddrphy_bitslip162[0]; - main_k7ddrphy_dfi_p0_rddata[48] <= main_k7ddrphy_bitslip162[1]; - main_k7ddrphy_dfi_p0_rddata[17] <= main_k7ddrphy_bitslip172[0]; - main_k7ddrphy_dfi_p0_rddata[49] <= main_k7ddrphy_bitslip172[1]; - main_k7ddrphy_dfi_p0_rddata[18] <= main_k7ddrphy_bitslip182[0]; - main_k7ddrphy_dfi_p0_rddata[50] <= main_k7ddrphy_bitslip182[1]; - main_k7ddrphy_dfi_p0_rddata[19] <= main_k7ddrphy_bitslip192[0]; - main_k7ddrphy_dfi_p0_rddata[51] <= main_k7ddrphy_bitslip192[1]; - main_k7ddrphy_dfi_p0_rddata[20] <= main_k7ddrphy_bitslip202[0]; - main_k7ddrphy_dfi_p0_rddata[52] <= main_k7ddrphy_bitslip202[1]; - main_k7ddrphy_dfi_p0_rddata[21] <= main_k7ddrphy_bitslip212[0]; - main_k7ddrphy_dfi_p0_rddata[53] <= main_k7ddrphy_bitslip212[1]; - main_k7ddrphy_dfi_p0_rddata[22] <= main_k7ddrphy_bitslip222[0]; - main_k7ddrphy_dfi_p0_rddata[54] <= main_k7ddrphy_bitslip222[1]; - main_k7ddrphy_dfi_p0_rddata[23] <= main_k7ddrphy_bitslip232[0]; - main_k7ddrphy_dfi_p0_rddata[55] <= main_k7ddrphy_bitslip232[1]; - main_k7ddrphy_dfi_p0_rddata[24] <= main_k7ddrphy_bitslip242[0]; - main_k7ddrphy_dfi_p0_rddata[56] <= main_k7ddrphy_bitslip242[1]; - main_k7ddrphy_dfi_p0_rddata[25] <= main_k7ddrphy_bitslip252[0]; - main_k7ddrphy_dfi_p0_rddata[57] <= main_k7ddrphy_bitslip252[1]; - main_k7ddrphy_dfi_p0_rddata[26] <= main_k7ddrphy_bitslip262[0]; - main_k7ddrphy_dfi_p0_rddata[58] <= main_k7ddrphy_bitslip262[1]; - main_k7ddrphy_dfi_p0_rddata[27] <= main_k7ddrphy_bitslip272[0]; - main_k7ddrphy_dfi_p0_rddata[59] <= main_k7ddrphy_bitslip272[1]; - main_k7ddrphy_dfi_p0_rddata[28] <= main_k7ddrphy_bitslip282[0]; - main_k7ddrphy_dfi_p0_rddata[60] <= main_k7ddrphy_bitslip282[1]; - main_k7ddrphy_dfi_p0_rddata[29] <= main_k7ddrphy_bitslip292[0]; - main_k7ddrphy_dfi_p0_rddata[61] <= main_k7ddrphy_bitslip292[1]; - main_k7ddrphy_dfi_p0_rddata[30] <= main_k7ddrphy_bitslip302[0]; - main_k7ddrphy_dfi_p0_rddata[62] <= main_k7ddrphy_bitslip302[1]; - main_k7ddrphy_dfi_p0_rddata[31] <= main_k7ddrphy_bitslip312[0]; - main_k7ddrphy_dfi_p0_rddata[63] <= main_k7ddrphy_bitslip312[1]; -end -always @(*) begin - main_k7ddrphy_dfi_p1_rddata <= 64'd0; - main_k7ddrphy_dfi_p1_rddata[0] <= main_k7ddrphy_bitslip04[2]; - main_k7ddrphy_dfi_p1_rddata[32] <= main_k7ddrphy_bitslip04[3]; - main_k7ddrphy_dfi_p1_rddata[1] <= main_k7ddrphy_bitslip14[2]; - main_k7ddrphy_dfi_p1_rddata[33] <= main_k7ddrphy_bitslip14[3]; - main_k7ddrphy_dfi_p1_rddata[2] <= main_k7ddrphy_bitslip24[2]; - main_k7ddrphy_dfi_p1_rddata[34] <= main_k7ddrphy_bitslip24[3]; - main_k7ddrphy_dfi_p1_rddata[3] <= main_k7ddrphy_bitslip34[2]; - main_k7ddrphy_dfi_p1_rddata[35] <= main_k7ddrphy_bitslip34[3]; - main_k7ddrphy_dfi_p1_rddata[4] <= main_k7ddrphy_bitslip42[2]; - main_k7ddrphy_dfi_p1_rddata[36] <= main_k7ddrphy_bitslip42[3]; - main_k7ddrphy_dfi_p1_rddata[5] <= main_k7ddrphy_bitslip52[2]; - main_k7ddrphy_dfi_p1_rddata[37] <= main_k7ddrphy_bitslip52[3]; - main_k7ddrphy_dfi_p1_rddata[6] <= main_k7ddrphy_bitslip62[2]; - main_k7ddrphy_dfi_p1_rddata[38] <= main_k7ddrphy_bitslip62[3]; - main_k7ddrphy_dfi_p1_rddata[7] <= main_k7ddrphy_bitslip72[2]; - main_k7ddrphy_dfi_p1_rddata[39] <= main_k7ddrphy_bitslip72[3]; - main_k7ddrphy_dfi_p1_rddata[8] <= main_k7ddrphy_bitslip82[2]; - main_k7ddrphy_dfi_p1_rddata[40] <= main_k7ddrphy_bitslip82[3]; - main_k7ddrphy_dfi_p1_rddata[9] <= main_k7ddrphy_bitslip92[2]; - main_k7ddrphy_dfi_p1_rddata[41] <= main_k7ddrphy_bitslip92[3]; - main_k7ddrphy_dfi_p1_rddata[10] <= main_k7ddrphy_bitslip102[2]; - main_k7ddrphy_dfi_p1_rddata[42] <= main_k7ddrphy_bitslip102[3]; - main_k7ddrphy_dfi_p1_rddata[11] <= main_k7ddrphy_bitslip112[2]; - main_k7ddrphy_dfi_p1_rddata[43] <= main_k7ddrphy_bitslip112[3]; - main_k7ddrphy_dfi_p1_rddata[12] <= main_k7ddrphy_bitslip122[2]; - main_k7ddrphy_dfi_p1_rddata[44] <= main_k7ddrphy_bitslip122[3]; - main_k7ddrphy_dfi_p1_rddata[13] <= main_k7ddrphy_bitslip132[2]; - main_k7ddrphy_dfi_p1_rddata[45] <= main_k7ddrphy_bitslip132[3]; - main_k7ddrphy_dfi_p1_rddata[14] <= main_k7ddrphy_bitslip142[2]; - main_k7ddrphy_dfi_p1_rddata[46] <= main_k7ddrphy_bitslip142[3]; - main_k7ddrphy_dfi_p1_rddata[15] <= main_k7ddrphy_bitslip152[2]; - main_k7ddrphy_dfi_p1_rddata[47] <= main_k7ddrphy_bitslip152[3]; - main_k7ddrphy_dfi_p1_rddata[16] <= main_k7ddrphy_bitslip162[2]; - main_k7ddrphy_dfi_p1_rddata[48] <= main_k7ddrphy_bitslip162[3]; - main_k7ddrphy_dfi_p1_rddata[17] <= main_k7ddrphy_bitslip172[2]; - main_k7ddrphy_dfi_p1_rddata[49] <= main_k7ddrphy_bitslip172[3]; - main_k7ddrphy_dfi_p1_rddata[18] <= main_k7ddrphy_bitslip182[2]; - main_k7ddrphy_dfi_p1_rddata[50] <= main_k7ddrphy_bitslip182[3]; - main_k7ddrphy_dfi_p1_rddata[19] <= main_k7ddrphy_bitslip192[2]; - main_k7ddrphy_dfi_p1_rddata[51] <= main_k7ddrphy_bitslip192[3]; - main_k7ddrphy_dfi_p1_rddata[20] <= main_k7ddrphy_bitslip202[2]; - main_k7ddrphy_dfi_p1_rddata[52] <= main_k7ddrphy_bitslip202[3]; - main_k7ddrphy_dfi_p1_rddata[21] <= main_k7ddrphy_bitslip212[2]; - main_k7ddrphy_dfi_p1_rddata[53] <= main_k7ddrphy_bitslip212[3]; - main_k7ddrphy_dfi_p1_rddata[22] <= main_k7ddrphy_bitslip222[2]; - main_k7ddrphy_dfi_p1_rddata[54] <= main_k7ddrphy_bitslip222[3]; - main_k7ddrphy_dfi_p1_rddata[23] <= main_k7ddrphy_bitslip232[2]; - main_k7ddrphy_dfi_p1_rddata[55] <= main_k7ddrphy_bitslip232[3]; - main_k7ddrphy_dfi_p1_rddata[24] <= main_k7ddrphy_bitslip242[2]; - main_k7ddrphy_dfi_p1_rddata[56] <= main_k7ddrphy_bitslip242[3]; - main_k7ddrphy_dfi_p1_rddata[25] <= main_k7ddrphy_bitslip252[2]; - main_k7ddrphy_dfi_p1_rddata[57] <= main_k7ddrphy_bitslip252[3]; - main_k7ddrphy_dfi_p1_rddata[26] <= main_k7ddrphy_bitslip262[2]; - main_k7ddrphy_dfi_p1_rddata[58] <= main_k7ddrphy_bitslip262[3]; - main_k7ddrphy_dfi_p1_rddata[27] <= main_k7ddrphy_bitslip272[2]; - main_k7ddrphy_dfi_p1_rddata[59] <= main_k7ddrphy_bitslip272[3]; - main_k7ddrphy_dfi_p1_rddata[28] <= main_k7ddrphy_bitslip282[2]; - main_k7ddrphy_dfi_p1_rddata[60] <= main_k7ddrphy_bitslip282[3]; - main_k7ddrphy_dfi_p1_rddata[29] <= main_k7ddrphy_bitslip292[2]; - main_k7ddrphy_dfi_p1_rddata[61] <= main_k7ddrphy_bitslip292[3]; - main_k7ddrphy_dfi_p1_rddata[30] <= main_k7ddrphy_bitslip302[2]; - main_k7ddrphy_dfi_p1_rddata[62] <= main_k7ddrphy_bitslip302[3]; - main_k7ddrphy_dfi_p1_rddata[31] <= main_k7ddrphy_bitslip312[2]; - main_k7ddrphy_dfi_p1_rddata[63] <= main_k7ddrphy_bitslip312[3]; -end -always @(*) begin - main_k7ddrphy_dfi_p2_rddata <= 64'd0; - main_k7ddrphy_dfi_p2_rddata[0] <= main_k7ddrphy_bitslip04[4]; - main_k7ddrphy_dfi_p2_rddata[32] <= main_k7ddrphy_bitslip04[5]; - main_k7ddrphy_dfi_p2_rddata[1] <= main_k7ddrphy_bitslip14[4]; - main_k7ddrphy_dfi_p2_rddata[33] <= main_k7ddrphy_bitslip14[5]; - main_k7ddrphy_dfi_p2_rddata[2] <= main_k7ddrphy_bitslip24[4]; - main_k7ddrphy_dfi_p2_rddata[34] <= main_k7ddrphy_bitslip24[5]; - main_k7ddrphy_dfi_p2_rddata[3] <= main_k7ddrphy_bitslip34[4]; - main_k7ddrphy_dfi_p2_rddata[35] <= main_k7ddrphy_bitslip34[5]; - main_k7ddrphy_dfi_p2_rddata[4] <= main_k7ddrphy_bitslip42[4]; - main_k7ddrphy_dfi_p2_rddata[36] <= main_k7ddrphy_bitslip42[5]; - main_k7ddrphy_dfi_p2_rddata[5] <= main_k7ddrphy_bitslip52[4]; - main_k7ddrphy_dfi_p2_rddata[37] <= main_k7ddrphy_bitslip52[5]; - main_k7ddrphy_dfi_p2_rddata[6] <= main_k7ddrphy_bitslip62[4]; - main_k7ddrphy_dfi_p2_rddata[38] <= main_k7ddrphy_bitslip62[5]; - main_k7ddrphy_dfi_p2_rddata[7] <= main_k7ddrphy_bitslip72[4]; - main_k7ddrphy_dfi_p2_rddata[39] <= main_k7ddrphy_bitslip72[5]; - main_k7ddrphy_dfi_p2_rddata[8] <= main_k7ddrphy_bitslip82[4]; - main_k7ddrphy_dfi_p2_rddata[40] <= main_k7ddrphy_bitslip82[5]; - main_k7ddrphy_dfi_p2_rddata[9] <= main_k7ddrphy_bitslip92[4]; - main_k7ddrphy_dfi_p2_rddata[41] <= main_k7ddrphy_bitslip92[5]; - main_k7ddrphy_dfi_p2_rddata[10] <= main_k7ddrphy_bitslip102[4]; - main_k7ddrphy_dfi_p2_rddata[42] <= main_k7ddrphy_bitslip102[5]; - main_k7ddrphy_dfi_p2_rddata[11] <= main_k7ddrphy_bitslip112[4]; - main_k7ddrphy_dfi_p2_rddata[43] <= main_k7ddrphy_bitslip112[5]; - main_k7ddrphy_dfi_p2_rddata[12] <= main_k7ddrphy_bitslip122[4]; - main_k7ddrphy_dfi_p2_rddata[44] <= main_k7ddrphy_bitslip122[5]; - main_k7ddrphy_dfi_p2_rddata[13] <= main_k7ddrphy_bitslip132[4]; - main_k7ddrphy_dfi_p2_rddata[45] <= main_k7ddrphy_bitslip132[5]; - main_k7ddrphy_dfi_p2_rddata[14] <= main_k7ddrphy_bitslip142[4]; - main_k7ddrphy_dfi_p2_rddata[46] <= main_k7ddrphy_bitslip142[5]; - main_k7ddrphy_dfi_p2_rddata[15] <= main_k7ddrphy_bitslip152[4]; - main_k7ddrphy_dfi_p2_rddata[47] <= main_k7ddrphy_bitslip152[5]; - main_k7ddrphy_dfi_p2_rddata[16] <= main_k7ddrphy_bitslip162[4]; - main_k7ddrphy_dfi_p2_rddata[48] <= main_k7ddrphy_bitslip162[5]; - main_k7ddrphy_dfi_p2_rddata[17] <= main_k7ddrphy_bitslip172[4]; - main_k7ddrphy_dfi_p2_rddata[49] <= main_k7ddrphy_bitslip172[5]; - main_k7ddrphy_dfi_p2_rddata[18] <= main_k7ddrphy_bitslip182[4]; - main_k7ddrphy_dfi_p2_rddata[50] <= main_k7ddrphy_bitslip182[5]; - main_k7ddrphy_dfi_p2_rddata[19] <= main_k7ddrphy_bitslip192[4]; - main_k7ddrphy_dfi_p2_rddata[51] <= main_k7ddrphy_bitslip192[5]; - main_k7ddrphy_dfi_p2_rddata[20] <= main_k7ddrphy_bitslip202[4]; - main_k7ddrphy_dfi_p2_rddata[52] <= main_k7ddrphy_bitslip202[5]; - main_k7ddrphy_dfi_p2_rddata[21] <= main_k7ddrphy_bitslip212[4]; - main_k7ddrphy_dfi_p2_rddata[53] <= main_k7ddrphy_bitslip212[5]; - main_k7ddrphy_dfi_p2_rddata[22] <= main_k7ddrphy_bitslip222[4]; - main_k7ddrphy_dfi_p2_rddata[54] <= main_k7ddrphy_bitslip222[5]; - main_k7ddrphy_dfi_p2_rddata[23] <= main_k7ddrphy_bitslip232[4]; - main_k7ddrphy_dfi_p2_rddata[55] <= main_k7ddrphy_bitslip232[5]; - main_k7ddrphy_dfi_p2_rddata[24] <= main_k7ddrphy_bitslip242[4]; - main_k7ddrphy_dfi_p2_rddata[56] <= main_k7ddrphy_bitslip242[5]; - main_k7ddrphy_dfi_p2_rddata[25] <= main_k7ddrphy_bitslip252[4]; - main_k7ddrphy_dfi_p2_rddata[57] <= main_k7ddrphy_bitslip252[5]; - main_k7ddrphy_dfi_p2_rddata[26] <= main_k7ddrphy_bitslip262[4]; - main_k7ddrphy_dfi_p2_rddata[58] <= main_k7ddrphy_bitslip262[5]; - main_k7ddrphy_dfi_p2_rddata[27] <= main_k7ddrphy_bitslip272[4]; - main_k7ddrphy_dfi_p2_rddata[59] <= main_k7ddrphy_bitslip272[5]; - main_k7ddrphy_dfi_p2_rddata[28] <= main_k7ddrphy_bitslip282[4]; - main_k7ddrphy_dfi_p2_rddata[60] <= main_k7ddrphy_bitslip282[5]; - main_k7ddrphy_dfi_p2_rddata[29] <= main_k7ddrphy_bitslip292[4]; - main_k7ddrphy_dfi_p2_rddata[61] <= main_k7ddrphy_bitslip292[5]; - main_k7ddrphy_dfi_p2_rddata[30] <= main_k7ddrphy_bitslip302[4]; - main_k7ddrphy_dfi_p2_rddata[62] <= main_k7ddrphy_bitslip302[5]; - main_k7ddrphy_dfi_p2_rddata[31] <= main_k7ddrphy_bitslip312[4]; - main_k7ddrphy_dfi_p2_rddata[63] <= main_k7ddrphy_bitslip312[5]; -end -always @(*) begin - main_k7ddrphy_dfi_p3_rddata <= 64'd0; - main_k7ddrphy_dfi_p3_rddata[0] <= main_k7ddrphy_bitslip04[6]; - main_k7ddrphy_dfi_p3_rddata[32] <= main_k7ddrphy_bitslip04[7]; - main_k7ddrphy_dfi_p3_rddata[1] <= main_k7ddrphy_bitslip14[6]; - main_k7ddrphy_dfi_p3_rddata[33] <= main_k7ddrphy_bitslip14[7]; - main_k7ddrphy_dfi_p3_rddata[2] <= main_k7ddrphy_bitslip24[6]; - main_k7ddrphy_dfi_p3_rddata[34] <= main_k7ddrphy_bitslip24[7]; - main_k7ddrphy_dfi_p3_rddata[3] <= main_k7ddrphy_bitslip34[6]; - main_k7ddrphy_dfi_p3_rddata[35] <= main_k7ddrphy_bitslip34[7]; - main_k7ddrphy_dfi_p3_rddata[4] <= main_k7ddrphy_bitslip42[6]; - main_k7ddrphy_dfi_p3_rddata[36] <= main_k7ddrphy_bitslip42[7]; - main_k7ddrphy_dfi_p3_rddata[5] <= main_k7ddrphy_bitslip52[6]; - main_k7ddrphy_dfi_p3_rddata[37] <= main_k7ddrphy_bitslip52[7]; - main_k7ddrphy_dfi_p3_rddata[6] <= main_k7ddrphy_bitslip62[6]; - main_k7ddrphy_dfi_p3_rddata[38] <= main_k7ddrphy_bitslip62[7]; - main_k7ddrphy_dfi_p3_rddata[7] <= main_k7ddrphy_bitslip72[6]; - main_k7ddrphy_dfi_p3_rddata[39] <= main_k7ddrphy_bitslip72[7]; - main_k7ddrphy_dfi_p3_rddata[8] <= main_k7ddrphy_bitslip82[6]; - main_k7ddrphy_dfi_p3_rddata[40] <= main_k7ddrphy_bitslip82[7]; - main_k7ddrphy_dfi_p3_rddata[9] <= main_k7ddrphy_bitslip92[6]; - main_k7ddrphy_dfi_p3_rddata[41] <= main_k7ddrphy_bitslip92[7]; - main_k7ddrphy_dfi_p3_rddata[10] <= main_k7ddrphy_bitslip102[6]; - main_k7ddrphy_dfi_p3_rddata[42] <= main_k7ddrphy_bitslip102[7]; - main_k7ddrphy_dfi_p3_rddata[11] <= main_k7ddrphy_bitslip112[6]; - main_k7ddrphy_dfi_p3_rddata[43] <= main_k7ddrphy_bitslip112[7]; - main_k7ddrphy_dfi_p3_rddata[12] <= main_k7ddrphy_bitslip122[6]; - main_k7ddrphy_dfi_p3_rddata[44] <= main_k7ddrphy_bitslip122[7]; - main_k7ddrphy_dfi_p3_rddata[13] <= main_k7ddrphy_bitslip132[6]; - main_k7ddrphy_dfi_p3_rddata[45] <= main_k7ddrphy_bitslip132[7]; - main_k7ddrphy_dfi_p3_rddata[14] <= main_k7ddrphy_bitslip142[6]; - main_k7ddrphy_dfi_p3_rddata[46] <= main_k7ddrphy_bitslip142[7]; - main_k7ddrphy_dfi_p3_rddata[15] <= main_k7ddrphy_bitslip152[6]; - main_k7ddrphy_dfi_p3_rddata[47] <= main_k7ddrphy_bitslip152[7]; - main_k7ddrphy_dfi_p3_rddata[16] <= main_k7ddrphy_bitslip162[6]; - main_k7ddrphy_dfi_p3_rddata[48] <= main_k7ddrphy_bitslip162[7]; - main_k7ddrphy_dfi_p3_rddata[17] <= main_k7ddrphy_bitslip172[6]; - main_k7ddrphy_dfi_p3_rddata[49] <= main_k7ddrphy_bitslip172[7]; - main_k7ddrphy_dfi_p3_rddata[18] <= main_k7ddrphy_bitslip182[6]; - main_k7ddrphy_dfi_p3_rddata[50] <= main_k7ddrphy_bitslip182[7]; - main_k7ddrphy_dfi_p3_rddata[19] <= main_k7ddrphy_bitslip192[6]; - main_k7ddrphy_dfi_p3_rddata[51] <= main_k7ddrphy_bitslip192[7]; - main_k7ddrphy_dfi_p3_rddata[20] <= main_k7ddrphy_bitslip202[6]; - main_k7ddrphy_dfi_p3_rddata[52] <= main_k7ddrphy_bitslip202[7]; - main_k7ddrphy_dfi_p3_rddata[21] <= main_k7ddrphy_bitslip212[6]; - main_k7ddrphy_dfi_p3_rddata[53] <= main_k7ddrphy_bitslip212[7]; - main_k7ddrphy_dfi_p3_rddata[22] <= main_k7ddrphy_bitslip222[6]; - main_k7ddrphy_dfi_p3_rddata[54] <= main_k7ddrphy_bitslip222[7]; - main_k7ddrphy_dfi_p3_rddata[23] <= main_k7ddrphy_bitslip232[6]; - main_k7ddrphy_dfi_p3_rddata[55] <= main_k7ddrphy_bitslip232[7]; - main_k7ddrphy_dfi_p3_rddata[24] <= main_k7ddrphy_bitslip242[6]; - main_k7ddrphy_dfi_p3_rddata[56] <= main_k7ddrphy_bitslip242[7]; - main_k7ddrphy_dfi_p3_rddata[25] <= main_k7ddrphy_bitslip252[6]; - main_k7ddrphy_dfi_p3_rddata[57] <= main_k7ddrphy_bitslip252[7]; - main_k7ddrphy_dfi_p3_rddata[26] <= main_k7ddrphy_bitslip262[6]; - main_k7ddrphy_dfi_p3_rddata[58] <= main_k7ddrphy_bitslip262[7]; - main_k7ddrphy_dfi_p3_rddata[27] <= main_k7ddrphy_bitslip272[6]; - main_k7ddrphy_dfi_p3_rddata[59] <= main_k7ddrphy_bitslip272[7]; - main_k7ddrphy_dfi_p3_rddata[28] <= main_k7ddrphy_bitslip282[6]; - main_k7ddrphy_dfi_p3_rddata[60] <= main_k7ddrphy_bitslip282[7]; - main_k7ddrphy_dfi_p3_rddata[29] <= main_k7ddrphy_bitslip292[6]; - main_k7ddrphy_dfi_p3_rddata[61] <= main_k7ddrphy_bitslip292[7]; - main_k7ddrphy_dfi_p3_rddata[30] <= main_k7ddrphy_bitslip302[6]; - main_k7ddrphy_dfi_p3_rddata[62] <= main_k7ddrphy_bitslip302[7]; - main_k7ddrphy_dfi_p3_rddata[31] <= main_k7ddrphy_bitslip312[6]; - main_k7ddrphy_dfi_p3_rddata[63] <= main_k7ddrphy_bitslip312[7]; -end -assign main_k7ddrphy_dfi_p0_rddata_valid = (main_k7ddrphy_rddata_en_tappeddelayline7 | main_k7ddrphy_wlevel_en_storage); -assign main_k7ddrphy_dfi_p1_rddata_valid = (main_k7ddrphy_rddata_en_tappeddelayline7 | main_k7ddrphy_wlevel_en_storage); -assign main_k7ddrphy_dfi_p2_rddata_valid = (main_k7ddrphy_rddata_en_tappeddelayline7 | main_k7ddrphy_wlevel_en_storage); -assign main_k7ddrphy_dfi_p3_rddata_valid = (main_k7ddrphy_rddata_en_tappeddelayline7 | main_k7ddrphy_wlevel_en_storage); -assign main_k7ddrphy_dq_oe = main_k7ddrphy_wrdata_en_tappeddelayline1; -always @(*) begin - main_k7ddrphy_dqs_oe <= 1'd0; - if (main_k7ddrphy_wlevel_en_storage) begin - main_k7ddrphy_dqs_oe <= 1'd1; +assign user_enable = 1'd1; +assign user_port_cmd_valid = (user_port_native_0_cmd_valid & user_enable); +assign user_port_native_0_cmd_ready = (user_port_cmd_ready & user_enable); +assign user_port_cmd_payload_we = user_port_native_0_cmd_we; +assign user_port_cmd_payload_addr = user_port_native_0_cmd_addr; +assign user_port_wdata_valid = (user_port_native_0_wdata_valid & user_enable); +assign user_port_native_0_wdata_ready = (user_port_wdata_ready & user_enable); +assign user_port_wdata_payload_we = user_port_native_0_wdata_we; +assign user_port_wdata_payload_data = user_port_native_0_wdata_data; +assign user_port_native_0_rdata_valid = (user_port_rdata_valid & user_enable); +assign user_port_rdata_ready = (user_port_native_0_rdata_ready & user_enable); +assign user_port_native_0_rdata_data = user_port_rdata_payload_data; +assign reset = (rst | rst_1); +assign pll_locked = locked; +assign clkin = clk; +assign iodelay_clk = clkout_buf0; +assign sys_clk = clkout_buf1; +assign sys4x_clk = clkout_buf2; +assign sys4x_dqs_clk = clkout_buf3; +assign ddram_ba = k7ddrphy_pads_ba; +assign k7ddrphy_dqs_oe_delay_tappeddelayline = ((k7ddrphy_dqs_preamble | k7ddrphy_dqs_oe) | k7ddrphy_dqs_postamble); +assign k7ddrphy_dq_oe_delay_tappeddelayline = ((k7ddrphy_dqs_preamble | k7ddrphy_dq_oe) | k7ddrphy_dqs_postamble); +always @(*) begin + k7ddrphy_dfi_p0_rddata <= 64'd0; + k7ddrphy_dfi_p0_rddata[0] <= k7ddrphy_bitslip04[0]; + k7ddrphy_dfi_p0_rddata[32] <= k7ddrphy_bitslip04[1]; + k7ddrphy_dfi_p0_rddata[1] <= k7ddrphy_bitslip14[0]; + k7ddrphy_dfi_p0_rddata[33] <= k7ddrphy_bitslip14[1]; + k7ddrphy_dfi_p0_rddata[2] <= k7ddrphy_bitslip24[0]; + k7ddrphy_dfi_p0_rddata[34] <= k7ddrphy_bitslip24[1]; + k7ddrphy_dfi_p0_rddata[3] <= k7ddrphy_bitslip34[0]; + k7ddrphy_dfi_p0_rddata[35] <= k7ddrphy_bitslip34[1]; + k7ddrphy_dfi_p0_rddata[4] <= k7ddrphy_bitslip42[0]; + k7ddrphy_dfi_p0_rddata[36] <= k7ddrphy_bitslip42[1]; + k7ddrphy_dfi_p0_rddata[5] <= k7ddrphy_bitslip52[0]; + k7ddrphy_dfi_p0_rddata[37] <= k7ddrphy_bitslip52[1]; + k7ddrphy_dfi_p0_rddata[6] <= k7ddrphy_bitslip62[0]; + k7ddrphy_dfi_p0_rddata[38] <= k7ddrphy_bitslip62[1]; + k7ddrphy_dfi_p0_rddata[7] <= k7ddrphy_bitslip72[0]; + k7ddrphy_dfi_p0_rddata[39] <= k7ddrphy_bitslip72[1]; + k7ddrphy_dfi_p0_rddata[8] <= k7ddrphy_bitslip82[0]; + k7ddrphy_dfi_p0_rddata[40] <= k7ddrphy_bitslip82[1]; + k7ddrphy_dfi_p0_rddata[9] <= k7ddrphy_bitslip92[0]; + k7ddrphy_dfi_p0_rddata[41] <= k7ddrphy_bitslip92[1]; + k7ddrphy_dfi_p0_rddata[10] <= k7ddrphy_bitslip102[0]; + k7ddrphy_dfi_p0_rddata[42] <= k7ddrphy_bitslip102[1]; + k7ddrphy_dfi_p0_rddata[11] <= k7ddrphy_bitslip112[0]; + k7ddrphy_dfi_p0_rddata[43] <= k7ddrphy_bitslip112[1]; + k7ddrphy_dfi_p0_rddata[12] <= k7ddrphy_bitslip122[0]; + k7ddrphy_dfi_p0_rddata[44] <= k7ddrphy_bitslip122[1]; + k7ddrphy_dfi_p0_rddata[13] <= k7ddrphy_bitslip132[0]; + k7ddrphy_dfi_p0_rddata[45] <= k7ddrphy_bitslip132[1]; + k7ddrphy_dfi_p0_rddata[14] <= k7ddrphy_bitslip142[0]; + k7ddrphy_dfi_p0_rddata[46] <= k7ddrphy_bitslip142[1]; + k7ddrphy_dfi_p0_rddata[15] <= k7ddrphy_bitslip152[0]; + k7ddrphy_dfi_p0_rddata[47] <= k7ddrphy_bitslip152[1]; + k7ddrphy_dfi_p0_rddata[16] <= k7ddrphy_bitslip162[0]; + k7ddrphy_dfi_p0_rddata[48] <= k7ddrphy_bitslip162[1]; + k7ddrphy_dfi_p0_rddata[17] <= k7ddrphy_bitslip172[0]; + k7ddrphy_dfi_p0_rddata[49] <= k7ddrphy_bitslip172[1]; + k7ddrphy_dfi_p0_rddata[18] <= k7ddrphy_bitslip182[0]; + k7ddrphy_dfi_p0_rddata[50] <= k7ddrphy_bitslip182[1]; + k7ddrphy_dfi_p0_rddata[19] <= k7ddrphy_bitslip192[0]; + k7ddrphy_dfi_p0_rddata[51] <= k7ddrphy_bitslip192[1]; + k7ddrphy_dfi_p0_rddata[20] <= k7ddrphy_bitslip202[0]; + k7ddrphy_dfi_p0_rddata[52] <= k7ddrphy_bitslip202[1]; + k7ddrphy_dfi_p0_rddata[21] <= k7ddrphy_bitslip212[0]; + k7ddrphy_dfi_p0_rddata[53] <= k7ddrphy_bitslip212[1]; + k7ddrphy_dfi_p0_rddata[22] <= k7ddrphy_bitslip222[0]; + k7ddrphy_dfi_p0_rddata[54] <= k7ddrphy_bitslip222[1]; + k7ddrphy_dfi_p0_rddata[23] <= k7ddrphy_bitslip232[0]; + k7ddrphy_dfi_p0_rddata[55] <= k7ddrphy_bitslip232[1]; + k7ddrphy_dfi_p0_rddata[24] <= k7ddrphy_bitslip242[0]; + k7ddrphy_dfi_p0_rddata[56] <= k7ddrphy_bitslip242[1]; + k7ddrphy_dfi_p0_rddata[25] <= k7ddrphy_bitslip252[0]; + k7ddrphy_dfi_p0_rddata[57] <= k7ddrphy_bitslip252[1]; + k7ddrphy_dfi_p0_rddata[26] <= k7ddrphy_bitslip262[0]; + k7ddrphy_dfi_p0_rddata[58] <= k7ddrphy_bitslip262[1]; + k7ddrphy_dfi_p0_rddata[27] <= k7ddrphy_bitslip272[0]; + k7ddrphy_dfi_p0_rddata[59] <= k7ddrphy_bitslip272[1]; + k7ddrphy_dfi_p0_rddata[28] <= k7ddrphy_bitslip282[0]; + k7ddrphy_dfi_p0_rddata[60] <= k7ddrphy_bitslip282[1]; + k7ddrphy_dfi_p0_rddata[29] <= k7ddrphy_bitslip292[0]; + k7ddrphy_dfi_p0_rddata[61] <= k7ddrphy_bitslip292[1]; + k7ddrphy_dfi_p0_rddata[30] <= k7ddrphy_bitslip302[0]; + k7ddrphy_dfi_p0_rddata[62] <= k7ddrphy_bitslip302[1]; + k7ddrphy_dfi_p0_rddata[31] <= k7ddrphy_bitslip312[0]; + k7ddrphy_dfi_p0_rddata[63] <= k7ddrphy_bitslip312[1]; +end +always @(*) begin + k7ddrphy_dfi_p1_rddata <= 64'd0; + k7ddrphy_dfi_p1_rddata[0] <= k7ddrphy_bitslip04[2]; + k7ddrphy_dfi_p1_rddata[32] <= k7ddrphy_bitslip04[3]; + k7ddrphy_dfi_p1_rddata[1] <= k7ddrphy_bitslip14[2]; + k7ddrphy_dfi_p1_rddata[33] <= k7ddrphy_bitslip14[3]; + k7ddrphy_dfi_p1_rddata[2] <= k7ddrphy_bitslip24[2]; + k7ddrphy_dfi_p1_rddata[34] <= k7ddrphy_bitslip24[3]; + k7ddrphy_dfi_p1_rddata[3] <= k7ddrphy_bitslip34[2]; + k7ddrphy_dfi_p1_rddata[35] <= k7ddrphy_bitslip34[3]; + k7ddrphy_dfi_p1_rddata[4] <= k7ddrphy_bitslip42[2]; + k7ddrphy_dfi_p1_rddata[36] <= k7ddrphy_bitslip42[3]; + k7ddrphy_dfi_p1_rddata[5] <= k7ddrphy_bitslip52[2]; + k7ddrphy_dfi_p1_rddata[37] <= k7ddrphy_bitslip52[3]; + k7ddrphy_dfi_p1_rddata[6] <= k7ddrphy_bitslip62[2]; + k7ddrphy_dfi_p1_rddata[38] <= k7ddrphy_bitslip62[3]; + k7ddrphy_dfi_p1_rddata[7] <= k7ddrphy_bitslip72[2]; + k7ddrphy_dfi_p1_rddata[39] <= k7ddrphy_bitslip72[3]; + k7ddrphy_dfi_p1_rddata[8] <= k7ddrphy_bitslip82[2]; + k7ddrphy_dfi_p1_rddata[40] <= k7ddrphy_bitslip82[3]; + k7ddrphy_dfi_p1_rddata[9] <= k7ddrphy_bitslip92[2]; + k7ddrphy_dfi_p1_rddata[41] <= k7ddrphy_bitslip92[3]; + k7ddrphy_dfi_p1_rddata[10] <= k7ddrphy_bitslip102[2]; + k7ddrphy_dfi_p1_rddata[42] <= k7ddrphy_bitslip102[3]; + k7ddrphy_dfi_p1_rddata[11] <= k7ddrphy_bitslip112[2]; + k7ddrphy_dfi_p1_rddata[43] <= k7ddrphy_bitslip112[3]; + k7ddrphy_dfi_p1_rddata[12] <= k7ddrphy_bitslip122[2]; + k7ddrphy_dfi_p1_rddata[44] <= k7ddrphy_bitslip122[3]; + k7ddrphy_dfi_p1_rddata[13] <= k7ddrphy_bitslip132[2]; + k7ddrphy_dfi_p1_rddata[45] <= k7ddrphy_bitslip132[3]; + k7ddrphy_dfi_p1_rddata[14] <= k7ddrphy_bitslip142[2]; + k7ddrphy_dfi_p1_rddata[46] <= k7ddrphy_bitslip142[3]; + k7ddrphy_dfi_p1_rddata[15] <= k7ddrphy_bitslip152[2]; + k7ddrphy_dfi_p1_rddata[47] <= k7ddrphy_bitslip152[3]; + k7ddrphy_dfi_p1_rddata[16] <= k7ddrphy_bitslip162[2]; + k7ddrphy_dfi_p1_rddata[48] <= k7ddrphy_bitslip162[3]; + k7ddrphy_dfi_p1_rddata[17] <= k7ddrphy_bitslip172[2]; + k7ddrphy_dfi_p1_rddata[49] <= k7ddrphy_bitslip172[3]; + k7ddrphy_dfi_p1_rddata[18] <= k7ddrphy_bitslip182[2]; + k7ddrphy_dfi_p1_rddata[50] <= k7ddrphy_bitslip182[3]; + k7ddrphy_dfi_p1_rddata[19] <= k7ddrphy_bitslip192[2]; + k7ddrphy_dfi_p1_rddata[51] <= k7ddrphy_bitslip192[3]; + k7ddrphy_dfi_p1_rddata[20] <= k7ddrphy_bitslip202[2]; + k7ddrphy_dfi_p1_rddata[52] <= k7ddrphy_bitslip202[3]; + k7ddrphy_dfi_p1_rddata[21] <= k7ddrphy_bitslip212[2]; + k7ddrphy_dfi_p1_rddata[53] <= k7ddrphy_bitslip212[3]; + k7ddrphy_dfi_p1_rddata[22] <= k7ddrphy_bitslip222[2]; + k7ddrphy_dfi_p1_rddata[54] <= k7ddrphy_bitslip222[3]; + k7ddrphy_dfi_p1_rddata[23] <= k7ddrphy_bitslip232[2]; + k7ddrphy_dfi_p1_rddata[55] <= k7ddrphy_bitslip232[3]; + k7ddrphy_dfi_p1_rddata[24] <= k7ddrphy_bitslip242[2]; + k7ddrphy_dfi_p1_rddata[56] <= k7ddrphy_bitslip242[3]; + k7ddrphy_dfi_p1_rddata[25] <= k7ddrphy_bitslip252[2]; + k7ddrphy_dfi_p1_rddata[57] <= k7ddrphy_bitslip252[3]; + k7ddrphy_dfi_p1_rddata[26] <= k7ddrphy_bitslip262[2]; + k7ddrphy_dfi_p1_rddata[58] <= k7ddrphy_bitslip262[3]; + k7ddrphy_dfi_p1_rddata[27] <= k7ddrphy_bitslip272[2]; + k7ddrphy_dfi_p1_rddata[59] <= k7ddrphy_bitslip272[3]; + k7ddrphy_dfi_p1_rddata[28] <= k7ddrphy_bitslip282[2]; + k7ddrphy_dfi_p1_rddata[60] <= k7ddrphy_bitslip282[3]; + k7ddrphy_dfi_p1_rddata[29] <= k7ddrphy_bitslip292[2]; + k7ddrphy_dfi_p1_rddata[61] <= k7ddrphy_bitslip292[3]; + k7ddrphy_dfi_p1_rddata[30] <= k7ddrphy_bitslip302[2]; + k7ddrphy_dfi_p1_rddata[62] <= k7ddrphy_bitslip302[3]; + k7ddrphy_dfi_p1_rddata[31] <= k7ddrphy_bitslip312[2]; + k7ddrphy_dfi_p1_rddata[63] <= k7ddrphy_bitslip312[3]; +end +always @(*) begin + k7ddrphy_dfi_p2_rddata <= 64'd0; + k7ddrphy_dfi_p2_rddata[0] <= k7ddrphy_bitslip04[4]; + k7ddrphy_dfi_p2_rddata[32] <= k7ddrphy_bitslip04[5]; + k7ddrphy_dfi_p2_rddata[1] <= k7ddrphy_bitslip14[4]; + k7ddrphy_dfi_p2_rddata[33] <= k7ddrphy_bitslip14[5]; + k7ddrphy_dfi_p2_rddata[2] <= k7ddrphy_bitslip24[4]; + k7ddrphy_dfi_p2_rddata[34] <= k7ddrphy_bitslip24[5]; + k7ddrphy_dfi_p2_rddata[3] <= k7ddrphy_bitslip34[4]; + k7ddrphy_dfi_p2_rddata[35] <= k7ddrphy_bitslip34[5]; + k7ddrphy_dfi_p2_rddata[4] <= k7ddrphy_bitslip42[4]; + k7ddrphy_dfi_p2_rddata[36] <= k7ddrphy_bitslip42[5]; + k7ddrphy_dfi_p2_rddata[5] <= k7ddrphy_bitslip52[4]; + k7ddrphy_dfi_p2_rddata[37] <= k7ddrphy_bitslip52[5]; + k7ddrphy_dfi_p2_rddata[6] <= k7ddrphy_bitslip62[4]; + k7ddrphy_dfi_p2_rddata[38] <= k7ddrphy_bitslip62[5]; + k7ddrphy_dfi_p2_rddata[7] <= k7ddrphy_bitslip72[4]; + k7ddrphy_dfi_p2_rddata[39] <= k7ddrphy_bitslip72[5]; + k7ddrphy_dfi_p2_rddata[8] <= k7ddrphy_bitslip82[4]; + k7ddrphy_dfi_p2_rddata[40] <= k7ddrphy_bitslip82[5]; + k7ddrphy_dfi_p2_rddata[9] <= k7ddrphy_bitslip92[4]; + k7ddrphy_dfi_p2_rddata[41] <= k7ddrphy_bitslip92[5]; + k7ddrphy_dfi_p2_rddata[10] <= k7ddrphy_bitslip102[4]; + k7ddrphy_dfi_p2_rddata[42] <= k7ddrphy_bitslip102[5]; + k7ddrphy_dfi_p2_rddata[11] <= k7ddrphy_bitslip112[4]; + k7ddrphy_dfi_p2_rddata[43] <= k7ddrphy_bitslip112[5]; + k7ddrphy_dfi_p2_rddata[12] <= k7ddrphy_bitslip122[4]; + k7ddrphy_dfi_p2_rddata[44] <= k7ddrphy_bitslip122[5]; + k7ddrphy_dfi_p2_rddata[13] <= k7ddrphy_bitslip132[4]; + k7ddrphy_dfi_p2_rddata[45] <= k7ddrphy_bitslip132[5]; + k7ddrphy_dfi_p2_rddata[14] <= k7ddrphy_bitslip142[4]; + k7ddrphy_dfi_p2_rddata[46] <= k7ddrphy_bitslip142[5]; + k7ddrphy_dfi_p2_rddata[15] <= k7ddrphy_bitslip152[4]; + k7ddrphy_dfi_p2_rddata[47] <= k7ddrphy_bitslip152[5]; + k7ddrphy_dfi_p2_rddata[16] <= k7ddrphy_bitslip162[4]; + k7ddrphy_dfi_p2_rddata[48] <= k7ddrphy_bitslip162[5]; + k7ddrphy_dfi_p2_rddata[17] <= k7ddrphy_bitslip172[4]; + k7ddrphy_dfi_p2_rddata[49] <= k7ddrphy_bitslip172[5]; + k7ddrphy_dfi_p2_rddata[18] <= k7ddrphy_bitslip182[4]; + k7ddrphy_dfi_p2_rddata[50] <= k7ddrphy_bitslip182[5]; + k7ddrphy_dfi_p2_rddata[19] <= k7ddrphy_bitslip192[4]; + k7ddrphy_dfi_p2_rddata[51] <= k7ddrphy_bitslip192[5]; + k7ddrphy_dfi_p2_rddata[20] <= k7ddrphy_bitslip202[4]; + k7ddrphy_dfi_p2_rddata[52] <= k7ddrphy_bitslip202[5]; + k7ddrphy_dfi_p2_rddata[21] <= k7ddrphy_bitslip212[4]; + k7ddrphy_dfi_p2_rddata[53] <= k7ddrphy_bitslip212[5]; + k7ddrphy_dfi_p2_rddata[22] <= k7ddrphy_bitslip222[4]; + k7ddrphy_dfi_p2_rddata[54] <= k7ddrphy_bitslip222[5]; + k7ddrphy_dfi_p2_rddata[23] <= k7ddrphy_bitslip232[4]; + k7ddrphy_dfi_p2_rddata[55] <= k7ddrphy_bitslip232[5]; + k7ddrphy_dfi_p2_rddata[24] <= k7ddrphy_bitslip242[4]; + k7ddrphy_dfi_p2_rddata[56] <= k7ddrphy_bitslip242[5]; + k7ddrphy_dfi_p2_rddata[25] <= k7ddrphy_bitslip252[4]; + k7ddrphy_dfi_p2_rddata[57] <= k7ddrphy_bitslip252[5]; + k7ddrphy_dfi_p2_rddata[26] <= k7ddrphy_bitslip262[4]; + k7ddrphy_dfi_p2_rddata[58] <= k7ddrphy_bitslip262[5]; + k7ddrphy_dfi_p2_rddata[27] <= k7ddrphy_bitslip272[4]; + k7ddrphy_dfi_p2_rddata[59] <= k7ddrphy_bitslip272[5]; + k7ddrphy_dfi_p2_rddata[28] <= k7ddrphy_bitslip282[4]; + k7ddrphy_dfi_p2_rddata[60] <= k7ddrphy_bitslip282[5]; + k7ddrphy_dfi_p2_rddata[29] <= k7ddrphy_bitslip292[4]; + k7ddrphy_dfi_p2_rddata[61] <= k7ddrphy_bitslip292[5]; + k7ddrphy_dfi_p2_rddata[30] <= k7ddrphy_bitslip302[4]; + k7ddrphy_dfi_p2_rddata[62] <= k7ddrphy_bitslip302[5]; + k7ddrphy_dfi_p2_rddata[31] <= k7ddrphy_bitslip312[4]; + k7ddrphy_dfi_p2_rddata[63] <= k7ddrphy_bitslip312[5]; +end +always @(*) begin + k7ddrphy_dfi_p3_rddata <= 64'd0; + k7ddrphy_dfi_p3_rddata[0] <= k7ddrphy_bitslip04[6]; + k7ddrphy_dfi_p3_rddata[32] <= k7ddrphy_bitslip04[7]; + k7ddrphy_dfi_p3_rddata[1] <= k7ddrphy_bitslip14[6]; + k7ddrphy_dfi_p3_rddata[33] <= k7ddrphy_bitslip14[7]; + k7ddrphy_dfi_p3_rddata[2] <= k7ddrphy_bitslip24[6]; + k7ddrphy_dfi_p3_rddata[34] <= k7ddrphy_bitslip24[7]; + k7ddrphy_dfi_p3_rddata[3] <= k7ddrphy_bitslip34[6]; + k7ddrphy_dfi_p3_rddata[35] <= k7ddrphy_bitslip34[7]; + k7ddrphy_dfi_p3_rddata[4] <= k7ddrphy_bitslip42[6]; + k7ddrphy_dfi_p3_rddata[36] <= k7ddrphy_bitslip42[7]; + k7ddrphy_dfi_p3_rddata[5] <= k7ddrphy_bitslip52[6]; + k7ddrphy_dfi_p3_rddata[37] <= k7ddrphy_bitslip52[7]; + k7ddrphy_dfi_p3_rddata[6] <= k7ddrphy_bitslip62[6]; + k7ddrphy_dfi_p3_rddata[38] <= k7ddrphy_bitslip62[7]; + k7ddrphy_dfi_p3_rddata[7] <= k7ddrphy_bitslip72[6]; + k7ddrphy_dfi_p3_rddata[39] <= k7ddrphy_bitslip72[7]; + k7ddrphy_dfi_p3_rddata[8] <= k7ddrphy_bitslip82[6]; + k7ddrphy_dfi_p3_rddata[40] <= k7ddrphy_bitslip82[7]; + k7ddrphy_dfi_p3_rddata[9] <= k7ddrphy_bitslip92[6]; + k7ddrphy_dfi_p3_rddata[41] <= k7ddrphy_bitslip92[7]; + k7ddrphy_dfi_p3_rddata[10] <= k7ddrphy_bitslip102[6]; + k7ddrphy_dfi_p3_rddata[42] <= k7ddrphy_bitslip102[7]; + k7ddrphy_dfi_p3_rddata[11] <= k7ddrphy_bitslip112[6]; + k7ddrphy_dfi_p3_rddata[43] <= k7ddrphy_bitslip112[7]; + k7ddrphy_dfi_p3_rddata[12] <= k7ddrphy_bitslip122[6]; + k7ddrphy_dfi_p3_rddata[44] <= k7ddrphy_bitslip122[7]; + k7ddrphy_dfi_p3_rddata[13] <= k7ddrphy_bitslip132[6]; + k7ddrphy_dfi_p3_rddata[45] <= k7ddrphy_bitslip132[7]; + k7ddrphy_dfi_p3_rddata[14] <= k7ddrphy_bitslip142[6]; + k7ddrphy_dfi_p3_rddata[46] <= k7ddrphy_bitslip142[7]; + k7ddrphy_dfi_p3_rddata[15] <= k7ddrphy_bitslip152[6]; + k7ddrphy_dfi_p3_rddata[47] <= k7ddrphy_bitslip152[7]; + k7ddrphy_dfi_p3_rddata[16] <= k7ddrphy_bitslip162[6]; + k7ddrphy_dfi_p3_rddata[48] <= k7ddrphy_bitslip162[7]; + k7ddrphy_dfi_p3_rddata[17] <= k7ddrphy_bitslip172[6]; + k7ddrphy_dfi_p3_rddata[49] <= k7ddrphy_bitslip172[7]; + k7ddrphy_dfi_p3_rddata[18] <= k7ddrphy_bitslip182[6]; + k7ddrphy_dfi_p3_rddata[50] <= k7ddrphy_bitslip182[7]; + k7ddrphy_dfi_p3_rddata[19] <= k7ddrphy_bitslip192[6]; + k7ddrphy_dfi_p3_rddata[51] <= k7ddrphy_bitslip192[7]; + k7ddrphy_dfi_p3_rddata[20] <= k7ddrphy_bitslip202[6]; + k7ddrphy_dfi_p3_rddata[52] <= k7ddrphy_bitslip202[7]; + k7ddrphy_dfi_p3_rddata[21] <= k7ddrphy_bitslip212[6]; + k7ddrphy_dfi_p3_rddata[53] <= k7ddrphy_bitslip212[7]; + k7ddrphy_dfi_p3_rddata[22] <= k7ddrphy_bitslip222[6]; + k7ddrphy_dfi_p3_rddata[54] <= k7ddrphy_bitslip222[7]; + k7ddrphy_dfi_p3_rddata[23] <= k7ddrphy_bitslip232[6]; + k7ddrphy_dfi_p3_rddata[55] <= k7ddrphy_bitslip232[7]; + k7ddrphy_dfi_p3_rddata[24] <= k7ddrphy_bitslip242[6]; + k7ddrphy_dfi_p3_rddata[56] <= k7ddrphy_bitslip242[7]; + k7ddrphy_dfi_p3_rddata[25] <= k7ddrphy_bitslip252[6]; + k7ddrphy_dfi_p3_rddata[57] <= k7ddrphy_bitslip252[7]; + k7ddrphy_dfi_p3_rddata[26] <= k7ddrphy_bitslip262[6]; + k7ddrphy_dfi_p3_rddata[58] <= k7ddrphy_bitslip262[7]; + k7ddrphy_dfi_p3_rddata[27] <= k7ddrphy_bitslip272[6]; + k7ddrphy_dfi_p3_rddata[59] <= k7ddrphy_bitslip272[7]; + k7ddrphy_dfi_p3_rddata[28] <= k7ddrphy_bitslip282[6]; + k7ddrphy_dfi_p3_rddata[60] <= k7ddrphy_bitslip282[7]; + k7ddrphy_dfi_p3_rddata[29] <= k7ddrphy_bitslip292[6]; + k7ddrphy_dfi_p3_rddata[61] <= k7ddrphy_bitslip292[7]; + k7ddrphy_dfi_p3_rddata[30] <= k7ddrphy_bitslip302[6]; + k7ddrphy_dfi_p3_rddata[62] <= k7ddrphy_bitslip302[7]; + k7ddrphy_dfi_p3_rddata[31] <= k7ddrphy_bitslip312[6]; + k7ddrphy_dfi_p3_rddata[63] <= k7ddrphy_bitslip312[7]; +end +assign k7ddrphy_dfi_p0_rddata_valid = (k7ddrphy_rddata_en_tappeddelayline7 | k7ddrphy_wlevel_en_storage); +assign k7ddrphy_dfi_p1_rddata_valid = (k7ddrphy_rddata_en_tappeddelayline7 | k7ddrphy_wlevel_en_storage); +assign k7ddrphy_dfi_p2_rddata_valid = (k7ddrphy_rddata_en_tappeddelayline7 | k7ddrphy_wlevel_en_storage); +assign k7ddrphy_dfi_p3_rddata_valid = (k7ddrphy_rddata_en_tappeddelayline7 | k7ddrphy_wlevel_en_storage); +assign k7ddrphy_dq_oe = k7ddrphy_wrdata_en_tappeddelayline1; +always @(*) begin + k7ddrphy_dqs_oe <= 1'd0; + if (k7ddrphy_wlevel_en_storage) begin + k7ddrphy_dqs_oe <= 1'd1; end else begin - main_k7ddrphy_dqs_oe <= main_k7ddrphy_dq_oe; + k7ddrphy_dqs_oe <= k7ddrphy_dq_oe; end end -assign main_k7ddrphy_dqs_preamble = (main_k7ddrphy_wrdata_en_tappeddelayline0 & (~main_k7ddrphy_wrdata_en_tappeddelayline1)); -assign main_k7ddrphy_dqs_postamble = (main_k7ddrphy_wrdata_en_tappeddelayline2 & (~main_k7ddrphy_wrdata_en_tappeddelayline1)); +assign k7ddrphy_dqs_preamble = (k7ddrphy_wrdata_en_tappeddelayline0 & (~k7ddrphy_wrdata_en_tappeddelayline1)); +assign k7ddrphy_dqs_postamble = (k7ddrphy_wrdata_en_tappeddelayline2 & (~k7ddrphy_wrdata_en_tappeddelayline1)); always @(*) begin - main_k7ddrphy_dqspattern_o <= 8'd0; - main_k7ddrphy_dqspattern_o <= 7'd85; - if (main_k7ddrphy_dqspattern0) begin - main_k7ddrphy_dqspattern_o <= 5'd21; + k7ddrphy_dqspattern_o <= 8'd0; + k7ddrphy_dqspattern_o <= 7'd85; + if (k7ddrphy_dqspattern0) begin + k7ddrphy_dqspattern_o <= 5'd21; end - if (main_k7ddrphy_dqspattern1) begin - main_k7ddrphy_dqspattern_o <= 7'd84; + if (k7ddrphy_dqspattern1) begin + k7ddrphy_dqspattern_o <= 7'd84; end - if (main_k7ddrphy_wlevel_en_storage) begin - main_k7ddrphy_dqspattern_o <= 1'd0; - if (main_k7ddrphy_wlevel_strobe_re) begin - main_k7ddrphy_dqspattern_o <= 1'd1; + if (k7ddrphy_wlevel_en_storage) begin + k7ddrphy_dqspattern_o <= 1'd0; + if (k7ddrphy_wlevel_strobe_re) begin + k7ddrphy_dqspattern_o <= 1'd1; end end end always @(*) begin - main_k7ddrphy_bitslip00 <= 8'd0; - case (main_k7ddrphy_bitslip0_value0) + k7ddrphy_bitslip00 <= 8'd0; + case (k7ddrphy_bitslip0_value0) 1'd0: begin - main_k7ddrphy_bitslip00 <= main_k7ddrphy_bitslip0_r0[8:1]; + k7ddrphy_bitslip00 <= k7ddrphy_bitslip0_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip00 <= main_k7ddrphy_bitslip0_r0[9:2]; + k7ddrphy_bitslip00 <= k7ddrphy_bitslip0_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip00 <= main_k7ddrphy_bitslip0_r0[10:3]; + k7ddrphy_bitslip00 <= k7ddrphy_bitslip0_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip00 <= main_k7ddrphy_bitslip0_r0[11:4]; + k7ddrphy_bitslip00 <= k7ddrphy_bitslip0_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip00 <= main_k7ddrphy_bitslip0_r0[12:5]; + k7ddrphy_bitslip00 <= k7ddrphy_bitslip0_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip00 <= main_k7ddrphy_bitslip0_r0[13:6]; + k7ddrphy_bitslip00 <= k7ddrphy_bitslip0_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip00 <= main_k7ddrphy_bitslip0_r0[14:7]; + k7ddrphy_bitslip00 <= k7ddrphy_bitslip0_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip00 <= main_k7ddrphy_bitslip0_r0[15:8]; + k7ddrphy_bitslip00 <= k7ddrphy_bitslip0_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip10 <= 8'd0; - case (main_k7ddrphy_bitslip1_value0) + k7ddrphy_bitslip10 <= 8'd0; + case (k7ddrphy_bitslip1_value0) 1'd0: begin - main_k7ddrphy_bitslip10 <= main_k7ddrphy_bitslip1_r0[8:1]; + k7ddrphy_bitslip10 <= k7ddrphy_bitslip1_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip10 <= main_k7ddrphy_bitslip1_r0[9:2]; + k7ddrphy_bitslip10 <= k7ddrphy_bitslip1_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip10 <= main_k7ddrphy_bitslip1_r0[10:3]; + k7ddrphy_bitslip10 <= k7ddrphy_bitslip1_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip10 <= main_k7ddrphy_bitslip1_r0[11:4]; + k7ddrphy_bitslip10 <= k7ddrphy_bitslip1_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip10 <= main_k7ddrphy_bitslip1_r0[12:5]; + k7ddrphy_bitslip10 <= k7ddrphy_bitslip1_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip10 <= main_k7ddrphy_bitslip1_r0[13:6]; + k7ddrphy_bitslip10 <= k7ddrphy_bitslip1_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip10 <= main_k7ddrphy_bitslip1_r0[14:7]; + k7ddrphy_bitslip10 <= k7ddrphy_bitslip1_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip10 <= main_k7ddrphy_bitslip1_r0[15:8]; + k7ddrphy_bitslip10 <= k7ddrphy_bitslip1_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip20 <= 8'd0; - case (main_k7ddrphy_bitslip2_value0) + k7ddrphy_bitslip20 <= 8'd0; + case (k7ddrphy_bitslip2_value0) 1'd0: begin - main_k7ddrphy_bitslip20 <= main_k7ddrphy_bitslip2_r0[8:1]; + k7ddrphy_bitslip20 <= k7ddrphy_bitslip2_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip20 <= main_k7ddrphy_bitslip2_r0[9:2]; + k7ddrphy_bitslip20 <= k7ddrphy_bitslip2_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip20 <= main_k7ddrphy_bitslip2_r0[10:3]; + k7ddrphy_bitslip20 <= k7ddrphy_bitslip2_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip20 <= main_k7ddrphy_bitslip2_r0[11:4]; + k7ddrphy_bitslip20 <= k7ddrphy_bitslip2_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip20 <= main_k7ddrphy_bitslip2_r0[12:5]; + k7ddrphy_bitslip20 <= k7ddrphy_bitslip2_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip20 <= main_k7ddrphy_bitslip2_r0[13:6]; + k7ddrphy_bitslip20 <= k7ddrphy_bitslip2_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip20 <= main_k7ddrphy_bitslip2_r0[14:7]; + k7ddrphy_bitslip20 <= k7ddrphy_bitslip2_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip20 <= main_k7ddrphy_bitslip2_r0[15:8]; + k7ddrphy_bitslip20 <= k7ddrphy_bitslip2_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip30 <= 8'd0; - case (main_k7ddrphy_bitslip3_value0) + k7ddrphy_bitslip30 <= 8'd0; + case (k7ddrphy_bitslip3_value0) 1'd0: begin - main_k7ddrphy_bitslip30 <= main_k7ddrphy_bitslip3_r0[8:1]; + k7ddrphy_bitslip30 <= k7ddrphy_bitslip3_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip30 <= main_k7ddrphy_bitslip3_r0[9:2]; + k7ddrphy_bitslip30 <= k7ddrphy_bitslip3_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip30 <= main_k7ddrphy_bitslip3_r0[10:3]; + k7ddrphy_bitslip30 <= k7ddrphy_bitslip3_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip30 <= main_k7ddrphy_bitslip3_r0[11:4]; + k7ddrphy_bitslip30 <= k7ddrphy_bitslip3_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip30 <= main_k7ddrphy_bitslip3_r0[12:5]; + k7ddrphy_bitslip30 <= k7ddrphy_bitslip3_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip30 <= main_k7ddrphy_bitslip3_r0[13:6]; + k7ddrphy_bitslip30 <= k7ddrphy_bitslip3_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip30 <= main_k7ddrphy_bitslip3_r0[14:7]; + k7ddrphy_bitslip30 <= k7ddrphy_bitslip3_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip30 <= main_k7ddrphy_bitslip3_r0[15:8]; + k7ddrphy_bitslip30 <= k7ddrphy_bitslip3_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip01 <= 8'd0; - case (main_k7ddrphy_bitslip0_value1) + k7ddrphy_bitslip01 <= 8'd0; + case (k7ddrphy_bitslip0_value1) 1'd0: begin - main_k7ddrphy_bitslip01 <= main_k7ddrphy_bitslip0_r1[8:1]; + k7ddrphy_bitslip01 <= k7ddrphy_bitslip0_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip01 <= main_k7ddrphy_bitslip0_r1[9:2]; + k7ddrphy_bitslip01 <= k7ddrphy_bitslip0_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip01 <= main_k7ddrphy_bitslip0_r1[10:3]; + k7ddrphy_bitslip01 <= k7ddrphy_bitslip0_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip01 <= main_k7ddrphy_bitslip0_r1[11:4]; + k7ddrphy_bitslip01 <= k7ddrphy_bitslip0_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip01 <= main_k7ddrphy_bitslip0_r1[12:5]; + k7ddrphy_bitslip01 <= k7ddrphy_bitslip0_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip01 <= main_k7ddrphy_bitslip0_r1[13:6]; + k7ddrphy_bitslip01 <= k7ddrphy_bitslip0_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip01 <= main_k7ddrphy_bitslip0_r1[14:7]; + k7ddrphy_bitslip01 <= k7ddrphy_bitslip0_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip01 <= main_k7ddrphy_bitslip0_r1[15:8]; + k7ddrphy_bitslip01 <= k7ddrphy_bitslip0_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip11 <= 8'd0; - case (main_k7ddrphy_bitslip1_value1) + k7ddrphy_bitslip11 <= 8'd0; + case (k7ddrphy_bitslip1_value1) 1'd0: begin - main_k7ddrphy_bitslip11 <= main_k7ddrphy_bitslip1_r1[8:1]; + k7ddrphy_bitslip11 <= k7ddrphy_bitslip1_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip11 <= main_k7ddrphy_bitslip1_r1[9:2]; + k7ddrphy_bitslip11 <= k7ddrphy_bitslip1_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip11 <= main_k7ddrphy_bitslip1_r1[10:3]; + k7ddrphy_bitslip11 <= k7ddrphy_bitslip1_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip11 <= main_k7ddrphy_bitslip1_r1[11:4]; + k7ddrphy_bitslip11 <= k7ddrphy_bitslip1_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip11 <= main_k7ddrphy_bitslip1_r1[12:5]; + k7ddrphy_bitslip11 <= k7ddrphy_bitslip1_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip11 <= main_k7ddrphy_bitslip1_r1[13:6]; + k7ddrphy_bitslip11 <= k7ddrphy_bitslip1_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip11 <= main_k7ddrphy_bitslip1_r1[14:7]; + k7ddrphy_bitslip11 <= k7ddrphy_bitslip1_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip11 <= main_k7ddrphy_bitslip1_r1[15:8]; + k7ddrphy_bitslip11 <= k7ddrphy_bitslip1_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip21 <= 8'd0; - case (main_k7ddrphy_bitslip2_value1) + k7ddrphy_bitslip21 <= 8'd0; + case (k7ddrphy_bitslip2_value1) 1'd0: begin - main_k7ddrphy_bitslip21 <= main_k7ddrphy_bitslip2_r1[8:1]; + k7ddrphy_bitslip21 <= k7ddrphy_bitslip2_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip21 <= main_k7ddrphy_bitslip2_r1[9:2]; + k7ddrphy_bitslip21 <= k7ddrphy_bitslip2_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip21 <= main_k7ddrphy_bitslip2_r1[10:3]; + k7ddrphy_bitslip21 <= k7ddrphy_bitslip2_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip21 <= main_k7ddrphy_bitslip2_r1[11:4]; + k7ddrphy_bitslip21 <= k7ddrphy_bitslip2_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip21 <= main_k7ddrphy_bitslip2_r1[12:5]; + k7ddrphy_bitslip21 <= k7ddrphy_bitslip2_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip21 <= main_k7ddrphy_bitslip2_r1[13:6]; + k7ddrphy_bitslip21 <= k7ddrphy_bitslip2_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip21 <= main_k7ddrphy_bitslip2_r1[14:7]; + k7ddrphy_bitslip21 <= k7ddrphy_bitslip2_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip21 <= main_k7ddrphy_bitslip2_r1[15:8]; + k7ddrphy_bitslip21 <= k7ddrphy_bitslip2_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip31 <= 8'd0; - case (main_k7ddrphy_bitslip3_value1) + k7ddrphy_bitslip31 <= 8'd0; + case (k7ddrphy_bitslip3_value1) 1'd0: begin - main_k7ddrphy_bitslip31 <= main_k7ddrphy_bitslip3_r1[8:1]; + k7ddrphy_bitslip31 <= k7ddrphy_bitslip3_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip31 <= main_k7ddrphy_bitslip3_r1[9:2]; + k7ddrphy_bitslip31 <= k7ddrphy_bitslip3_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip31 <= main_k7ddrphy_bitslip3_r1[10:3]; + k7ddrphy_bitslip31 <= k7ddrphy_bitslip3_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip31 <= main_k7ddrphy_bitslip3_r1[11:4]; + k7ddrphy_bitslip31 <= k7ddrphy_bitslip3_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip31 <= main_k7ddrphy_bitslip3_r1[12:5]; + k7ddrphy_bitslip31 <= k7ddrphy_bitslip3_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip31 <= main_k7ddrphy_bitslip3_r1[13:6]; + k7ddrphy_bitslip31 <= k7ddrphy_bitslip3_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip31 <= main_k7ddrphy_bitslip3_r1[14:7]; + k7ddrphy_bitslip31 <= k7ddrphy_bitslip3_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip31 <= main_k7ddrphy_bitslip3_r1[15:8]; + k7ddrphy_bitslip31 <= k7ddrphy_bitslip3_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip02 <= 8'd0; - case (main_k7ddrphy_bitslip0_value2) + k7ddrphy_bitslip02 <= 8'd0; + case (k7ddrphy_bitslip0_value2) 1'd0: begin - main_k7ddrphy_bitslip02 <= main_k7ddrphy_bitslip0_r2[8:1]; + k7ddrphy_bitslip02 <= k7ddrphy_bitslip0_r2[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip02 <= main_k7ddrphy_bitslip0_r2[9:2]; + k7ddrphy_bitslip02 <= k7ddrphy_bitslip0_r2[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip02 <= main_k7ddrphy_bitslip0_r2[10:3]; + k7ddrphy_bitslip02 <= k7ddrphy_bitslip0_r2[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip02 <= main_k7ddrphy_bitslip0_r2[11:4]; + k7ddrphy_bitslip02 <= k7ddrphy_bitslip0_r2[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip02 <= main_k7ddrphy_bitslip0_r2[12:5]; + k7ddrphy_bitslip02 <= k7ddrphy_bitslip0_r2[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip02 <= main_k7ddrphy_bitslip0_r2[13:6]; + k7ddrphy_bitslip02 <= k7ddrphy_bitslip0_r2[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip02 <= main_k7ddrphy_bitslip0_r2[14:7]; + k7ddrphy_bitslip02 <= k7ddrphy_bitslip0_r2[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip02 <= main_k7ddrphy_bitslip0_r2[15:8]; + k7ddrphy_bitslip02 <= k7ddrphy_bitslip0_r2[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip04 <= 8'd0; - case (main_k7ddrphy_bitslip0_value3) + k7ddrphy_bitslip04 <= 8'd0; + case (k7ddrphy_bitslip0_value3) 1'd0: begin - main_k7ddrphy_bitslip04 <= main_k7ddrphy_bitslip0_r3[8:1]; + k7ddrphy_bitslip04 <= k7ddrphy_bitslip0_r3[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip04 <= main_k7ddrphy_bitslip0_r3[9:2]; + k7ddrphy_bitslip04 <= k7ddrphy_bitslip0_r3[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip04 <= main_k7ddrphy_bitslip0_r3[10:3]; + k7ddrphy_bitslip04 <= k7ddrphy_bitslip0_r3[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip04 <= main_k7ddrphy_bitslip0_r3[11:4]; + k7ddrphy_bitslip04 <= k7ddrphy_bitslip0_r3[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip04 <= main_k7ddrphy_bitslip0_r3[12:5]; + k7ddrphy_bitslip04 <= k7ddrphy_bitslip0_r3[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip04 <= main_k7ddrphy_bitslip0_r3[13:6]; + k7ddrphy_bitslip04 <= k7ddrphy_bitslip0_r3[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip04 <= main_k7ddrphy_bitslip0_r3[14:7]; + k7ddrphy_bitslip04 <= k7ddrphy_bitslip0_r3[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip04 <= main_k7ddrphy_bitslip0_r3[15:8]; + k7ddrphy_bitslip04 <= k7ddrphy_bitslip0_r3[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip12 <= 8'd0; - case (main_k7ddrphy_bitslip1_value2) + k7ddrphy_bitslip12 <= 8'd0; + case (k7ddrphy_bitslip1_value2) 1'd0: begin - main_k7ddrphy_bitslip12 <= main_k7ddrphy_bitslip1_r2[8:1]; + k7ddrphy_bitslip12 <= k7ddrphy_bitslip1_r2[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip12 <= main_k7ddrphy_bitslip1_r2[9:2]; + k7ddrphy_bitslip12 <= k7ddrphy_bitslip1_r2[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip12 <= main_k7ddrphy_bitslip1_r2[10:3]; + k7ddrphy_bitslip12 <= k7ddrphy_bitslip1_r2[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip12 <= main_k7ddrphy_bitslip1_r2[11:4]; + k7ddrphy_bitslip12 <= k7ddrphy_bitslip1_r2[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip12 <= main_k7ddrphy_bitslip1_r2[12:5]; + k7ddrphy_bitslip12 <= k7ddrphy_bitslip1_r2[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip12 <= main_k7ddrphy_bitslip1_r2[13:6]; + k7ddrphy_bitslip12 <= k7ddrphy_bitslip1_r2[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip12 <= main_k7ddrphy_bitslip1_r2[14:7]; + k7ddrphy_bitslip12 <= k7ddrphy_bitslip1_r2[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip12 <= main_k7ddrphy_bitslip1_r2[15:8]; + k7ddrphy_bitslip12 <= k7ddrphy_bitslip1_r2[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip14 <= 8'd0; - case (main_k7ddrphy_bitslip1_value3) + k7ddrphy_bitslip14 <= 8'd0; + case (k7ddrphy_bitslip1_value3) 1'd0: begin - main_k7ddrphy_bitslip14 <= main_k7ddrphy_bitslip1_r3[8:1]; + k7ddrphy_bitslip14 <= k7ddrphy_bitslip1_r3[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip14 <= main_k7ddrphy_bitslip1_r3[9:2]; + k7ddrphy_bitslip14 <= k7ddrphy_bitslip1_r3[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip14 <= main_k7ddrphy_bitslip1_r3[10:3]; + k7ddrphy_bitslip14 <= k7ddrphy_bitslip1_r3[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip14 <= main_k7ddrphy_bitslip1_r3[11:4]; + k7ddrphy_bitslip14 <= k7ddrphy_bitslip1_r3[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip14 <= main_k7ddrphy_bitslip1_r3[12:5]; + k7ddrphy_bitslip14 <= k7ddrphy_bitslip1_r3[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip14 <= main_k7ddrphy_bitslip1_r3[13:6]; + k7ddrphy_bitslip14 <= k7ddrphy_bitslip1_r3[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip14 <= main_k7ddrphy_bitslip1_r3[14:7]; + k7ddrphy_bitslip14 <= k7ddrphy_bitslip1_r3[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip14 <= main_k7ddrphy_bitslip1_r3[15:8]; + k7ddrphy_bitslip14 <= k7ddrphy_bitslip1_r3[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip22 <= 8'd0; - case (main_k7ddrphy_bitslip2_value2) + k7ddrphy_bitslip22 <= 8'd0; + case (k7ddrphy_bitslip2_value2) 1'd0: begin - main_k7ddrphy_bitslip22 <= main_k7ddrphy_bitslip2_r2[8:1]; + k7ddrphy_bitslip22 <= k7ddrphy_bitslip2_r2[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip22 <= main_k7ddrphy_bitslip2_r2[9:2]; + k7ddrphy_bitslip22 <= k7ddrphy_bitslip2_r2[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip22 <= main_k7ddrphy_bitslip2_r2[10:3]; + k7ddrphy_bitslip22 <= k7ddrphy_bitslip2_r2[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip22 <= main_k7ddrphy_bitslip2_r2[11:4]; + k7ddrphy_bitslip22 <= k7ddrphy_bitslip2_r2[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip22 <= main_k7ddrphy_bitslip2_r2[12:5]; + k7ddrphy_bitslip22 <= k7ddrphy_bitslip2_r2[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip22 <= main_k7ddrphy_bitslip2_r2[13:6]; + k7ddrphy_bitslip22 <= k7ddrphy_bitslip2_r2[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip22 <= main_k7ddrphy_bitslip2_r2[14:7]; + k7ddrphy_bitslip22 <= k7ddrphy_bitslip2_r2[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip22 <= main_k7ddrphy_bitslip2_r2[15:8]; + k7ddrphy_bitslip22 <= k7ddrphy_bitslip2_r2[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip24 <= 8'd0; - case (main_k7ddrphy_bitslip2_value3) + k7ddrphy_bitslip24 <= 8'd0; + case (k7ddrphy_bitslip2_value3) 1'd0: begin - main_k7ddrphy_bitslip24 <= main_k7ddrphy_bitslip2_r3[8:1]; + k7ddrphy_bitslip24 <= k7ddrphy_bitslip2_r3[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip24 <= main_k7ddrphy_bitslip2_r3[9:2]; + k7ddrphy_bitslip24 <= k7ddrphy_bitslip2_r3[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip24 <= main_k7ddrphy_bitslip2_r3[10:3]; + k7ddrphy_bitslip24 <= k7ddrphy_bitslip2_r3[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip24 <= main_k7ddrphy_bitslip2_r3[11:4]; + k7ddrphy_bitslip24 <= k7ddrphy_bitslip2_r3[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip24 <= main_k7ddrphy_bitslip2_r3[12:5]; + k7ddrphy_bitslip24 <= k7ddrphy_bitslip2_r3[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip24 <= main_k7ddrphy_bitslip2_r3[13:6]; + k7ddrphy_bitslip24 <= k7ddrphy_bitslip2_r3[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip24 <= main_k7ddrphy_bitslip2_r3[14:7]; + k7ddrphy_bitslip24 <= k7ddrphy_bitslip2_r3[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip24 <= main_k7ddrphy_bitslip2_r3[15:8]; + k7ddrphy_bitslip24 <= k7ddrphy_bitslip2_r3[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip32 <= 8'd0; - case (main_k7ddrphy_bitslip3_value2) + k7ddrphy_bitslip32 <= 8'd0; + case (k7ddrphy_bitslip3_value2) 1'd0: begin - main_k7ddrphy_bitslip32 <= main_k7ddrphy_bitslip3_r2[8:1]; + k7ddrphy_bitslip32 <= k7ddrphy_bitslip3_r2[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip32 <= main_k7ddrphy_bitslip3_r2[9:2]; + k7ddrphy_bitslip32 <= k7ddrphy_bitslip3_r2[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip32 <= main_k7ddrphy_bitslip3_r2[10:3]; + k7ddrphy_bitslip32 <= k7ddrphy_bitslip3_r2[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip32 <= main_k7ddrphy_bitslip3_r2[11:4]; + k7ddrphy_bitslip32 <= k7ddrphy_bitslip3_r2[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip32 <= main_k7ddrphy_bitslip3_r2[12:5]; + k7ddrphy_bitslip32 <= k7ddrphy_bitslip3_r2[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip32 <= main_k7ddrphy_bitslip3_r2[13:6]; + k7ddrphy_bitslip32 <= k7ddrphy_bitslip3_r2[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip32 <= main_k7ddrphy_bitslip3_r2[14:7]; + k7ddrphy_bitslip32 <= k7ddrphy_bitslip3_r2[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip32 <= main_k7ddrphy_bitslip3_r2[15:8]; + k7ddrphy_bitslip32 <= k7ddrphy_bitslip3_r2[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip34 <= 8'd0; - case (main_k7ddrphy_bitslip3_value3) + k7ddrphy_bitslip34 <= 8'd0; + case (k7ddrphy_bitslip3_value3) 1'd0: begin - main_k7ddrphy_bitslip34 <= main_k7ddrphy_bitslip3_r3[8:1]; + k7ddrphy_bitslip34 <= k7ddrphy_bitslip3_r3[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip34 <= main_k7ddrphy_bitslip3_r3[9:2]; + k7ddrphy_bitslip34 <= k7ddrphy_bitslip3_r3[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip34 <= main_k7ddrphy_bitslip3_r3[10:3]; + k7ddrphy_bitslip34 <= k7ddrphy_bitslip3_r3[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip34 <= main_k7ddrphy_bitslip3_r3[11:4]; + k7ddrphy_bitslip34 <= k7ddrphy_bitslip3_r3[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip34 <= main_k7ddrphy_bitslip3_r3[12:5]; + k7ddrphy_bitslip34 <= k7ddrphy_bitslip3_r3[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip34 <= main_k7ddrphy_bitslip3_r3[13:6]; + k7ddrphy_bitslip34 <= k7ddrphy_bitslip3_r3[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip34 <= main_k7ddrphy_bitslip3_r3[14:7]; + k7ddrphy_bitslip34 <= k7ddrphy_bitslip3_r3[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip34 <= main_k7ddrphy_bitslip3_r3[15:8]; + k7ddrphy_bitslip34 <= k7ddrphy_bitslip3_r3[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip40 <= 8'd0; - case (main_k7ddrphy_bitslip4_value0) + k7ddrphy_bitslip40 <= 8'd0; + case (k7ddrphy_bitslip4_value0) 1'd0: begin - main_k7ddrphy_bitslip40 <= main_k7ddrphy_bitslip4_r0[8:1]; + k7ddrphy_bitslip40 <= k7ddrphy_bitslip4_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip40 <= main_k7ddrphy_bitslip4_r0[9:2]; + k7ddrphy_bitslip40 <= k7ddrphy_bitslip4_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip40 <= main_k7ddrphy_bitslip4_r0[10:3]; + k7ddrphy_bitslip40 <= k7ddrphy_bitslip4_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip40 <= main_k7ddrphy_bitslip4_r0[11:4]; + k7ddrphy_bitslip40 <= k7ddrphy_bitslip4_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip40 <= main_k7ddrphy_bitslip4_r0[12:5]; + k7ddrphy_bitslip40 <= k7ddrphy_bitslip4_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip40 <= main_k7ddrphy_bitslip4_r0[13:6]; + k7ddrphy_bitslip40 <= k7ddrphy_bitslip4_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip40 <= main_k7ddrphy_bitslip4_r0[14:7]; + k7ddrphy_bitslip40 <= k7ddrphy_bitslip4_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip40 <= main_k7ddrphy_bitslip4_r0[15:8]; + k7ddrphy_bitslip40 <= k7ddrphy_bitslip4_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip42 <= 8'd0; - case (main_k7ddrphy_bitslip4_value1) + k7ddrphy_bitslip42 <= 8'd0; + case (k7ddrphy_bitslip4_value1) 1'd0: begin - main_k7ddrphy_bitslip42 <= main_k7ddrphy_bitslip4_r1[8:1]; + k7ddrphy_bitslip42 <= k7ddrphy_bitslip4_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip42 <= main_k7ddrphy_bitslip4_r1[9:2]; + k7ddrphy_bitslip42 <= k7ddrphy_bitslip4_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip42 <= main_k7ddrphy_bitslip4_r1[10:3]; + k7ddrphy_bitslip42 <= k7ddrphy_bitslip4_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip42 <= main_k7ddrphy_bitslip4_r1[11:4]; + k7ddrphy_bitslip42 <= k7ddrphy_bitslip4_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip42 <= main_k7ddrphy_bitslip4_r1[12:5]; + k7ddrphy_bitslip42 <= k7ddrphy_bitslip4_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip42 <= main_k7ddrphy_bitslip4_r1[13:6]; + k7ddrphy_bitslip42 <= k7ddrphy_bitslip4_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip42 <= main_k7ddrphy_bitslip4_r1[14:7]; + k7ddrphy_bitslip42 <= k7ddrphy_bitslip4_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip42 <= main_k7ddrphy_bitslip4_r1[15:8]; + k7ddrphy_bitslip42 <= k7ddrphy_bitslip4_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip50 <= 8'd0; - case (main_k7ddrphy_bitslip5_value0) + k7ddrphy_bitslip50 <= 8'd0; + case (k7ddrphy_bitslip5_value0) 1'd0: begin - main_k7ddrphy_bitslip50 <= main_k7ddrphy_bitslip5_r0[8:1]; + k7ddrphy_bitslip50 <= k7ddrphy_bitslip5_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip50 <= main_k7ddrphy_bitslip5_r0[9:2]; + k7ddrphy_bitslip50 <= k7ddrphy_bitslip5_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip50 <= main_k7ddrphy_bitslip5_r0[10:3]; + k7ddrphy_bitslip50 <= k7ddrphy_bitslip5_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip50 <= main_k7ddrphy_bitslip5_r0[11:4]; + k7ddrphy_bitslip50 <= k7ddrphy_bitslip5_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip50 <= main_k7ddrphy_bitslip5_r0[12:5]; + k7ddrphy_bitslip50 <= k7ddrphy_bitslip5_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip50 <= main_k7ddrphy_bitslip5_r0[13:6]; + k7ddrphy_bitslip50 <= k7ddrphy_bitslip5_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip50 <= main_k7ddrphy_bitslip5_r0[14:7]; + k7ddrphy_bitslip50 <= k7ddrphy_bitslip5_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip50 <= main_k7ddrphy_bitslip5_r0[15:8]; + k7ddrphy_bitslip50 <= k7ddrphy_bitslip5_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip52 <= 8'd0; - case (main_k7ddrphy_bitslip5_value1) + k7ddrphy_bitslip52 <= 8'd0; + case (k7ddrphy_bitslip5_value1) 1'd0: begin - main_k7ddrphy_bitslip52 <= main_k7ddrphy_bitslip5_r1[8:1]; + k7ddrphy_bitslip52 <= k7ddrphy_bitslip5_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip52 <= main_k7ddrphy_bitslip5_r1[9:2]; + k7ddrphy_bitslip52 <= k7ddrphy_bitslip5_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip52 <= main_k7ddrphy_bitslip5_r1[10:3]; + k7ddrphy_bitslip52 <= k7ddrphy_bitslip5_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip52 <= main_k7ddrphy_bitslip5_r1[11:4]; + k7ddrphy_bitslip52 <= k7ddrphy_bitslip5_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip52 <= main_k7ddrphy_bitslip5_r1[12:5]; + k7ddrphy_bitslip52 <= k7ddrphy_bitslip5_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip52 <= main_k7ddrphy_bitslip5_r1[13:6]; + k7ddrphy_bitslip52 <= k7ddrphy_bitslip5_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip52 <= main_k7ddrphy_bitslip5_r1[14:7]; + k7ddrphy_bitslip52 <= k7ddrphy_bitslip5_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip52 <= main_k7ddrphy_bitslip5_r1[15:8]; + k7ddrphy_bitslip52 <= k7ddrphy_bitslip5_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip60 <= 8'd0; - case (main_k7ddrphy_bitslip6_value0) + k7ddrphy_bitslip60 <= 8'd0; + case (k7ddrphy_bitslip6_value0) 1'd0: begin - main_k7ddrphy_bitslip60 <= main_k7ddrphy_bitslip6_r0[8:1]; + k7ddrphy_bitslip60 <= k7ddrphy_bitslip6_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip60 <= main_k7ddrphy_bitslip6_r0[9:2]; + k7ddrphy_bitslip60 <= k7ddrphy_bitslip6_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip60 <= main_k7ddrphy_bitslip6_r0[10:3]; + k7ddrphy_bitslip60 <= k7ddrphy_bitslip6_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip60 <= main_k7ddrphy_bitslip6_r0[11:4]; + k7ddrphy_bitslip60 <= k7ddrphy_bitslip6_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip60 <= main_k7ddrphy_bitslip6_r0[12:5]; + k7ddrphy_bitslip60 <= k7ddrphy_bitslip6_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip60 <= main_k7ddrphy_bitslip6_r0[13:6]; + k7ddrphy_bitslip60 <= k7ddrphy_bitslip6_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip60 <= main_k7ddrphy_bitslip6_r0[14:7]; + k7ddrphy_bitslip60 <= k7ddrphy_bitslip6_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip60 <= main_k7ddrphy_bitslip6_r0[15:8]; + k7ddrphy_bitslip60 <= k7ddrphy_bitslip6_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip62 <= 8'd0; - case (main_k7ddrphy_bitslip6_value1) + k7ddrphy_bitslip62 <= 8'd0; + case (k7ddrphy_bitslip6_value1) 1'd0: begin - main_k7ddrphy_bitslip62 <= main_k7ddrphy_bitslip6_r1[8:1]; + k7ddrphy_bitslip62 <= k7ddrphy_bitslip6_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip62 <= main_k7ddrphy_bitslip6_r1[9:2]; + k7ddrphy_bitslip62 <= k7ddrphy_bitslip6_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip62 <= main_k7ddrphy_bitslip6_r1[10:3]; + k7ddrphy_bitslip62 <= k7ddrphy_bitslip6_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip62 <= main_k7ddrphy_bitslip6_r1[11:4]; + k7ddrphy_bitslip62 <= k7ddrphy_bitslip6_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip62 <= main_k7ddrphy_bitslip6_r1[12:5]; + k7ddrphy_bitslip62 <= k7ddrphy_bitslip6_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip62 <= main_k7ddrphy_bitslip6_r1[13:6]; + k7ddrphy_bitslip62 <= k7ddrphy_bitslip6_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip62 <= main_k7ddrphy_bitslip6_r1[14:7]; + k7ddrphy_bitslip62 <= k7ddrphy_bitslip6_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip62 <= main_k7ddrphy_bitslip6_r1[15:8]; + k7ddrphy_bitslip62 <= k7ddrphy_bitslip6_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip70 <= 8'd0; - case (main_k7ddrphy_bitslip7_value0) + k7ddrphy_bitslip70 <= 8'd0; + case (k7ddrphy_bitslip7_value0) 1'd0: begin - main_k7ddrphy_bitslip70 <= main_k7ddrphy_bitslip7_r0[8:1]; + k7ddrphy_bitslip70 <= k7ddrphy_bitslip7_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip70 <= main_k7ddrphy_bitslip7_r0[9:2]; + k7ddrphy_bitslip70 <= k7ddrphy_bitslip7_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip70 <= main_k7ddrphy_bitslip7_r0[10:3]; + k7ddrphy_bitslip70 <= k7ddrphy_bitslip7_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip70 <= main_k7ddrphy_bitslip7_r0[11:4]; + k7ddrphy_bitslip70 <= k7ddrphy_bitslip7_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip70 <= main_k7ddrphy_bitslip7_r0[12:5]; + k7ddrphy_bitslip70 <= k7ddrphy_bitslip7_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip70 <= main_k7ddrphy_bitslip7_r0[13:6]; + k7ddrphy_bitslip70 <= k7ddrphy_bitslip7_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip70 <= main_k7ddrphy_bitslip7_r0[14:7]; + k7ddrphy_bitslip70 <= k7ddrphy_bitslip7_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip70 <= main_k7ddrphy_bitslip7_r0[15:8]; + k7ddrphy_bitslip70 <= k7ddrphy_bitslip7_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip72 <= 8'd0; - case (main_k7ddrphy_bitslip7_value1) + k7ddrphy_bitslip72 <= 8'd0; + case (k7ddrphy_bitslip7_value1) 1'd0: begin - main_k7ddrphy_bitslip72 <= main_k7ddrphy_bitslip7_r1[8:1]; + k7ddrphy_bitslip72 <= k7ddrphy_bitslip7_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip72 <= main_k7ddrphy_bitslip7_r1[9:2]; + k7ddrphy_bitslip72 <= k7ddrphy_bitslip7_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip72 <= main_k7ddrphy_bitslip7_r1[10:3]; + k7ddrphy_bitslip72 <= k7ddrphy_bitslip7_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip72 <= main_k7ddrphy_bitslip7_r1[11:4]; + k7ddrphy_bitslip72 <= k7ddrphy_bitslip7_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip72 <= main_k7ddrphy_bitslip7_r1[12:5]; + k7ddrphy_bitslip72 <= k7ddrphy_bitslip7_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip72 <= main_k7ddrphy_bitslip7_r1[13:6]; + k7ddrphy_bitslip72 <= k7ddrphy_bitslip7_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip72 <= main_k7ddrphy_bitslip7_r1[14:7]; + k7ddrphy_bitslip72 <= k7ddrphy_bitslip7_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip72 <= main_k7ddrphy_bitslip7_r1[15:8]; + k7ddrphy_bitslip72 <= k7ddrphy_bitslip7_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip80 <= 8'd0; - case (main_k7ddrphy_bitslip8_value0) + k7ddrphy_bitslip80 <= 8'd0; + case (k7ddrphy_bitslip8_value0) 1'd0: begin - main_k7ddrphy_bitslip80 <= main_k7ddrphy_bitslip8_r0[8:1]; + k7ddrphy_bitslip80 <= k7ddrphy_bitslip8_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip80 <= main_k7ddrphy_bitslip8_r0[9:2]; + k7ddrphy_bitslip80 <= k7ddrphy_bitslip8_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip80 <= main_k7ddrphy_bitslip8_r0[10:3]; + k7ddrphy_bitslip80 <= k7ddrphy_bitslip8_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip80 <= main_k7ddrphy_bitslip8_r0[11:4]; + k7ddrphy_bitslip80 <= k7ddrphy_bitslip8_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip80 <= main_k7ddrphy_bitslip8_r0[12:5]; + k7ddrphy_bitslip80 <= k7ddrphy_bitslip8_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip80 <= main_k7ddrphy_bitslip8_r0[13:6]; + k7ddrphy_bitslip80 <= k7ddrphy_bitslip8_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip80 <= main_k7ddrphy_bitslip8_r0[14:7]; + k7ddrphy_bitslip80 <= k7ddrphy_bitslip8_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip80 <= main_k7ddrphy_bitslip8_r0[15:8]; + k7ddrphy_bitslip80 <= k7ddrphy_bitslip8_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip82 <= 8'd0; - case (main_k7ddrphy_bitslip8_value1) + k7ddrphy_bitslip82 <= 8'd0; + case (k7ddrphy_bitslip8_value1) 1'd0: begin - main_k7ddrphy_bitslip82 <= main_k7ddrphy_bitslip8_r1[8:1]; + k7ddrphy_bitslip82 <= k7ddrphy_bitslip8_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip82 <= main_k7ddrphy_bitslip8_r1[9:2]; + k7ddrphy_bitslip82 <= k7ddrphy_bitslip8_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip82 <= main_k7ddrphy_bitslip8_r1[10:3]; + k7ddrphy_bitslip82 <= k7ddrphy_bitslip8_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip82 <= main_k7ddrphy_bitslip8_r1[11:4]; + k7ddrphy_bitslip82 <= k7ddrphy_bitslip8_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip82 <= main_k7ddrphy_bitslip8_r1[12:5]; + k7ddrphy_bitslip82 <= k7ddrphy_bitslip8_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip82 <= main_k7ddrphy_bitslip8_r1[13:6]; + k7ddrphy_bitslip82 <= k7ddrphy_bitslip8_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip82 <= main_k7ddrphy_bitslip8_r1[14:7]; + k7ddrphy_bitslip82 <= k7ddrphy_bitslip8_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip82 <= main_k7ddrphy_bitslip8_r1[15:8]; + k7ddrphy_bitslip82 <= k7ddrphy_bitslip8_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip90 <= 8'd0; - case (main_k7ddrphy_bitslip9_value0) + k7ddrphy_bitslip90 <= 8'd0; + case (k7ddrphy_bitslip9_value0) 1'd0: begin - main_k7ddrphy_bitslip90 <= main_k7ddrphy_bitslip9_r0[8:1]; + k7ddrphy_bitslip90 <= k7ddrphy_bitslip9_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip90 <= main_k7ddrphy_bitslip9_r0[9:2]; + k7ddrphy_bitslip90 <= k7ddrphy_bitslip9_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip90 <= main_k7ddrphy_bitslip9_r0[10:3]; + k7ddrphy_bitslip90 <= k7ddrphy_bitslip9_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip90 <= main_k7ddrphy_bitslip9_r0[11:4]; + k7ddrphy_bitslip90 <= k7ddrphy_bitslip9_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip90 <= main_k7ddrphy_bitslip9_r0[12:5]; + k7ddrphy_bitslip90 <= k7ddrphy_bitslip9_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip90 <= main_k7ddrphy_bitslip9_r0[13:6]; + k7ddrphy_bitslip90 <= k7ddrphy_bitslip9_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip90 <= main_k7ddrphy_bitslip9_r0[14:7]; + k7ddrphy_bitslip90 <= k7ddrphy_bitslip9_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip90 <= main_k7ddrphy_bitslip9_r0[15:8]; + k7ddrphy_bitslip90 <= k7ddrphy_bitslip9_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip92 <= 8'd0; - case (main_k7ddrphy_bitslip9_value1) + k7ddrphy_bitslip92 <= 8'd0; + case (k7ddrphy_bitslip9_value1) 1'd0: begin - main_k7ddrphy_bitslip92 <= main_k7ddrphy_bitslip9_r1[8:1]; + k7ddrphy_bitslip92 <= k7ddrphy_bitslip9_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip92 <= main_k7ddrphy_bitslip9_r1[9:2]; + k7ddrphy_bitslip92 <= k7ddrphy_bitslip9_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip92 <= main_k7ddrphy_bitslip9_r1[10:3]; + k7ddrphy_bitslip92 <= k7ddrphy_bitslip9_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip92 <= main_k7ddrphy_bitslip9_r1[11:4]; + k7ddrphy_bitslip92 <= k7ddrphy_bitslip9_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip92 <= main_k7ddrphy_bitslip9_r1[12:5]; + k7ddrphy_bitslip92 <= k7ddrphy_bitslip9_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip92 <= main_k7ddrphy_bitslip9_r1[13:6]; + k7ddrphy_bitslip92 <= k7ddrphy_bitslip9_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip92 <= main_k7ddrphy_bitslip9_r1[14:7]; + k7ddrphy_bitslip92 <= k7ddrphy_bitslip9_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip92 <= main_k7ddrphy_bitslip9_r1[15:8]; + k7ddrphy_bitslip92 <= k7ddrphy_bitslip9_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip100 <= 8'd0; - case (main_k7ddrphy_bitslip10_value0) + k7ddrphy_bitslip100 <= 8'd0; + case (k7ddrphy_bitslip10_value0) 1'd0: begin - main_k7ddrphy_bitslip100 <= main_k7ddrphy_bitslip10_r0[8:1]; + k7ddrphy_bitslip100 <= k7ddrphy_bitslip10_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip100 <= main_k7ddrphy_bitslip10_r0[9:2]; + k7ddrphy_bitslip100 <= k7ddrphy_bitslip10_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip100 <= main_k7ddrphy_bitslip10_r0[10:3]; + k7ddrphy_bitslip100 <= k7ddrphy_bitslip10_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip100 <= main_k7ddrphy_bitslip10_r0[11:4]; + k7ddrphy_bitslip100 <= k7ddrphy_bitslip10_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip100 <= main_k7ddrphy_bitslip10_r0[12:5]; + k7ddrphy_bitslip100 <= k7ddrphy_bitslip10_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip100 <= main_k7ddrphy_bitslip10_r0[13:6]; + k7ddrphy_bitslip100 <= k7ddrphy_bitslip10_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip100 <= main_k7ddrphy_bitslip10_r0[14:7]; + k7ddrphy_bitslip100 <= k7ddrphy_bitslip10_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip100 <= main_k7ddrphy_bitslip10_r0[15:8]; + k7ddrphy_bitslip100 <= k7ddrphy_bitslip10_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip102 <= 8'd0; - case (main_k7ddrphy_bitslip10_value1) + k7ddrphy_bitslip102 <= 8'd0; + case (k7ddrphy_bitslip10_value1) 1'd0: begin - main_k7ddrphy_bitslip102 <= main_k7ddrphy_bitslip10_r1[8:1]; + k7ddrphy_bitslip102 <= k7ddrphy_bitslip10_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip102 <= main_k7ddrphy_bitslip10_r1[9:2]; + k7ddrphy_bitslip102 <= k7ddrphy_bitslip10_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip102 <= main_k7ddrphy_bitslip10_r1[10:3]; + k7ddrphy_bitslip102 <= k7ddrphy_bitslip10_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip102 <= main_k7ddrphy_bitslip10_r1[11:4]; + k7ddrphy_bitslip102 <= k7ddrphy_bitslip10_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip102 <= main_k7ddrphy_bitslip10_r1[12:5]; + k7ddrphy_bitslip102 <= k7ddrphy_bitslip10_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip102 <= main_k7ddrphy_bitslip10_r1[13:6]; + k7ddrphy_bitslip102 <= k7ddrphy_bitslip10_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip102 <= main_k7ddrphy_bitslip10_r1[14:7]; + k7ddrphy_bitslip102 <= k7ddrphy_bitslip10_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip102 <= main_k7ddrphy_bitslip10_r1[15:8]; + k7ddrphy_bitslip102 <= k7ddrphy_bitslip10_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip110 <= 8'd0; - case (main_k7ddrphy_bitslip11_value0) + k7ddrphy_bitslip110 <= 8'd0; + case (k7ddrphy_bitslip11_value0) 1'd0: begin - main_k7ddrphy_bitslip110 <= main_k7ddrphy_bitslip11_r0[8:1]; + k7ddrphy_bitslip110 <= k7ddrphy_bitslip11_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip110 <= main_k7ddrphy_bitslip11_r0[9:2]; + k7ddrphy_bitslip110 <= k7ddrphy_bitslip11_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip110 <= main_k7ddrphy_bitslip11_r0[10:3]; + k7ddrphy_bitslip110 <= k7ddrphy_bitslip11_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip110 <= main_k7ddrphy_bitslip11_r0[11:4]; + k7ddrphy_bitslip110 <= k7ddrphy_bitslip11_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip110 <= main_k7ddrphy_bitslip11_r0[12:5]; + k7ddrphy_bitslip110 <= k7ddrphy_bitslip11_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip110 <= main_k7ddrphy_bitslip11_r0[13:6]; + k7ddrphy_bitslip110 <= k7ddrphy_bitslip11_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip110 <= main_k7ddrphy_bitslip11_r0[14:7]; + k7ddrphy_bitslip110 <= k7ddrphy_bitslip11_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip110 <= main_k7ddrphy_bitslip11_r0[15:8]; + k7ddrphy_bitslip110 <= k7ddrphy_bitslip11_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip112 <= 8'd0; - case (main_k7ddrphy_bitslip11_value1) + k7ddrphy_bitslip112 <= 8'd0; + case (k7ddrphy_bitslip11_value1) 1'd0: begin - main_k7ddrphy_bitslip112 <= main_k7ddrphy_bitslip11_r1[8:1]; + k7ddrphy_bitslip112 <= k7ddrphy_bitslip11_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip112 <= main_k7ddrphy_bitslip11_r1[9:2]; + k7ddrphy_bitslip112 <= k7ddrphy_bitslip11_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip112 <= main_k7ddrphy_bitslip11_r1[10:3]; + k7ddrphy_bitslip112 <= k7ddrphy_bitslip11_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip112 <= main_k7ddrphy_bitslip11_r1[11:4]; + k7ddrphy_bitslip112 <= k7ddrphy_bitslip11_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip112 <= main_k7ddrphy_bitslip11_r1[12:5]; + k7ddrphy_bitslip112 <= k7ddrphy_bitslip11_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip112 <= main_k7ddrphy_bitslip11_r1[13:6]; + k7ddrphy_bitslip112 <= k7ddrphy_bitslip11_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip112 <= main_k7ddrphy_bitslip11_r1[14:7]; + k7ddrphy_bitslip112 <= k7ddrphy_bitslip11_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip112 <= main_k7ddrphy_bitslip11_r1[15:8]; + k7ddrphy_bitslip112 <= k7ddrphy_bitslip11_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip120 <= 8'd0; - case (main_k7ddrphy_bitslip12_value0) + k7ddrphy_bitslip120 <= 8'd0; + case (k7ddrphy_bitslip12_value0) 1'd0: begin - main_k7ddrphy_bitslip120 <= main_k7ddrphy_bitslip12_r0[8:1]; + k7ddrphy_bitslip120 <= k7ddrphy_bitslip12_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip120 <= main_k7ddrphy_bitslip12_r0[9:2]; + k7ddrphy_bitslip120 <= k7ddrphy_bitslip12_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip120 <= main_k7ddrphy_bitslip12_r0[10:3]; + k7ddrphy_bitslip120 <= k7ddrphy_bitslip12_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip120 <= main_k7ddrphy_bitslip12_r0[11:4]; + k7ddrphy_bitslip120 <= k7ddrphy_bitslip12_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip120 <= main_k7ddrphy_bitslip12_r0[12:5]; + k7ddrphy_bitslip120 <= k7ddrphy_bitslip12_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip120 <= main_k7ddrphy_bitslip12_r0[13:6]; + k7ddrphy_bitslip120 <= k7ddrphy_bitslip12_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip120 <= main_k7ddrphy_bitslip12_r0[14:7]; + k7ddrphy_bitslip120 <= k7ddrphy_bitslip12_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip120 <= main_k7ddrphy_bitslip12_r0[15:8]; + k7ddrphy_bitslip120 <= k7ddrphy_bitslip12_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip122 <= 8'd0; - case (main_k7ddrphy_bitslip12_value1) + k7ddrphy_bitslip122 <= 8'd0; + case (k7ddrphy_bitslip12_value1) 1'd0: begin - main_k7ddrphy_bitslip122 <= main_k7ddrphy_bitslip12_r1[8:1]; + k7ddrphy_bitslip122 <= k7ddrphy_bitslip12_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip122 <= main_k7ddrphy_bitslip12_r1[9:2]; + k7ddrphy_bitslip122 <= k7ddrphy_bitslip12_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip122 <= main_k7ddrphy_bitslip12_r1[10:3]; + k7ddrphy_bitslip122 <= k7ddrphy_bitslip12_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip122 <= main_k7ddrphy_bitslip12_r1[11:4]; + k7ddrphy_bitslip122 <= k7ddrphy_bitslip12_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip122 <= main_k7ddrphy_bitslip12_r1[12:5]; + k7ddrphy_bitslip122 <= k7ddrphy_bitslip12_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip122 <= main_k7ddrphy_bitslip12_r1[13:6]; + k7ddrphy_bitslip122 <= k7ddrphy_bitslip12_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip122 <= main_k7ddrphy_bitslip12_r1[14:7]; + k7ddrphy_bitslip122 <= k7ddrphy_bitslip12_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip122 <= main_k7ddrphy_bitslip12_r1[15:8]; + k7ddrphy_bitslip122 <= k7ddrphy_bitslip12_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip130 <= 8'd0; - case (main_k7ddrphy_bitslip13_value0) + k7ddrphy_bitslip130 <= 8'd0; + case (k7ddrphy_bitslip13_value0) 1'd0: begin - main_k7ddrphy_bitslip130 <= main_k7ddrphy_bitslip13_r0[8:1]; + k7ddrphy_bitslip130 <= k7ddrphy_bitslip13_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip130 <= main_k7ddrphy_bitslip13_r0[9:2]; + k7ddrphy_bitslip130 <= k7ddrphy_bitslip13_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip130 <= main_k7ddrphy_bitslip13_r0[10:3]; + k7ddrphy_bitslip130 <= k7ddrphy_bitslip13_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip130 <= main_k7ddrphy_bitslip13_r0[11:4]; + k7ddrphy_bitslip130 <= k7ddrphy_bitslip13_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip130 <= main_k7ddrphy_bitslip13_r0[12:5]; + k7ddrphy_bitslip130 <= k7ddrphy_bitslip13_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip130 <= main_k7ddrphy_bitslip13_r0[13:6]; + k7ddrphy_bitslip130 <= k7ddrphy_bitslip13_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip130 <= main_k7ddrphy_bitslip13_r0[14:7]; + k7ddrphy_bitslip130 <= k7ddrphy_bitslip13_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip130 <= main_k7ddrphy_bitslip13_r0[15:8]; + k7ddrphy_bitslip130 <= k7ddrphy_bitslip13_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip132 <= 8'd0; - case (main_k7ddrphy_bitslip13_value1) + k7ddrphy_bitslip132 <= 8'd0; + case (k7ddrphy_bitslip13_value1) 1'd0: begin - main_k7ddrphy_bitslip132 <= main_k7ddrphy_bitslip13_r1[8:1]; + k7ddrphy_bitslip132 <= k7ddrphy_bitslip13_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip132 <= main_k7ddrphy_bitslip13_r1[9:2]; + k7ddrphy_bitslip132 <= k7ddrphy_bitslip13_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip132 <= main_k7ddrphy_bitslip13_r1[10:3]; + k7ddrphy_bitslip132 <= k7ddrphy_bitslip13_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip132 <= main_k7ddrphy_bitslip13_r1[11:4]; + k7ddrphy_bitslip132 <= k7ddrphy_bitslip13_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip132 <= main_k7ddrphy_bitslip13_r1[12:5]; + k7ddrphy_bitslip132 <= k7ddrphy_bitslip13_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip132 <= main_k7ddrphy_bitslip13_r1[13:6]; + k7ddrphy_bitslip132 <= k7ddrphy_bitslip13_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip132 <= main_k7ddrphy_bitslip13_r1[14:7]; + k7ddrphy_bitslip132 <= k7ddrphy_bitslip13_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip132 <= main_k7ddrphy_bitslip13_r1[15:8]; + k7ddrphy_bitslip132 <= k7ddrphy_bitslip13_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip140 <= 8'd0; - case (main_k7ddrphy_bitslip14_value0) + k7ddrphy_bitslip140 <= 8'd0; + case (k7ddrphy_bitslip14_value0) 1'd0: begin - main_k7ddrphy_bitslip140 <= main_k7ddrphy_bitslip14_r0[8:1]; + k7ddrphy_bitslip140 <= k7ddrphy_bitslip14_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip140 <= main_k7ddrphy_bitslip14_r0[9:2]; + k7ddrphy_bitslip140 <= k7ddrphy_bitslip14_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip140 <= main_k7ddrphy_bitslip14_r0[10:3]; + k7ddrphy_bitslip140 <= k7ddrphy_bitslip14_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip140 <= main_k7ddrphy_bitslip14_r0[11:4]; + k7ddrphy_bitslip140 <= k7ddrphy_bitslip14_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip140 <= main_k7ddrphy_bitslip14_r0[12:5]; + k7ddrphy_bitslip140 <= k7ddrphy_bitslip14_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip140 <= main_k7ddrphy_bitslip14_r0[13:6]; + k7ddrphy_bitslip140 <= k7ddrphy_bitslip14_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip140 <= main_k7ddrphy_bitslip14_r0[14:7]; + k7ddrphy_bitslip140 <= k7ddrphy_bitslip14_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip140 <= main_k7ddrphy_bitslip14_r0[15:8]; + k7ddrphy_bitslip140 <= k7ddrphy_bitslip14_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip142 <= 8'd0; - case (main_k7ddrphy_bitslip14_value1) + k7ddrphy_bitslip142 <= 8'd0; + case (k7ddrphy_bitslip14_value1) 1'd0: begin - main_k7ddrphy_bitslip142 <= main_k7ddrphy_bitslip14_r1[8:1]; + k7ddrphy_bitslip142 <= k7ddrphy_bitslip14_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip142 <= main_k7ddrphy_bitslip14_r1[9:2]; + k7ddrphy_bitslip142 <= k7ddrphy_bitslip14_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip142 <= main_k7ddrphy_bitslip14_r1[10:3]; + k7ddrphy_bitslip142 <= k7ddrphy_bitslip14_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip142 <= main_k7ddrphy_bitslip14_r1[11:4]; + k7ddrphy_bitslip142 <= k7ddrphy_bitslip14_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip142 <= main_k7ddrphy_bitslip14_r1[12:5]; + k7ddrphy_bitslip142 <= k7ddrphy_bitslip14_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip142 <= main_k7ddrphy_bitslip14_r1[13:6]; + k7ddrphy_bitslip142 <= k7ddrphy_bitslip14_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip142 <= main_k7ddrphy_bitslip14_r1[14:7]; + k7ddrphy_bitslip142 <= k7ddrphy_bitslip14_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip142 <= main_k7ddrphy_bitslip14_r1[15:8]; + k7ddrphy_bitslip142 <= k7ddrphy_bitslip14_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip150 <= 8'd0; - case (main_k7ddrphy_bitslip15_value0) + k7ddrphy_bitslip150 <= 8'd0; + case (k7ddrphy_bitslip15_value0) 1'd0: begin - main_k7ddrphy_bitslip150 <= main_k7ddrphy_bitslip15_r0[8:1]; + k7ddrphy_bitslip150 <= k7ddrphy_bitslip15_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip150 <= main_k7ddrphy_bitslip15_r0[9:2]; + k7ddrphy_bitslip150 <= k7ddrphy_bitslip15_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip150 <= main_k7ddrphy_bitslip15_r0[10:3]; + k7ddrphy_bitslip150 <= k7ddrphy_bitslip15_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip150 <= main_k7ddrphy_bitslip15_r0[11:4]; + k7ddrphy_bitslip150 <= k7ddrphy_bitslip15_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip150 <= main_k7ddrphy_bitslip15_r0[12:5]; + k7ddrphy_bitslip150 <= k7ddrphy_bitslip15_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip150 <= main_k7ddrphy_bitslip15_r0[13:6]; + k7ddrphy_bitslip150 <= k7ddrphy_bitslip15_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip150 <= main_k7ddrphy_bitslip15_r0[14:7]; + k7ddrphy_bitslip150 <= k7ddrphy_bitslip15_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip150 <= main_k7ddrphy_bitslip15_r0[15:8]; + k7ddrphy_bitslip150 <= k7ddrphy_bitslip15_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip152 <= 8'd0; - case (main_k7ddrphy_bitslip15_value1) + k7ddrphy_bitslip152 <= 8'd0; + case (k7ddrphy_bitslip15_value1) 1'd0: begin - main_k7ddrphy_bitslip152 <= main_k7ddrphy_bitslip15_r1[8:1]; + k7ddrphy_bitslip152 <= k7ddrphy_bitslip15_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip152 <= main_k7ddrphy_bitslip15_r1[9:2]; + k7ddrphy_bitslip152 <= k7ddrphy_bitslip15_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip152 <= main_k7ddrphy_bitslip15_r1[10:3]; + k7ddrphy_bitslip152 <= k7ddrphy_bitslip15_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip152 <= main_k7ddrphy_bitslip15_r1[11:4]; + k7ddrphy_bitslip152 <= k7ddrphy_bitslip15_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip152 <= main_k7ddrphy_bitslip15_r1[12:5]; + k7ddrphy_bitslip152 <= k7ddrphy_bitslip15_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip152 <= main_k7ddrphy_bitslip15_r1[13:6]; + k7ddrphy_bitslip152 <= k7ddrphy_bitslip15_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip152 <= main_k7ddrphy_bitslip15_r1[14:7]; + k7ddrphy_bitslip152 <= k7ddrphy_bitslip15_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip152 <= main_k7ddrphy_bitslip15_r1[15:8]; + k7ddrphy_bitslip152 <= k7ddrphy_bitslip15_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip160 <= 8'd0; - case (main_k7ddrphy_bitslip16_value0) + k7ddrphy_bitslip160 <= 8'd0; + case (k7ddrphy_bitslip16_value0) 1'd0: begin - main_k7ddrphy_bitslip160 <= main_k7ddrphy_bitslip16_r0[8:1]; + k7ddrphy_bitslip160 <= k7ddrphy_bitslip16_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip160 <= main_k7ddrphy_bitslip16_r0[9:2]; + k7ddrphy_bitslip160 <= k7ddrphy_bitslip16_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip160 <= main_k7ddrphy_bitslip16_r0[10:3]; + k7ddrphy_bitslip160 <= k7ddrphy_bitslip16_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip160 <= main_k7ddrphy_bitslip16_r0[11:4]; + k7ddrphy_bitslip160 <= k7ddrphy_bitslip16_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip160 <= main_k7ddrphy_bitslip16_r0[12:5]; + k7ddrphy_bitslip160 <= k7ddrphy_bitslip16_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip160 <= main_k7ddrphy_bitslip16_r0[13:6]; + k7ddrphy_bitslip160 <= k7ddrphy_bitslip16_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip160 <= main_k7ddrphy_bitslip16_r0[14:7]; + k7ddrphy_bitslip160 <= k7ddrphy_bitslip16_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip160 <= main_k7ddrphy_bitslip16_r0[15:8]; + k7ddrphy_bitslip160 <= k7ddrphy_bitslip16_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip162 <= 8'd0; - case (main_k7ddrphy_bitslip16_value1) + k7ddrphy_bitslip162 <= 8'd0; + case (k7ddrphy_bitslip16_value1) 1'd0: begin - main_k7ddrphy_bitslip162 <= main_k7ddrphy_bitslip16_r1[8:1]; + k7ddrphy_bitslip162 <= k7ddrphy_bitslip16_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip162 <= main_k7ddrphy_bitslip16_r1[9:2]; + k7ddrphy_bitslip162 <= k7ddrphy_bitslip16_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip162 <= main_k7ddrphy_bitslip16_r1[10:3]; + k7ddrphy_bitslip162 <= k7ddrphy_bitslip16_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip162 <= main_k7ddrphy_bitslip16_r1[11:4]; + k7ddrphy_bitslip162 <= k7ddrphy_bitslip16_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip162 <= main_k7ddrphy_bitslip16_r1[12:5]; + k7ddrphy_bitslip162 <= k7ddrphy_bitslip16_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip162 <= main_k7ddrphy_bitslip16_r1[13:6]; + k7ddrphy_bitslip162 <= k7ddrphy_bitslip16_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip162 <= main_k7ddrphy_bitslip16_r1[14:7]; + k7ddrphy_bitslip162 <= k7ddrphy_bitslip16_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip162 <= main_k7ddrphy_bitslip16_r1[15:8]; + k7ddrphy_bitslip162 <= k7ddrphy_bitslip16_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip170 <= 8'd0; - case (main_k7ddrphy_bitslip17_value0) + k7ddrphy_bitslip170 <= 8'd0; + case (k7ddrphy_bitslip17_value0) 1'd0: begin - main_k7ddrphy_bitslip170 <= main_k7ddrphy_bitslip17_r0[8:1]; + k7ddrphy_bitslip170 <= k7ddrphy_bitslip17_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip170 <= main_k7ddrphy_bitslip17_r0[9:2]; + k7ddrphy_bitslip170 <= k7ddrphy_bitslip17_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip170 <= main_k7ddrphy_bitslip17_r0[10:3]; + k7ddrphy_bitslip170 <= k7ddrphy_bitslip17_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip170 <= main_k7ddrphy_bitslip17_r0[11:4]; + k7ddrphy_bitslip170 <= k7ddrphy_bitslip17_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip170 <= main_k7ddrphy_bitslip17_r0[12:5]; + k7ddrphy_bitslip170 <= k7ddrphy_bitslip17_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip170 <= main_k7ddrphy_bitslip17_r0[13:6]; + k7ddrphy_bitslip170 <= k7ddrphy_bitslip17_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip170 <= main_k7ddrphy_bitslip17_r0[14:7]; + k7ddrphy_bitslip170 <= k7ddrphy_bitslip17_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip170 <= main_k7ddrphy_bitslip17_r0[15:8]; + k7ddrphy_bitslip170 <= k7ddrphy_bitslip17_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip172 <= 8'd0; - case (main_k7ddrphy_bitslip17_value1) + k7ddrphy_bitslip172 <= 8'd0; + case (k7ddrphy_bitslip17_value1) 1'd0: begin - main_k7ddrphy_bitslip172 <= main_k7ddrphy_bitslip17_r1[8:1]; + k7ddrphy_bitslip172 <= k7ddrphy_bitslip17_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip172 <= main_k7ddrphy_bitslip17_r1[9:2]; + k7ddrphy_bitslip172 <= k7ddrphy_bitslip17_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip172 <= main_k7ddrphy_bitslip17_r1[10:3]; + k7ddrphy_bitslip172 <= k7ddrphy_bitslip17_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip172 <= main_k7ddrphy_bitslip17_r1[11:4]; + k7ddrphy_bitslip172 <= k7ddrphy_bitslip17_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip172 <= main_k7ddrphy_bitslip17_r1[12:5]; + k7ddrphy_bitslip172 <= k7ddrphy_bitslip17_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip172 <= main_k7ddrphy_bitslip17_r1[13:6]; + k7ddrphy_bitslip172 <= k7ddrphy_bitslip17_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip172 <= main_k7ddrphy_bitslip17_r1[14:7]; + k7ddrphy_bitslip172 <= k7ddrphy_bitslip17_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip172 <= main_k7ddrphy_bitslip17_r1[15:8]; + k7ddrphy_bitslip172 <= k7ddrphy_bitslip17_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip180 <= 8'd0; - case (main_k7ddrphy_bitslip18_value0) + k7ddrphy_bitslip180 <= 8'd0; + case (k7ddrphy_bitslip18_value0) 1'd0: begin - main_k7ddrphy_bitslip180 <= main_k7ddrphy_bitslip18_r0[8:1]; + k7ddrphy_bitslip180 <= k7ddrphy_bitslip18_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip180 <= main_k7ddrphy_bitslip18_r0[9:2]; + k7ddrphy_bitslip180 <= k7ddrphy_bitslip18_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip180 <= main_k7ddrphy_bitslip18_r0[10:3]; + k7ddrphy_bitslip180 <= k7ddrphy_bitslip18_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip180 <= main_k7ddrphy_bitslip18_r0[11:4]; + k7ddrphy_bitslip180 <= k7ddrphy_bitslip18_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip180 <= main_k7ddrphy_bitslip18_r0[12:5]; + k7ddrphy_bitslip180 <= k7ddrphy_bitslip18_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip180 <= main_k7ddrphy_bitslip18_r0[13:6]; + k7ddrphy_bitslip180 <= k7ddrphy_bitslip18_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip180 <= main_k7ddrphy_bitslip18_r0[14:7]; + k7ddrphy_bitslip180 <= k7ddrphy_bitslip18_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip180 <= main_k7ddrphy_bitslip18_r0[15:8]; + k7ddrphy_bitslip180 <= k7ddrphy_bitslip18_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip182 <= 8'd0; - case (main_k7ddrphy_bitslip18_value1) + k7ddrphy_bitslip182 <= 8'd0; + case (k7ddrphy_bitslip18_value1) 1'd0: begin - main_k7ddrphy_bitslip182 <= main_k7ddrphy_bitslip18_r1[8:1]; + k7ddrphy_bitslip182 <= k7ddrphy_bitslip18_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip182 <= main_k7ddrphy_bitslip18_r1[9:2]; + k7ddrphy_bitslip182 <= k7ddrphy_bitslip18_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip182 <= main_k7ddrphy_bitslip18_r1[10:3]; + k7ddrphy_bitslip182 <= k7ddrphy_bitslip18_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip182 <= main_k7ddrphy_bitslip18_r1[11:4]; + k7ddrphy_bitslip182 <= k7ddrphy_bitslip18_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip182 <= main_k7ddrphy_bitslip18_r1[12:5]; + k7ddrphy_bitslip182 <= k7ddrphy_bitslip18_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip182 <= main_k7ddrphy_bitslip18_r1[13:6]; + k7ddrphy_bitslip182 <= k7ddrphy_bitslip18_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip182 <= main_k7ddrphy_bitslip18_r1[14:7]; + k7ddrphy_bitslip182 <= k7ddrphy_bitslip18_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip182 <= main_k7ddrphy_bitslip18_r1[15:8]; + k7ddrphy_bitslip182 <= k7ddrphy_bitslip18_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip190 <= 8'd0; - case (main_k7ddrphy_bitslip19_value0) + k7ddrphy_bitslip190 <= 8'd0; + case (k7ddrphy_bitslip19_value0) 1'd0: begin - main_k7ddrphy_bitslip190 <= main_k7ddrphy_bitslip19_r0[8:1]; + k7ddrphy_bitslip190 <= k7ddrphy_bitslip19_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip190 <= main_k7ddrphy_bitslip19_r0[9:2]; + k7ddrphy_bitslip190 <= k7ddrphy_bitslip19_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip190 <= main_k7ddrphy_bitslip19_r0[10:3]; + k7ddrphy_bitslip190 <= k7ddrphy_bitslip19_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip190 <= main_k7ddrphy_bitslip19_r0[11:4]; + k7ddrphy_bitslip190 <= k7ddrphy_bitslip19_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip190 <= main_k7ddrphy_bitslip19_r0[12:5]; + k7ddrphy_bitslip190 <= k7ddrphy_bitslip19_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip190 <= main_k7ddrphy_bitslip19_r0[13:6]; + k7ddrphy_bitslip190 <= k7ddrphy_bitslip19_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip190 <= main_k7ddrphy_bitslip19_r0[14:7]; + k7ddrphy_bitslip190 <= k7ddrphy_bitslip19_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip190 <= main_k7ddrphy_bitslip19_r0[15:8]; + k7ddrphy_bitslip190 <= k7ddrphy_bitslip19_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip192 <= 8'd0; - case (main_k7ddrphy_bitslip19_value1) + k7ddrphy_bitslip192 <= 8'd0; + case (k7ddrphy_bitslip19_value1) 1'd0: begin - main_k7ddrphy_bitslip192 <= main_k7ddrphy_bitslip19_r1[8:1]; + k7ddrphy_bitslip192 <= k7ddrphy_bitslip19_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip192 <= main_k7ddrphy_bitslip19_r1[9:2]; + k7ddrphy_bitslip192 <= k7ddrphy_bitslip19_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip192 <= main_k7ddrphy_bitslip19_r1[10:3]; + k7ddrphy_bitslip192 <= k7ddrphy_bitslip19_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip192 <= main_k7ddrphy_bitslip19_r1[11:4]; + k7ddrphy_bitslip192 <= k7ddrphy_bitslip19_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip192 <= main_k7ddrphy_bitslip19_r1[12:5]; + k7ddrphy_bitslip192 <= k7ddrphy_bitslip19_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip192 <= main_k7ddrphy_bitslip19_r1[13:6]; + k7ddrphy_bitslip192 <= k7ddrphy_bitslip19_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip192 <= main_k7ddrphy_bitslip19_r1[14:7]; + k7ddrphy_bitslip192 <= k7ddrphy_bitslip19_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip192 <= main_k7ddrphy_bitslip19_r1[15:8]; + k7ddrphy_bitslip192 <= k7ddrphy_bitslip19_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip200 <= 8'd0; - case (main_k7ddrphy_bitslip20_value0) + k7ddrphy_bitslip200 <= 8'd0; + case (k7ddrphy_bitslip20_value0) 1'd0: begin - main_k7ddrphy_bitslip200 <= main_k7ddrphy_bitslip20_r0[8:1]; + k7ddrphy_bitslip200 <= k7ddrphy_bitslip20_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip200 <= main_k7ddrphy_bitslip20_r0[9:2]; + k7ddrphy_bitslip200 <= k7ddrphy_bitslip20_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip200 <= main_k7ddrphy_bitslip20_r0[10:3]; + k7ddrphy_bitslip200 <= k7ddrphy_bitslip20_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip200 <= main_k7ddrphy_bitslip20_r0[11:4]; + k7ddrphy_bitslip200 <= k7ddrphy_bitslip20_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip200 <= main_k7ddrphy_bitslip20_r0[12:5]; + k7ddrphy_bitslip200 <= k7ddrphy_bitslip20_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip200 <= main_k7ddrphy_bitslip20_r0[13:6]; + k7ddrphy_bitslip200 <= k7ddrphy_bitslip20_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip200 <= main_k7ddrphy_bitslip20_r0[14:7]; + k7ddrphy_bitslip200 <= k7ddrphy_bitslip20_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip200 <= main_k7ddrphy_bitslip20_r0[15:8]; + k7ddrphy_bitslip200 <= k7ddrphy_bitslip20_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip202 <= 8'd0; - case (main_k7ddrphy_bitslip20_value1) + k7ddrphy_bitslip202 <= 8'd0; + case (k7ddrphy_bitslip20_value1) 1'd0: begin - main_k7ddrphy_bitslip202 <= main_k7ddrphy_bitslip20_r1[8:1]; + k7ddrphy_bitslip202 <= k7ddrphy_bitslip20_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip202 <= main_k7ddrphy_bitslip20_r1[9:2]; + k7ddrphy_bitslip202 <= k7ddrphy_bitslip20_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip202 <= main_k7ddrphy_bitslip20_r1[10:3]; + k7ddrphy_bitslip202 <= k7ddrphy_bitslip20_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip202 <= main_k7ddrphy_bitslip20_r1[11:4]; + k7ddrphy_bitslip202 <= k7ddrphy_bitslip20_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip202 <= main_k7ddrphy_bitslip20_r1[12:5]; + k7ddrphy_bitslip202 <= k7ddrphy_bitslip20_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip202 <= main_k7ddrphy_bitslip20_r1[13:6]; + k7ddrphy_bitslip202 <= k7ddrphy_bitslip20_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip202 <= main_k7ddrphy_bitslip20_r1[14:7]; + k7ddrphy_bitslip202 <= k7ddrphy_bitslip20_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip202 <= main_k7ddrphy_bitslip20_r1[15:8]; + k7ddrphy_bitslip202 <= k7ddrphy_bitslip20_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip210 <= 8'd0; - case (main_k7ddrphy_bitslip21_value0) + k7ddrphy_bitslip210 <= 8'd0; + case (k7ddrphy_bitslip21_value0) 1'd0: begin - main_k7ddrphy_bitslip210 <= main_k7ddrphy_bitslip21_r0[8:1]; + k7ddrphy_bitslip210 <= k7ddrphy_bitslip21_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip210 <= main_k7ddrphy_bitslip21_r0[9:2]; + k7ddrphy_bitslip210 <= k7ddrphy_bitslip21_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip210 <= main_k7ddrphy_bitslip21_r0[10:3]; + k7ddrphy_bitslip210 <= k7ddrphy_bitslip21_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip210 <= main_k7ddrphy_bitslip21_r0[11:4]; + k7ddrphy_bitslip210 <= k7ddrphy_bitslip21_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip210 <= main_k7ddrphy_bitslip21_r0[12:5]; + k7ddrphy_bitslip210 <= k7ddrphy_bitslip21_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip210 <= main_k7ddrphy_bitslip21_r0[13:6]; + k7ddrphy_bitslip210 <= k7ddrphy_bitslip21_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip210 <= main_k7ddrphy_bitslip21_r0[14:7]; + k7ddrphy_bitslip210 <= k7ddrphy_bitslip21_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip210 <= main_k7ddrphy_bitslip21_r0[15:8]; + k7ddrphy_bitslip210 <= k7ddrphy_bitslip21_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip212 <= 8'd0; - case (main_k7ddrphy_bitslip21_value1) + k7ddrphy_bitslip212 <= 8'd0; + case (k7ddrphy_bitslip21_value1) 1'd0: begin - main_k7ddrphy_bitslip212 <= main_k7ddrphy_bitslip21_r1[8:1]; + k7ddrphy_bitslip212 <= k7ddrphy_bitslip21_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip212 <= main_k7ddrphy_bitslip21_r1[9:2]; + k7ddrphy_bitslip212 <= k7ddrphy_bitslip21_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip212 <= main_k7ddrphy_bitslip21_r1[10:3]; + k7ddrphy_bitslip212 <= k7ddrphy_bitslip21_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip212 <= main_k7ddrphy_bitslip21_r1[11:4]; + k7ddrphy_bitslip212 <= k7ddrphy_bitslip21_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip212 <= main_k7ddrphy_bitslip21_r1[12:5]; + k7ddrphy_bitslip212 <= k7ddrphy_bitslip21_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip212 <= main_k7ddrphy_bitslip21_r1[13:6]; + k7ddrphy_bitslip212 <= k7ddrphy_bitslip21_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip212 <= main_k7ddrphy_bitslip21_r1[14:7]; + k7ddrphy_bitslip212 <= k7ddrphy_bitslip21_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip212 <= main_k7ddrphy_bitslip21_r1[15:8]; + k7ddrphy_bitslip212 <= k7ddrphy_bitslip21_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip220 <= 8'd0; - case (main_k7ddrphy_bitslip22_value0) + k7ddrphy_bitslip220 <= 8'd0; + case (k7ddrphy_bitslip22_value0) 1'd0: begin - main_k7ddrphy_bitslip220 <= main_k7ddrphy_bitslip22_r0[8:1]; + k7ddrphy_bitslip220 <= k7ddrphy_bitslip22_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip220 <= main_k7ddrphy_bitslip22_r0[9:2]; + k7ddrphy_bitslip220 <= k7ddrphy_bitslip22_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip220 <= main_k7ddrphy_bitslip22_r0[10:3]; + k7ddrphy_bitslip220 <= k7ddrphy_bitslip22_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip220 <= main_k7ddrphy_bitslip22_r0[11:4]; + k7ddrphy_bitslip220 <= k7ddrphy_bitslip22_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip220 <= main_k7ddrphy_bitslip22_r0[12:5]; + k7ddrphy_bitslip220 <= k7ddrphy_bitslip22_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip220 <= main_k7ddrphy_bitslip22_r0[13:6]; + k7ddrphy_bitslip220 <= k7ddrphy_bitslip22_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip220 <= main_k7ddrphy_bitslip22_r0[14:7]; + k7ddrphy_bitslip220 <= k7ddrphy_bitslip22_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip220 <= main_k7ddrphy_bitslip22_r0[15:8]; + k7ddrphy_bitslip220 <= k7ddrphy_bitslip22_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip222 <= 8'd0; - case (main_k7ddrphy_bitslip22_value1) + k7ddrphy_bitslip222 <= 8'd0; + case (k7ddrphy_bitslip22_value1) 1'd0: begin - main_k7ddrphy_bitslip222 <= main_k7ddrphy_bitslip22_r1[8:1]; + k7ddrphy_bitslip222 <= k7ddrphy_bitslip22_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip222 <= main_k7ddrphy_bitslip22_r1[9:2]; + k7ddrphy_bitslip222 <= k7ddrphy_bitslip22_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip222 <= main_k7ddrphy_bitslip22_r1[10:3]; + k7ddrphy_bitslip222 <= k7ddrphy_bitslip22_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip222 <= main_k7ddrphy_bitslip22_r1[11:4]; + k7ddrphy_bitslip222 <= k7ddrphy_bitslip22_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip222 <= main_k7ddrphy_bitslip22_r1[12:5]; + k7ddrphy_bitslip222 <= k7ddrphy_bitslip22_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip222 <= main_k7ddrphy_bitslip22_r1[13:6]; + k7ddrphy_bitslip222 <= k7ddrphy_bitslip22_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip222 <= main_k7ddrphy_bitslip22_r1[14:7]; + k7ddrphy_bitslip222 <= k7ddrphy_bitslip22_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip222 <= main_k7ddrphy_bitslip22_r1[15:8]; + k7ddrphy_bitslip222 <= k7ddrphy_bitslip22_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip230 <= 8'd0; - case (main_k7ddrphy_bitslip23_value0) + k7ddrphy_bitslip230 <= 8'd0; + case (k7ddrphy_bitslip23_value0) 1'd0: begin - main_k7ddrphy_bitslip230 <= main_k7ddrphy_bitslip23_r0[8:1]; + k7ddrphy_bitslip230 <= k7ddrphy_bitslip23_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip230 <= main_k7ddrphy_bitslip23_r0[9:2]; + k7ddrphy_bitslip230 <= k7ddrphy_bitslip23_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip230 <= main_k7ddrphy_bitslip23_r0[10:3]; + k7ddrphy_bitslip230 <= k7ddrphy_bitslip23_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip230 <= main_k7ddrphy_bitslip23_r0[11:4]; + k7ddrphy_bitslip230 <= k7ddrphy_bitslip23_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip230 <= main_k7ddrphy_bitslip23_r0[12:5]; + k7ddrphy_bitslip230 <= k7ddrphy_bitslip23_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip230 <= main_k7ddrphy_bitslip23_r0[13:6]; + k7ddrphy_bitslip230 <= k7ddrphy_bitslip23_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip230 <= main_k7ddrphy_bitslip23_r0[14:7]; + k7ddrphy_bitslip230 <= k7ddrphy_bitslip23_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip230 <= main_k7ddrphy_bitslip23_r0[15:8]; + k7ddrphy_bitslip230 <= k7ddrphy_bitslip23_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip232 <= 8'd0; - case (main_k7ddrphy_bitslip23_value1) + k7ddrphy_bitslip232 <= 8'd0; + case (k7ddrphy_bitslip23_value1) 1'd0: begin - main_k7ddrphy_bitslip232 <= main_k7ddrphy_bitslip23_r1[8:1]; + k7ddrphy_bitslip232 <= k7ddrphy_bitslip23_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip232 <= main_k7ddrphy_bitslip23_r1[9:2]; + k7ddrphy_bitslip232 <= k7ddrphy_bitslip23_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip232 <= main_k7ddrphy_bitslip23_r1[10:3]; + k7ddrphy_bitslip232 <= k7ddrphy_bitslip23_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip232 <= main_k7ddrphy_bitslip23_r1[11:4]; + k7ddrphy_bitslip232 <= k7ddrphy_bitslip23_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip232 <= main_k7ddrphy_bitslip23_r1[12:5]; + k7ddrphy_bitslip232 <= k7ddrphy_bitslip23_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip232 <= main_k7ddrphy_bitslip23_r1[13:6]; + k7ddrphy_bitslip232 <= k7ddrphy_bitslip23_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip232 <= main_k7ddrphy_bitslip23_r1[14:7]; + k7ddrphy_bitslip232 <= k7ddrphy_bitslip23_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip232 <= main_k7ddrphy_bitslip23_r1[15:8]; + k7ddrphy_bitslip232 <= k7ddrphy_bitslip23_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip240 <= 8'd0; - case (main_k7ddrphy_bitslip24_value0) + k7ddrphy_bitslip240 <= 8'd0; + case (k7ddrphy_bitslip24_value0) 1'd0: begin - main_k7ddrphy_bitslip240 <= main_k7ddrphy_bitslip24_r0[8:1]; + k7ddrphy_bitslip240 <= k7ddrphy_bitslip24_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip240 <= main_k7ddrphy_bitslip24_r0[9:2]; + k7ddrphy_bitslip240 <= k7ddrphy_bitslip24_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip240 <= main_k7ddrphy_bitslip24_r0[10:3]; + k7ddrphy_bitslip240 <= k7ddrphy_bitslip24_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip240 <= main_k7ddrphy_bitslip24_r0[11:4]; + k7ddrphy_bitslip240 <= k7ddrphy_bitslip24_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip240 <= main_k7ddrphy_bitslip24_r0[12:5]; + k7ddrphy_bitslip240 <= k7ddrphy_bitslip24_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip240 <= main_k7ddrphy_bitslip24_r0[13:6]; + k7ddrphy_bitslip240 <= k7ddrphy_bitslip24_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip240 <= main_k7ddrphy_bitslip24_r0[14:7]; + k7ddrphy_bitslip240 <= k7ddrphy_bitslip24_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip240 <= main_k7ddrphy_bitslip24_r0[15:8]; + k7ddrphy_bitslip240 <= k7ddrphy_bitslip24_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip242 <= 8'd0; - case (main_k7ddrphy_bitslip24_value1) + k7ddrphy_bitslip242 <= 8'd0; + case (k7ddrphy_bitslip24_value1) 1'd0: begin - main_k7ddrphy_bitslip242 <= main_k7ddrphy_bitslip24_r1[8:1]; + k7ddrphy_bitslip242 <= k7ddrphy_bitslip24_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip242 <= main_k7ddrphy_bitslip24_r1[9:2]; + k7ddrphy_bitslip242 <= k7ddrphy_bitslip24_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip242 <= main_k7ddrphy_bitslip24_r1[10:3]; + k7ddrphy_bitslip242 <= k7ddrphy_bitslip24_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip242 <= main_k7ddrphy_bitslip24_r1[11:4]; + k7ddrphy_bitslip242 <= k7ddrphy_bitslip24_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip242 <= main_k7ddrphy_bitslip24_r1[12:5]; + k7ddrphy_bitslip242 <= k7ddrphy_bitslip24_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip242 <= main_k7ddrphy_bitslip24_r1[13:6]; + k7ddrphy_bitslip242 <= k7ddrphy_bitslip24_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip242 <= main_k7ddrphy_bitslip24_r1[14:7]; + k7ddrphy_bitslip242 <= k7ddrphy_bitslip24_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip242 <= main_k7ddrphy_bitslip24_r1[15:8]; + k7ddrphy_bitslip242 <= k7ddrphy_bitslip24_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip250 <= 8'd0; - case (main_k7ddrphy_bitslip25_value0) + k7ddrphy_bitslip250 <= 8'd0; + case (k7ddrphy_bitslip25_value0) 1'd0: begin - main_k7ddrphy_bitslip250 <= main_k7ddrphy_bitslip25_r0[8:1]; + k7ddrphy_bitslip250 <= k7ddrphy_bitslip25_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip250 <= main_k7ddrphy_bitslip25_r0[9:2]; + k7ddrphy_bitslip250 <= k7ddrphy_bitslip25_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip250 <= main_k7ddrphy_bitslip25_r0[10:3]; + k7ddrphy_bitslip250 <= k7ddrphy_bitslip25_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip250 <= main_k7ddrphy_bitslip25_r0[11:4]; + k7ddrphy_bitslip250 <= k7ddrphy_bitslip25_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip250 <= main_k7ddrphy_bitslip25_r0[12:5]; + k7ddrphy_bitslip250 <= k7ddrphy_bitslip25_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip250 <= main_k7ddrphy_bitslip25_r0[13:6]; + k7ddrphy_bitslip250 <= k7ddrphy_bitslip25_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip250 <= main_k7ddrphy_bitslip25_r0[14:7]; + k7ddrphy_bitslip250 <= k7ddrphy_bitslip25_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip250 <= main_k7ddrphy_bitslip25_r0[15:8]; + k7ddrphy_bitslip250 <= k7ddrphy_bitslip25_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip252 <= 8'd0; - case (main_k7ddrphy_bitslip25_value1) + k7ddrphy_bitslip252 <= 8'd0; + case (k7ddrphy_bitslip25_value1) 1'd0: begin - main_k7ddrphy_bitslip252 <= main_k7ddrphy_bitslip25_r1[8:1]; + k7ddrphy_bitslip252 <= k7ddrphy_bitslip25_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip252 <= main_k7ddrphy_bitslip25_r1[9:2]; + k7ddrphy_bitslip252 <= k7ddrphy_bitslip25_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip252 <= main_k7ddrphy_bitslip25_r1[10:3]; + k7ddrphy_bitslip252 <= k7ddrphy_bitslip25_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip252 <= main_k7ddrphy_bitslip25_r1[11:4]; + k7ddrphy_bitslip252 <= k7ddrphy_bitslip25_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip252 <= main_k7ddrphy_bitslip25_r1[12:5]; + k7ddrphy_bitslip252 <= k7ddrphy_bitslip25_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip252 <= main_k7ddrphy_bitslip25_r1[13:6]; + k7ddrphy_bitslip252 <= k7ddrphy_bitslip25_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip252 <= main_k7ddrphy_bitslip25_r1[14:7]; + k7ddrphy_bitslip252 <= k7ddrphy_bitslip25_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip252 <= main_k7ddrphy_bitslip25_r1[15:8]; + k7ddrphy_bitslip252 <= k7ddrphy_bitslip25_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip260 <= 8'd0; - case (main_k7ddrphy_bitslip26_value0) + k7ddrphy_bitslip260 <= 8'd0; + case (k7ddrphy_bitslip26_value0) 1'd0: begin - main_k7ddrphy_bitslip260 <= main_k7ddrphy_bitslip26_r0[8:1]; + k7ddrphy_bitslip260 <= k7ddrphy_bitslip26_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip260 <= main_k7ddrphy_bitslip26_r0[9:2]; + k7ddrphy_bitslip260 <= k7ddrphy_bitslip26_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip260 <= main_k7ddrphy_bitslip26_r0[10:3]; + k7ddrphy_bitslip260 <= k7ddrphy_bitslip26_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip260 <= main_k7ddrphy_bitslip26_r0[11:4]; + k7ddrphy_bitslip260 <= k7ddrphy_bitslip26_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip260 <= main_k7ddrphy_bitslip26_r0[12:5]; + k7ddrphy_bitslip260 <= k7ddrphy_bitslip26_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip260 <= main_k7ddrphy_bitslip26_r0[13:6]; + k7ddrphy_bitslip260 <= k7ddrphy_bitslip26_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip260 <= main_k7ddrphy_bitslip26_r0[14:7]; + k7ddrphy_bitslip260 <= k7ddrphy_bitslip26_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip260 <= main_k7ddrphy_bitslip26_r0[15:8]; + k7ddrphy_bitslip260 <= k7ddrphy_bitslip26_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip262 <= 8'd0; - case (main_k7ddrphy_bitslip26_value1) + k7ddrphy_bitslip262 <= 8'd0; + case (k7ddrphy_bitslip26_value1) 1'd0: begin - main_k7ddrphy_bitslip262 <= main_k7ddrphy_bitslip26_r1[8:1]; + k7ddrphy_bitslip262 <= k7ddrphy_bitslip26_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip262 <= main_k7ddrphy_bitslip26_r1[9:2]; + k7ddrphy_bitslip262 <= k7ddrphy_bitslip26_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip262 <= main_k7ddrphy_bitslip26_r1[10:3]; + k7ddrphy_bitslip262 <= k7ddrphy_bitslip26_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip262 <= main_k7ddrphy_bitslip26_r1[11:4]; + k7ddrphy_bitslip262 <= k7ddrphy_bitslip26_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip262 <= main_k7ddrphy_bitslip26_r1[12:5]; + k7ddrphy_bitslip262 <= k7ddrphy_bitslip26_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip262 <= main_k7ddrphy_bitslip26_r1[13:6]; + k7ddrphy_bitslip262 <= k7ddrphy_bitslip26_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip262 <= main_k7ddrphy_bitslip26_r1[14:7]; + k7ddrphy_bitslip262 <= k7ddrphy_bitslip26_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip262 <= main_k7ddrphy_bitslip26_r1[15:8]; + k7ddrphy_bitslip262 <= k7ddrphy_bitslip26_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip270 <= 8'd0; - case (main_k7ddrphy_bitslip27_value0) + k7ddrphy_bitslip270 <= 8'd0; + case (k7ddrphy_bitslip27_value0) 1'd0: begin - main_k7ddrphy_bitslip270 <= main_k7ddrphy_bitslip27_r0[8:1]; + k7ddrphy_bitslip270 <= k7ddrphy_bitslip27_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip270 <= main_k7ddrphy_bitslip27_r0[9:2]; + k7ddrphy_bitslip270 <= k7ddrphy_bitslip27_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip270 <= main_k7ddrphy_bitslip27_r0[10:3]; + k7ddrphy_bitslip270 <= k7ddrphy_bitslip27_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip270 <= main_k7ddrphy_bitslip27_r0[11:4]; + k7ddrphy_bitslip270 <= k7ddrphy_bitslip27_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip270 <= main_k7ddrphy_bitslip27_r0[12:5]; + k7ddrphy_bitslip270 <= k7ddrphy_bitslip27_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip270 <= main_k7ddrphy_bitslip27_r0[13:6]; + k7ddrphy_bitslip270 <= k7ddrphy_bitslip27_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip270 <= main_k7ddrphy_bitslip27_r0[14:7]; + k7ddrphy_bitslip270 <= k7ddrphy_bitslip27_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip270 <= main_k7ddrphy_bitslip27_r0[15:8]; + k7ddrphy_bitslip270 <= k7ddrphy_bitslip27_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip272 <= 8'd0; - case (main_k7ddrphy_bitslip27_value1) + k7ddrphy_bitslip272 <= 8'd0; + case (k7ddrphy_bitslip27_value1) 1'd0: begin - main_k7ddrphy_bitslip272 <= main_k7ddrphy_bitslip27_r1[8:1]; + k7ddrphy_bitslip272 <= k7ddrphy_bitslip27_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip272 <= main_k7ddrphy_bitslip27_r1[9:2]; + k7ddrphy_bitslip272 <= k7ddrphy_bitslip27_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip272 <= main_k7ddrphy_bitslip27_r1[10:3]; + k7ddrphy_bitslip272 <= k7ddrphy_bitslip27_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip272 <= main_k7ddrphy_bitslip27_r1[11:4]; + k7ddrphy_bitslip272 <= k7ddrphy_bitslip27_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip272 <= main_k7ddrphy_bitslip27_r1[12:5]; + k7ddrphy_bitslip272 <= k7ddrphy_bitslip27_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip272 <= main_k7ddrphy_bitslip27_r1[13:6]; + k7ddrphy_bitslip272 <= k7ddrphy_bitslip27_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip272 <= main_k7ddrphy_bitslip27_r1[14:7]; + k7ddrphy_bitslip272 <= k7ddrphy_bitslip27_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip272 <= main_k7ddrphy_bitslip27_r1[15:8]; + k7ddrphy_bitslip272 <= k7ddrphy_bitslip27_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip280 <= 8'd0; - case (main_k7ddrphy_bitslip28_value0) + k7ddrphy_bitslip280 <= 8'd0; + case (k7ddrphy_bitslip28_value0) 1'd0: begin - main_k7ddrphy_bitslip280 <= main_k7ddrphy_bitslip28_r0[8:1]; + k7ddrphy_bitslip280 <= k7ddrphy_bitslip28_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip280 <= main_k7ddrphy_bitslip28_r0[9:2]; + k7ddrphy_bitslip280 <= k7ddrphy_bitslip28_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip280 <= main_k7ddrphy_bitslip28_r0[10:3]; + k7ddrphy_bitslip280 <= k7ddrphy_bitslip28_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip280 <= main_k7ddrphy_bitslip28_r0[11:4]; + k7ddrphy_bitslip280 <= k7ddrphy_bitslip28_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip280 <= main_k7ddrphy_bitslip28_r0[12:5]; + k7ddrphy_bitslip280 <= k7ddrphy_bitslip28_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip280 <= main_k7ddrphy_bitslip28_r0[13:6]; + k7ddrphy_bitslip280 <= k7ddrphy_bitslip28_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip280 <= main_k7ddrphy_bitslip28_r0[14:7]; + k7ddrphy_bitslip280 <= k7ddrphy_bitslip28_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip280 <= main_k7ddrphy_bitslip28_r0[15:8]; + k7ddrphy_bitslip280 <= k7ddrphy_bitslip28_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip282 <= 8'd0; - case (main_k7ddrphy_bitslip28_value1) + k7ddrphy_bitslip282 <= 8'd0; + case (k7ddrphy_bitslip28_value1) 1'd0: begin - main_k7ddrphy_bitslip282 <= main_k7ddrphy_bitslip28_r1[8:1]; + k7ddrphy_bitslip282 <= k7ddrphy_bitslip28_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip282 <= main_k7ddrphy_bitslip28_r1[9:2]; + k7ddrphy_bitslip282 <= k7ddrphy_bitslip28_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip282 <= main_k7ddrphy_bitslip28_r1[10:3]; + k7ddrphy_bitslip282 <= k7ddrphy_bitslip28_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip282 <= main_k7ddrphy_bitslip28_r1[11:4]; + k7ddrphy_bitslip282 <= k7ddrphy_bitslip28_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip282 <= main_k7ddrphy_bitslip28_r1[12:5]; + k7ddrphy_bitslip282 <= k7ddrphy_bitslip28_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip282 <= main_k7ddrphy_bitslip28_r1[13:6]; + k7ddrphy_bitslip282 <= k7ddrphy_bitslip28_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip282 <= main_k7ddrphy_bitslip28_r1[14:7]; + k7ddrphy_bitslip282 <= k7ddrphy_bitslip28_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip282 <= main_k7ddrphy_bitslip28_r1[15:8]; + k7ddrphy_bitslip282 <= k7ddrphy_bitslip28_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip290 <= 8'd0; - case (main_k7ddrphy_bitslip29_value0) + k7ddrphy_bitslip290 <= 8'd0; + case (k7ddrphy_bitslip29_value0) 1'd0: begin - main_k7ddrphy_bitslip290 <= main_k7ddrphy_bitslip29_r0[8:1]; + k7ddrphy_bitslip290 <= k7ddrphy_bitslip29_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip290 <= main_k7ddrphy_bitslip29_r0[9:2]; + k7ddrphy_bitslip290 <= k7ddrphy_bitslip29_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip290 <= main_k7ddrphy_bitslip29_r0[10:3]; + k7ddrphy_bitslip290 <= k7ddrphy_bitslip29_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip290 <= main_k7ddrphy_bitslip29_r0[11:4]; + k7ddrphy_bitslip290 <= k7ddrphy_bitslip29_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip290 <= main_k7ddrphy_bitslip29_r0[12:5]; + k7ddrphy_bitslip290 <= k7ddrphy_bitslip29_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip290 <= main_k7ddrphy_bitslip29_r0[13:6]; + k7ddrphy_bitslip290 <= k7ddrphy_bitslip29_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip290 <= main_k7ddrphy_bitslip29_r0[14:7]; + k7ddrphy_bitslip290 <= k7ddrphy_bitslip29_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip290 <= main_k7ddrphy_bitslip29_r0[15:8]; + k7ddrphy_bitslip290 <= k7ddrphy_bitslip29_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip292 <= 8'd0; - case (main_k7ddrphy_bitslip29_value1) + k7ddrphy_bitslip292 <= 8'd0; + case (k7ddrphy_bitslip29_value1) 1'd0: begin - main_k7ddrphy_bitslip292 <= main_k7ddrphy_bitslip29_r1[8:1]; + k7ddrphy_bitslip292 <= k7ddrphy_bitslip29_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip292 <= main_k7ddrphy_bitslip29_r1[9:2]; + k7ddrphy_bitslip292 <= k7ddrphy_bitslip29_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip292 <= main_k7ddrphy_bitslip29_r1[10:3]; + k7ddrphy_bitslip292 <= k7ddrphy_bitslip29_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip292 <= main_k7ddrphy_bitslip29_r1[11:4]; + k7ddrphy_bitslip292 <= k7ddrphy_bitslip29_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip292 <= main_k7ddrphy_bitslip29_r1[12:5]; + k7ddrphy_bitslip292 <= k7ddrphy_bitslip29_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip292 <= main_k7ddrphy_bitslip29_r1[13:6]; + k7ddrphy_bitslip292 <= k7ddrphy_bitslip29_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip292 <= main_k7ddrphy_bitslip29_r1[14:7]; + k7ddrphy_bitslip292 <= k7ddrphy_bitslip29_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip292 <= main_k7ddrphy_bitslip29_r1[15:8]; + k7ddrphy_bitslip292 <= k7ddrphy_bitslip29_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip300 <= 8'd0; - case (main_k7ddrphy_bitslip30_value0) + k7ddrphy_bitslip300 <= 8'd0; + case (k7ddrphy_bitslip30_value0) 1'd0: begin - main_k7ddrphy_bitslip300 <= main_k7ddrphy_bitslip30_r0[8:1]; + k7ddrphy_bitslip300 <= k7ddrphy_bitslip30_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip300 <= main_k7ddrphy_bitslip30_r0[9:2]; + k7ddrphy_bitslip300 <= k7ddrphy_bitslip30_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip300 <= main_k7ddrphy_bitslip30_r0[10:3]; + k7ddrphy_bitslip300 <= k7ddrphy_bitslip30_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip300 <= main_k7ddrphy_bitslip30_r0[11:4]; + k7ddrphy_bitslip300 <= k7ddrphy_bitslip30_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip300 <= main_k7ddrphy_bitslip30_r0[12:5]; + k7ddrphy_bitslip300 <= k7ddrphy_bitslip30_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip300 <= main_k7ddrphy_bitslip30_r0[13:6]; + k7ddrphy_bitslip300 <= k7ddrphy_bitslip30_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip300 <= main_k7ddrphy_bitslip30_r0[14:7]; + k7ddrphy_bitslip300 <= k7ddrphy_bitslip30_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip300 <= main_k7ddrphy_bitslip30_r0[15:8]; + k7ddrphy_bitslip300 <= k7ddrphy_bitslip30_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip302 <= 8'd0; - case (main_k7ddrphy_bitslip30_value1) + k7ddrphy_bitslip302 <= 8'd0; + case (k7ddrphy_bitslip30_value1) 1'd0: begin - main_k7ddrphy_bitslip302 <= main_k7ddrphy_bitslip30_r1[8:1]; + k7ddrphy_bitslip302 <= k7ddrphy_bitslip30_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip302 <= main_k7ddrphy_bitslip30_r1[9:2]; + k7ddrphy_bitslip302 <= k7ddrphy_bitslip30_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip302 <= main_k7ddrphy_bitslip30_r1[10:3]; + k7ddrphy_bitslip302 <= k7ddrphy_bitslip30_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip302 <= main_k7ddrphy_bitslip30_r1[11:4]; + k7ddrphy_bitslip302 <= k7ddrphy_bitslip30_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip302 <= main_k7ddrphy_bitslip30_r1[12:5]; + k7ddrphy_bitslip302 <= k7ddrphy_bitslip30_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip302 <= main_k7ddrphy_bitslip30_r1[13:6]; + k7ddrphy_bitslip302 <= k7ddrphy_bitslip30_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip302 <= main_k7ddrphy_bitslip30_r1[14:7]; + k7ddrphy_bitslip302 <= k7ddrphy_bitslip30_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip302 <= main_k7ddrphy_bitslip30_r1[15:8]; + k7ddrphy_bitslip302 <= k7ddrphy_bitslip30_r1[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip310 <= 8'd0; - case (main_k7ddrphy_bitslip31_value0) + k7ddrphy_bitslip310 <= 8'd0; + case (k7ddrphy_bitslip31_value0) 1'd0: begin - main_k7ddrphy_bitslip310 <= main_k7ddrphy_bitslip31_r0[8:1]; + k7ddrphy_bitslip310 <= k7ddrphy_bitslip31_r0[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip310 <= main_k7ddrphy_bitslip31_r0[9:2]; + k7ddrphy_bitslip310 <= k7ddrphy_bitslip31_r0[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip310 <= main_k7ddrphy_bitslip31_r0[10:3]; + k7ddrphy_bitslip310 <= k7ddrphy_bitslip31_r0[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip310 <= main_k7ddrphy_bitslip31_r0[11:4]; + k7ddrphy_bitslip310 <= k7ddrphy_bitslip31_r0[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip310 <= main_k7ddrphy_bitslip31_r0[12:5]; + k7ddrphy_bitslip310 <= k7ddrphy_bitslip31_r0[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip310 <= main_k7ddrphy_bitslip31_r0[13:6]; + k7ddrphy_bitslip310 <= k7ddrphy_bitslip31_r0[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip310 <= main_k7ddrphy_bitslip31_r0[14:7]; + k7ddrphy_bitslip310 <= k7ddrphy_bitslip31_r0[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip310 <= main_k7ddrphy_bitslip31_r0[15:8]; + k7ddrphy_bitslip310 <= k7ddrphy_bitslip31_r0[15:8]; end endcase end always @(*) begin - main_k7ddrphy_bitslip312 <= 8'd0; - case (main_k7ddrphy_bitslip31_value1) + k7ddrphy_bitslip312 <= 8'd0; + case (k7ddrphy_bitslip31_value1) 1'd0: begin - main_k7ddrphy_bitslip312 <= main_k7ddrphy_bitslip31_r1[8:1]; + k7ddrphy_bitslip312 <= k7ddrphy_bitslip31_r1[8:1]; end 1'd1: begin - main_k7ddrphy_bitslip312 <= main_k7ddrphy_bitslip31_r1[9:2]; + k7ddrphy_bitslip312 <= k7ddrphy_bitslip31_r1[9:2]; end 2'd2: begin - main_k7ddrphy_bitslip312 <= main_k7ddrphy_bitslip31_r1[10:3]; + k7ddrphy_bitslip312 <= k7ddrphy_bitslip31_r1[10:3]; end 2'd3: begin - main_k7ddrphy_bitslip312 <= main_k7ddrphy_bitslip31_r1[11:4]; + k7ddrphy_bitslip312 <= k7ddrphy_bitslip31_r1[11:4]; end 3'd4: begin - main_k7ddrphy_bitslip312 <= main_k7ddrphy_bitslip31_r1[12:5]; + k7ddrphy_bitslip312 <= k7ddrphy_bitslip31_r1[12:5]; end 3'd5: begin - main_k7ddrphy_bitslip312 <= main_k7ddrphy_bitslip31_r1[13:6]; + k7ddrphy_bitslip312 <= k7ddrphy_bitslip31_r1[13:6]; end 3'd6: begin - main_k7ddrphy_bitslip312 <= main_k7ddrphy_bitslip31_r1[14:7]; + k7ddrphy_bitslip312 <= k7ddrphy_bitslip31_r1[14:7]; end 3'd7: begin - main_k7ddrphy_bitslip312 <= main_k7ddrphy_bitslip31_r1[15:8]; - end - endcase -end -assign main_k7ddrphy_dfi_p0_address = main_litedramcore_master_p0_address; -assign main_k7ddrphy_dfi_p0_bank = main_litedramcore_master_p0_bank; -assign main_k7ddrphy_dfi_p0_cas_n = main_litedramcore_master_p0_cas_n; -assign main_k7ddrphy_dfi_p0_cs_n = main_litedramcore_master_p0_cs_n; -assign main_k7ddrphy_dfi_p0_ras_n = main_litedramcore_master_p0_ras_n; -assign main_k7ddrphy_dfi_p0_we_n = main_litedramcore_master_p0_we_n; -assign main_k7ddrphy_dfi_p0_cke = main_litedramcore_master_p0_cke; -assign main_k7ddrphy_dfi_p0_odt = main_litedramcore_master_p0_odt; -assign main_k7ddrphy_dfi_p0_reset_n = main_litedramcore_master_p0_reset_n; -assign main_k7ddrphy_dfi_p0_act_n = main_litedramcore_master_p0_act_n; -assign main_k7ddrphy_dfi_p0_wrdata = main_litedramcore_master_p0_wrdata; -assign main_k7ddrphy_dfi_p0_wrdata_en = main_litedramcore_master_p0_wrdata_en; -assign main_k7ddrphy_dfi_p0_wrdata_mask = main_litedramcore_master_p0_wrdata_mask; -assign main_k7ddrphy_dfi_p0_rddata_en = main_litedramcore_master_p0_rddata_en; -assign main_litedramcore_master_p0_rddata = main_k7ddrphy_dfi_p0_rddata; -assign main_litedramcore_master_p0_rddata_valid = main_k7ddrphy_dfi_p0_rddata_valid; -assign main_k7ddrphy_dfi_p1_address = main_litedramcore_master_p1_address; -assign main_k7ddrphy_dfi_p1_bank = main_litedramcore_master_p1_bank; -assign main_k7ddrphy_dfi_p1_cas_n = main_litedramcore_master_p1_cas_n; -assign main_k7ddrphy_dfi_p1_cs_n = main_litedramcore_master_p1_cs_n; -assign main_k7ddrphy_dfi_p1_ras_n = main_litedramcore_master_p1_ras_n; -assign main_k7ddrphy_dfi_p1_we_n = main_litedramcore_master_p1_we_n; -assign main_k7ddrphy_dfi_p1_cke = main_litedramcore_master_p1_cke; -assign main_k7ddrphy_dfi_p1_odt = main_litedramcore_master_p1_odt; -assign main_k7ddrphy_dfi_p1_reset_n = main_litedramcore_master_p1_reset_n; -assign main_k7ddrphy_dfi_p1_act_n = main_litedramcore_master_p1_act_n; -assign main_k7ddrphy_dfi_p1_wrdata = main_litedramcore_master_p1_wrdata; -assign main_k7ddrphy_dfi_p1_wrdata_en = main_litedramcore_master_p1_wrdata_en; -assign main_k7ddrphy_dfi_p1_wrdata_mask = main_litedramcore_master_p1_wrdata_mask; -assign main_k7ddrphy_dfi_p1_rddata_en = main_litedramcore_master_p1_rddata_en; -assign main_litedramcore_master_p1_rddata = main_k7ddrphy_dfi_p1_rddata; -assign main_litedramcore_master_p1_rddata_valid = main_k7ddrphy_dfi_p1_rddata_valid; -assign main_k7ddrphy_dfi_p2_address = main_litedramcore_master_p2_address; -assign main_k7ddrphy_dfi_p2_bank = main_litedramcore_master_p2_bank; -assign main_k7ddrphy_dfi_p2_cas_n = main_litedramcore_master_p2_cas_n; -assign main_k7ddrphy_dfi_p2_cs_n = main_litedramcore_master_p2_cs_n; -assign main_k7ddrphy_dfi_p2_ras_n = main_litedramcore_master_p2_ras_n; -assign main_k7ddrphy_dfi_p2_we_n = main_litedramcore_master_p2_we_n; -assign main_k7ddrphy_dfi_p2_cke = main_litedramcore_master_p2_cke; -assign main_k7ddrphy_dfi_p2_odt = main_litedramcore_master_p2_odt; -assign main_k7ddrphy_dfi_p2_reset_n = main_litedramcore_master_p2_reset_n; -assign main_k7ddrphy_dfi_p2_act_n = main_litedramcore_master_p2_act_n; -assign main_k7ddrphy_dfi_p2_wrdata = main_litedramcore_master_p2_wrdata; -assign main_k7ddrphy_dfi_p2_wrdata_en = main_litedramcore_master_p2_wrdata_en; -assign main_k7ddrphy_dfi_p2_wrdata_mask = main_litedramcore_master_p2_wrdata_mask; -assign main_k7ddrphy_dfi_p2_rddata_en = main_litedramcore_master_p2_rddata_en; -assign main_litedramcore_master_p2_rddata = main_k7ddrphy_dfi_p2_rddata; -assign main_litedramcore_master_p2_rddata_valid = main_k7ddrphy_dfi_p2_rddata_valid; -assign main_k7ddrphy_dfi_p3_address = main_litedramcore_master_p3_address; -assign main_k7ddrphy_dfi_p3_bank = main_litedramcore_master_p3_bank; -assign main_k7ddrphy_dfi_p3_cas_n = main_litedramcore_master_p3_cas_n; -assign main_k7ddrphy_dfi_p3_cs_n = main_litedramcore_master_p3_cs_n; -assign main_k7ddrphy_dfi_p3_ras_n = main_litedramcore_master_p3_ras_n; -assign main_k7ddrphy_dfi_p3_we_n = main_litedramcore_master_p3_we_n; -assign main_k7ddrphy_dfi_p3_cke = main_litedramcore_master_p3_cke; -assign main_k7ddrphy_dfi_p3_odt = main_litedramcore_master_p3_odt; -assign main_k7ddrphy_dfi_p3_reset_n = main_litedramcore_master_p3_reset_n; -assign main_k7ddrphy_dfi_p3_act_n = main_litedramcore_master_p3_act_n; -assign main_k7ddrphy_dfi_p3_wrdata = main_litedramcore_master_p3_wrdata; -assign main_k7ddrphy_dfi_p3_wrdata_en = main_litedramcore_master_p3_wrdata_en; -assign main_k7ddrphy_dfi_p3_wrdata_mask = main_litedramcore_master_p3_wrdata_mask; -assign main_k7ddrphy_dfi_p3_rddata_en = main_litedramcore_master_p3_rddata_en; -assign main_litedramcore_master_p3_rddata = main_k7ddrphy_dfi_p3_rddata; -assign main_litedramcore_master_p3_rddata_valid = main_k7ddrphy_dfi_p3_rddata_valid; -assign main_litedramcore_slave_p0_address = main_litedramcore_dfi_p0_address; -assign main_litedramcore_slave_p0_bank = main_litedramcore_dfi_p0_bank; -assign main_litedramcore_slave_p0_cas_n = main_litedramcore_dfi_p0_cas_n; -assign main_litedramcore_slave_p0_cs_n = main_litedramcore_dfi_p0_cs_n; -assign main_litedramcore_slave_p0_ras_n = main_litedramcore_dfi_p0_ras_n; -assign main_litedramcore_slave_p0_we_n = main_litedramcore_dfi_p0_we_n; -assign main_litedramcore_slave_p0_cke = main_litedramcore_dfi_p0_cke; -assign main_litedramcore_slave_p0_odt = main_litedramcore_dfi_p0_odt; -assign main_litedramcore_slave_p0_reset_n = main_litedramcore_dfi_p0_reset_n; -assign main_litedramcore_slave_p0_act_n = main_litedramcore_dfi_p0_act_n; -assign main_litedramcore_slave_p0_wrdata = main_litedramcore_dfi_p0_wrdata; -assign main_litedramcore_slave_p0_wrdata_en = main_litedramcore_dfi_p0_wrdata_en; -assign main_litedramcore_slave_p0_wrdata_mask = main_litedramcore_dfi_p0_wrdata_mask; -assign main_litedramcore_slave_p0_rddata_en = main_litedramcore_dfi_p0_rddata_en; -assign main_litedramcore_dfi_p0_rddata = main_litedramcore_slave_p0_rddata; -assign main_litedramcore_dfi_p0_rddata_valid = main_litedramcore_slave_p0_rddata_valid; -assign main_litedramcore_slave_p1_address = main_litedramcore_dfi_p1_address; -assign main_litedramcore_slave_p1_bank = main_litedramcore_dfi_p1_bank; -assign main_litedramcore_slave_p1_cas_n = main_litedramcore_dfi_p1_cas_n; -assign main_litedramcore_slave_p1_cs_n = main_litedramcore_dfi_p1_cs_n; -assign main_litedramcore_slave_p1_ras_n = main_litedramcore_dfi_p1_ras_n; -assign main_litedramcore_slave_p1_we_n = main_litedramcore_dfi_p1_we_n; -assign main_litedramcore_slave_p1_cke = main_litedramcore_dfi_p1_cke; -assign main_litedramcore_slave_p1_odt = main_litedramcore_dfi_p1_odt; -assign main_litedramcore_slave_p1_reset_n = main_litedramcore_dfi_p1_reset_n; -assign main_litedramcore_slave_p1_act_n = main_litedramcore_dfi_p1_act_n; -assign main_litedramcore_slave_p1_wrdata = main_litedramcore_dfi_p1_wrdata; -assign main_litedramcore_slave_p1_wrdata_en = main_litedramcore_dfi_p1_wrdata_en; -assign main_litedramcore_slave_p1_wrdata_mask = main_litedramcore_dfi_p1_wrdata_mask; -assign main_litedramcore_slave_p1_rddata_en = main_litedramcore_dfi_p1_rddata_en; -assign main_litedramcore_dfi_p1_rddata = main_litedramcore_slave_p1_rddata; -assign main_litedramcore_dfi_p1_rddata_valid = main_litedramcore_slave_p1_rddata_valid; -assign main_litedramcore_slave_p2_address = main_litedramcore_dfi_p2_address; -assign main_litedramcore_slave_p2_bank = main_litedramcore_dfi_p2_bank; -assign main_litedramcore_slave_p2_cas_n = main_litedramcore_dfi_p2_cas_n; -assign main_litedramcore_slave_p2_cs_n = main_litedramcore_dfi_p2_cs_n; -assign main_litedramcore_slave_p2_ras_n = main_litedramcore_dfi_p2_ras_n; -assign main_litedramcore_slave_p2_we_n = main_litedramcore_dfi_p2_we_n; -assign main_litedramcore_slave_p2_cke = main_litedramcore_dfi_p2_cke; -assign main_litedramcore_slave_p2_odt = main_litedramcore_dfi_p2_odt; -assign main_litedramcore_slave_p2_reset_n = main_litedramcore_dfi_p2_reset_n; -assign main_litedramcore_slave_p2_act_n = main_litedramcore_dfi_p2_act_n; -assign main_litedramcore_slave_p2_wrdata = main_litedramcore_dfi_p2_wrdata; -assign main_litedramcore_slave_p2_wrdata_en = main_litedramcore_dfi_p2_wrdata_en; -assign main_litedramcore_slave_p2_wrdata_mask = main_litedramcore_dfi_p2_wrdata_mask; -assign main_litedramcore_slave_p2_rddata_en = main_litedramcore_dfi_p2_rddata_en; -assign main_litedramcore_dfi_p2_rddata = main_litedramcore_slave_p2_rddata; -assign main_litedramcore_dfi_p2_rddata_valid = main_litedramcore_slave_p2_rddata_valid; -assign main_litedramcore_slave_p3_address = main_litedramcore_dfi_p3_address; -assign main_litedramcore_slave_p3_bank = main_litedramcore_dfi_p3_bank; -assign main_litedramcore_slave_p3_cas_n = main_litedramcore_dfi_p3_cas_n; -assign main_litedramcore_slave_p3_cs_n = main_litedramcore_dfi_p3_cs_n; -assign main_litedramcore_slave_p3_ras_n = main_litedramcore_dfi_p3_ras_n; -assign main_litedramcore_slave_p3_we_n = main_litedramcore_dfi_p3_we_n; -assign main_litedramcore_slave_p3_cke = main_litedramcore_dfi_p3_cke; -assign main_litedramcore_slave_p3_odt = main_litedramcore_dfi_p3_odt; -assign main_litedramcore_slave_p3_reset_n = main_litedramcore_dfi_p3_reset_n; -assign main_litedramcore_slave_p3_act_n = main_litedramcore_dfi_p3_act_n; -assign main_litedramcore_slave_p3_wrdata = main_litedramcore_dfi_p3_wrdata; -assign main_litedramcore_slave_p3_wrdata_en = main_litedramcore_dfi_p3_wrdata_en; -assign main_litedramcore_slave_p3_wrdata_mask = main_litedramcore_dfi_p3_wrdata_mask; -assign main_litedramcore_slave_p3_rddata_en = main_litedramcore_dfi_p3_rddata_en; -assign main_litedramcore_dfi_p3_rddata = main_litedramcore_slave_p3_rddata; -assign main_litedramcore_dfi_p3_rddata_valid = main_litedramcore_slave_p3_rddata_valid; -always @(*) begin - main_litedramcore_master_p0_wrdata_mask <= 8'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata_mask <= main_litedramcore_slave_p0_wrdata_mask; + k7ddrphy_bitslip312 <= k7ddrphy_bitslip31_r1[15:8]; + end + endcase +end +assign k7ddrphy_dfi_p0_address = litedramcore_master_p0_address; +assign k7ddrphy_dfi_p0_bank = litedramcore_master_p0_bank; +assign k7ddrphy_dfi_p0_cas_n = litedramcore_master_p0_cas_n; +assign k7ddrphy_dfi_p0_cs_n = litedramcore_master_p0_cs_n; +assign k7ddrphy_dfi_p0_ras_n = litedramcore_master_p0_ras_n; +assign k7ddrphy_dfi_p0_we_n = litedramcore_master_p0_we_n; +assign k7ddrphy_dfi_p0_cke = litedramcore_master_p0_cke; +assign k7ddrphy_dfi_p0_odt = litedramcore_master_p0_odt; +assign k7ddrphy_dfi_p0_reset_n = litedramcore_master_p0_reset_n; +assign k7ddrphy_dfi_p0_act_n = litedramcore_master_p0_act_n; +assign k7ddrphy_dfi_p0_wrdata = litedramcore_master_p0_wrdata; +assign k7ddrphy_dfi_p0_wrdata_en = litedramcore_master_p0_wrdata_en; +assign k7ddrphy_dfi_p0_wrdata_mask = litedramcore_master_p0_wrdata_mask; +assign k7ddrphy_dfi_p0_rddata_en = litedramcore_master_p0_rddata_en; +assign litedramcore_master_p0_rddata = k7ddrphy_dfi_p0_rddata; +assign litedramcore_master_p0_rddata_valid = k7ddrphy_dfi_p0_rddata_valid; +assign k7ddrphy_dfi_p1_address = litedramcore_master_p1_address; +assign k7ddrphy_dfi_p1_bank = litedramcore_master_p1_bank; +assign k7ddrphy_dfi_p1_cas_n = litedramcore_master_p1_cas_n; +assign k7ddrphy_dfi_p1_cs_n = litedramcore_master_p1_cs_n; +assign k7ddrphy_dfi_p1_ras_n = litedramcore_master_p1_ras_n; +assign k7ddrphy_dfi_p1_we_n = litedramcore_master_p1_we_n; +assign k7ddrphy_dfi_p1_cke = litedramcore_master_p1_cke; +assign k7ddrphy_dfi_p1_odt = litedramcore_master_p1_odt; +assign k7ddrphy_dfi_p1_reset_n = litedramcore_master_p1_reset_n; +assign k7ddrphy_dfi_p1_act_n = litedramcore_master_p1_act_n; +assign k7ddrphy_dfi_p1_wrdata = litedramcore_master_p1_wrdata; +assign k7ddrphy_dfi_p1_wrdata_en = litedramcore_master_p1_wrdata_en; +assign k7ddrphy_dfi_p1_wrdata_mask = litedramcore_master_p1_wrdata_mask; +assign k7ddrphy_dfi_p1_rddata_en = litedramcore_master_p1_rddata_en; +assign litedramcore_master_p1_rddata = k7ddrphy_dfi_p1_rddata; +assign litedramcore_master_p1_rddata_valid = k7ddrphy_dfi_p1_rddata_valid; +assign k7ddrphy_dfi_p2_address = litedramcore_master_p2_address; +assign k7ddrphy_dfi_p2_bank = litedramcore_master_p2_bank; +assign k7ddrphy_dfi_p2_cas_n = litedramcore_master_p2_cas_n; +assign k7ddrphy_dfi_p2_cs_n = litedramcore_master_p2_cs_n; +assign k7ddrphy_dfi_p2_ras_n = litedramcore_master_p2_ras_n; +assign k7ddrphy_dfi_p2_we_n = litedramcore_master_p2_we_n; +assign k7ddrphy_dfi_p2_cke = litedramcore_master_p2_cke; +assign k7ddrphy_dfi_p2_odt = litedramcore_master_p2_odt; +assign k7ddrphy_dfi_p2_reset_n = litedramcore_master_p2_reset_n; +assign k7ddrphy_dfi_p2_act_n = litedramcore_master_p2_act_n; +assign k7ddrphy_dfi_p2_wrdata = litedramcore_master_p2_wrdata; +assign k7ddrphy_dfi_p2_wrdata_en = litedramcore_master_p2_wrdata_en; +assign k7ddrphy_dfi_p2_wrdata_mask = litedramcore_master_p2_wrdata_mask; +assign k7ddrphy_dfi_p2_rddata_en = litedramcore_master_p2_rddata_en; +assign litedramcore_master_p2_rddata = k7ddrphy_dfi_p2_rddata; +assign litedramcore_master_p2_rddata_valid = k7ddrphy_dfi_p2_rddata_valid; +assign k7ddrphy_dfi_p3_address = litedramcore_master_p3_address; +assign k7ddrphy_dfi_p3_bank = litedramcore_master_p3_bank; +assign k7ddrphy_dfi_p3_cas_n = litedramcore_master_p3_cas_n; +assign k7ddrphy_dfi_p3_cs_n = litedramcore_master_p3_cs_n; +assign k7ddrphy_dfi_p3_ras_n = litedramcore_master_p3_ras_n; +assign k7ddrphy_dfi_p3_we_n = litedramcore_master_p3_we_n; +assign k7ddrphy_dfi_p3_cke = litedramcore_master_p3_cke; +assign k7ddrphy_dfi_p3_odt = litedramcore_master_p3_odt; +assign k7ddrphy_dfi_p3_reset_n = litedramcore_master_p3_reset_n; +assign k7ddrphy_dfi_p3_act_n = litedramcore_master_p3_act_n; +assign k7ddrphy_dfi_p3_wrdata = litedramcore_master_p3_wrdata; +assign k7ddrphy_dfi_p3_wrdata_en = litedramcore_master_p3_wrdata_en; +assign k7ddrphy_dfi_p3_wrdata_mask = litedramcore_master_p3_wrdata_mask; +assign k7ddrphy_dfi_p3_rddata_en = litedramcore_master_p3_rddata_en; +assign litedramcore_master_p3_rddata = k7ddrphy_dfi_p3_rddata; +assign litedramcore_master_p3_rddata_valid = k7ddrphy_dfi_p3_rddata_valid; +assign litedramcore_slave_p0_address = litedramcore_dfi_p0_address; +assign litedramcore_slave_p0_bank = litedramcore_dfi_p0_bank; +assign litedramcore_slave_p0_cas_n = litedramcore_dfi_p0_cas_n; +assign litedramcore_slave_p0_cs_n = litedramcore_dfi_p0_cs_n; +assign litedramcore_slave_p0_ras_n = litedramcore_dfi_p0_ras_n; +assign litedramcore_slave_p0_we_n = litedramcore_dfi_p0_we_n; +assign litedramcore_slave_p0_cke = litedramcore_dfi_p0_cke; +assign litedramcore_slave_p0_odt = litedramcore_dfi_p0_odt; +assign litedramcore_slave_p0_reset_n = litedramcore_dfi_p0_reset_n; +assign litedramcore_slave_p0_act_n = litedramcore_dfi_p0_act_n; +assign litedramcore_slave_p0_wrdata = litedramcore_dfi_p0_wrdata; +assign litedramcore_slave_p0_wrdata_en = litedramcore_dfi_p0_wrdata_en; +assign litedramcore_slave_p0_wrdata_mask = litedramcore_dfi_p0_wrdata_mask; +assign litedramcore_slave_p0_rddata_en = litedramcore_dfi_p0_rddata_en; +assign litedramcore_dfi_p0_rddata = litedramcore_slave_p0_rddata; +assign litedramcore_dfi_p0_rddata_valid = litedramcore_slave_p0_rddata_valid; +assign litedramcore_slave_p1_address = litedramcore_dfi_p1_address; +assign litedramcore_slave_p1_bank = litedramcore_dfi_p1_bank; +assign litedramcore_slave_p1_cas_n = litedramcore_dfi_p1_cas_n; +assign litedramcore_slave_p1_cs_n = litedramcore_dfi_p1_cs_n; +assign litedramcore_slave_p1_ras_n = litedramcore_dfi_p1_ras_n; +assign litedramcore_slave_p1_we_n = litedramcore_dfi_p1_we_n; +assign litedramcore_slave_p1_cke = litedramcore_dfi_p1_cke; +assign litedramcore_slave_p1_odt = litedramcore_dfi_p1_odt; +assign litedramcore_slave_p1_reset_n = litedramcore_dfi_p1_reset_n; +assign litedramcore_slave_p1_act_n = litedramcore_dfi_p1_act_n; +assign litedramcore_slave_p1_wrdata = litedramcore_dfi_p1_wrdata; +assign litedramcore_slave_p1_wrdata_en = litedramcore_dfi_p1_wrdata_en; +assign litedramcore_slave_p1_wrdata_mask = litedramcore_dfi_p1_wrdata_mask; +assign litedramcore_slave_p1_rddata_en = litedramcore_dfi_p1_rddata_en; +assign litedramcore_dfi_p1_rddata = litedramcore_slave_p1_rddata; +assign litedramcore_dfi_p1_rddata_valid = litedramcore_slave_p1_rddata_valid; +assign litedramcore_slave_p2_address = litedramcore_dfi_p2_address; +assign litedramcore_slave_p2_bank = litedramcore_dfi_p2_bank; +assign litedramcore_slave_p2_cas_n = litedramcore_dfi_p2_cas_n; +assign litedramcore_slave_p2_cs_n = litedramcore_dfi_p2_cs_n; +assign litedramcore_slave_p2_ras_n = litedramcore_dfi_p2_ras_n; +assign litedramcore_slave_p2_we_n = litedramcore_dfi_p2_we_n; +assign litedramcore_slave_p2_cke = litedramcore_dfi_p2_cke; +assign litedramcore_slave_p2_odt = litedramcore_dfi_p2_odt; +assign litedramcore_slave_p2_reset_n = litedramcore_dfi_p2_reset_n; +assign litedramcore_slave_p2_act_n = litedramcore_dfi_p2_act_n; +assign litedramcore_slave_p2_wrdata = litedramcore_dfi_p2_wrdata; +assign litedramcore_slave_p2_wrdata_en = litedramcore_dfi_p2_wrdata_en; +assign litedramcore_slave_p2_wrdata_mask = litedramcore_dfi_p2_wrdata_mask; +assign litedramcore_slave_p2_rddata_en = litedramcore_dfi_p2_rddata_en; +assign litedramcore_dfi_p2_rddata = litedramcore_slave_p2_rddata; +assign litedramcore_dfi_p2_rddata_valid = litedramcore_slave_p2_rddata_valid; +assign litedramcore_slave_p3_address = litedramcore_dfi_p3_address; +assign litedramcore_slave_p3_bank = litedramcore_dfi_p3_bank; +assign litedramcore_slave_p3_cas_n = litedramcore_dfi_p3_cas_n; +assign litedramcore_slave_p3_cs_n = litedramcore_dfi_p3_cs_n; +assign litedramcore_slave_p3_ras_n = litedramcore_dfi_p3_ras_n; +assign litedramcore_slave_p3_we_n = litedramcore_dfi_p3_we_n; +assign litedramcore_slave_p3_cke = litedramcore_dfi_p3_cke; +assign litedramcore_slave_p3_odt = litedramcore_dfi_p3_odt; +assign litedramcore_slave_p3_reset_n = litedramcore_dfi_p3_reset_n; +assign litedramcore_slave_p3_act_n = litedramcore_dfi_p3_act_n; +assign litedramcore_slave_p3_wrdata = litedramcore_dfi_p3_wrdata; +assign litedramcore_slave_p3_wrdata_en = litedramcore_dfi_p3_wrdata_en; +assign litedramcore_slave_p3_wrdata_mask = litedramcore_dfi_p3_wrdata_mask; +assign litedramcore_slave_p3_rddata_en = litedramcore_dfi_p3_rddata_en; +assign litedramcore_dfi_p3_rddata = litedramcore_slave_p3_rddata; +assign litedramcore_dfi_p3_rddata_valid = litedramcore_slave_p3_rddata_valid; +always @(*) begin + litedramcore_csr_dfi_p0_rddata <= 64'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p0_wrdata_mask <= main_litedramcore_inti_p0_wrdata_mask; + litedramcore_csr_dfi_p0_rddata <= litedramcore_master_p0_rddata; end end always @(*) begin - main_litedramcore_master_p0_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_rddata_en <= main_litedramcore_slave_p0_rddata_en; + litedramcore_csr_dfi_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p0_rddata_en <= main_litedramcore_inti_p0_rddata_en; + litedramcore_csr_dfi_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; end end always @(*) begin - main_litedramcore_master_p1_address <= 15'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_address <= main_litedramcore_slave_p1_address; + litedramcore_csr_dfi_p1_rddata <= 64'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p1_address <= main_litedramcore_inti_p1_address; + litedramcore_csr_dfi_p1_rddata <= litedramcore_master_p1_rddata; end end always @(*) begin - main_litedramcore_master_p1_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_bank <= main_litedramcore_slave_p1_bank; + litedramcore_csr_dfi_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p1_bank <= main_litedramcore_inti_p1_bank; + litedramcore_csr_dfi_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; end end always @(*) begin - main_litedramcore_master_p1_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cas_n <= main_litedramcore_slave_p1_cas_n; + litedramcore_csr_dfi_p2_rddata <= 64'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p1_cas_n <= main_litedramcore_inti_p1_cas_n; + litedramcore_csr_dfi_p2_rddata <= litedramcore_master_p2_rddata; end end always @(*) begin - main_litedramcore_master_p1_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cs_n <= main_litedramcore_slave_p1_cs_n; + litedramcore_csr_dfi_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p1_cs_n <= main_litedramcore_inti_p1_cs_n; + litedramcore_csr_dfi_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; end end always @(*) begin - main_litedramcore_master_p1_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_ras_n <= main_litedramcore_slave_p1_ras_n; + litedramcore_csr_dfi_p3_rddata <= 64'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p1_ras_n <= main_litedramcore_inti_p1_ras_n; + litedramcore_csr_dfi_p3_rddata <= litedramcore_master_p3_rddata; end end always @(*) begin - main_litedramcore_slave_p1_rddata <= 64'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p1_rddata <= main_litedramcore_master_p1_rddata; + litedramcore_csr_dfi_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin end else begin + litedramcore_csr_dfi_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; end end always @(*) begin - main_litedramcore_master_p1_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_we_n <= main_litedramcore_slave_p1_we_n; + litedramcore_ext_dfi_p0_rddata <= 64'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p0_rddata <= litedramcore_master_p0_rddata; + end else begin + end end else begin - main_litedramcore_master_p1_we_n <= main_litedramcore_inti_p1_we_n; end end always @(*) begin - main_litedramcore_slave_p1_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p1_rddata_valid <= main_litedramcore_master_p1_rddata_valid; + litedramcore_ext_dfi_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end else begin + end end else begin end end always @(*) begin - main_litedramcore_master_p1_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cke <= main_litedramcore_slave_p1_cke; + litedramcore_ext_dfi_p1_rddata <= 64'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p1_rddata <= litedramcore_master_p1_rddata; + end else begin + end end else begin - main_litedramcore_master_p1_cke <= main_litedramcore_inti_p1_cke; end end always @(*) begin - main_litedramcore_master_p1_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_odt <= main_litedramcore_slave_p1_odt; + litedramcore_ext_dfi_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p1_odt <= main_litedramcore_inti_p1_odt; end end always @(*) begin - main_litedramcore_master_p1_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_reset_n <= main_litedramcore_slave_p1_reset_n; + litedramcore_ext_dfi_p2_rddata <= 64'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p2_rddata <= litedramcore_master_p2_rddata; + end else begin + end end else begin - main_litedramcore_master_p1_reset_n <= main_litedramcore_inti_p1_reset_n; end end always @(*) begin - main_litedramcore_master_p1_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_act_n <= main_litedramcore_slave_p1_act_n; + litedramcore_ext_dfi_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p1_act_n <= main_litedramcore_inti_p1_act_n; end end always @(*) begin - main_litedramcore_master_p1_wrdata <= 64'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata <= main_litedramcore_slave_p1_wrdata; + litedramcore_slave_p0_rddata <= 64'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p0_rddata <= litedramcore_master_p0_rddata; + end end else begin - main_litedramcore_master_p1_wrdata <= main_litedramcore_inti_p1_wrdata; end end always @(*) begin - main_litedramcore_inti_p2_rddata <= 64'd0; - if (main_litedramcore_sel) begin + litedramcore_slave_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end end else begin - main_litedramcore_inti_p2_rddata <= main_litedramcore_master_p2_rddata; end end always @(*) begin - main_litedramcore_master_p1_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata_en <= main_litedramcore_slave_p1_wrdata_en; + litedramcore_ext_dfi_p3_rddata <= 64'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p3_rddata <= litedramcore_master_p3_rddata; + end else begin + end end else begin - main_litedramcore_master_p1_wrdata_en <= main_litedramcore_inti_p1_wrdata_en; end end always @(*) begin - main_litedramcore_inti_p2_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_ext_dfi_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; + end else begin + end end else begin - main_litedramcore_inti_p2_rddata_valid <= main_litedramcore_master_p2_rddata_valid; end end always @(*) begin - main_litedramcore_master_p1_wrdata_mask <= 8'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata_mask <= main_litedramcore_slave_p1_wrdata_mask; + litedramcore_slave_p1_rddata <= 64'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p1_rddata <= litedramcore_master_p1_rddata; + end end else begin - main_litedramcore_master_p1_wrdata_mask <= main_litedramcore_inti_p1_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p1_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_rddata_en <= main_litedramcore_slave_p1_rddata_en; + litedramcore_slave_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end end else begin - main_litedramcore_master_p1_rddata_en <= main_litedramcore_inti_p1_rddata_en; end end always @(*) begin - main_litedramcore_master_p2_address <= 15'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_address <= main_litedramcore_slave_p2_address; + litedramcore_slave_p2_rddata <= 64'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p2_rddata <= litedramcore_master_p2_rddata; + end end else begin - main_litedramcore_master_p2_address <= main_litedramcore_inti_p2_address; end end always @(*) begin - main_litedramcore_master_p2_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_bank <= main_litedramcore_slave_p2_bank; + litedramcore_slave_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; + end end else begin - main_litedramcore_master_p2_bank <= main_litedramcore_inti_p2_bank; end end always @(*) begin - main_litedramcore_master_p2_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cas_n <= main_litedramcore_slave_p2_cas_n; + litedramcore_slave_p3_rddata <= 64'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p3_rddata <= litedramcore_master_p3_rddata; + end end else begin - main_litedramcore_master_p2_cas_n <= main_litedramcore_inti_p2_cas_n; end end always @(*) begin - main_litedramcore_master_p2_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cs_n <= main_litedramcore_slave_p2_cs_n; + litedramcore_slave_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; + end end else begin - main_litedramcore_master_p2_cs_n <= main_litedramcore_inti_p2_cs_n; end end always @(*) begin - main_litedramcore_master_p2_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_ras_n <= main_litedramcore_slave_p2_ras_n; + litedramcore_master_p0_address <= 15'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_address <= litedramcore_ext_dfi_p0_address; + end else begin + litedramcore_master_p0_address <= litedramcore_slave_p0_address; + end end else begin - main_litedramcore_master_p2_ras_n <= main_litedramcore_inti_p2_ras_n; + litedramcore_master_p0_address <= litedramcore_csr_dfi_p0_address; end end always @(*) begin - main_litedramcore_slave_p2_rddata <= 64'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p2_rddata <= main_litedramcore_master_p2_rddata; + litedramcore_master_p0_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_bank <= litedramcore_ext_dfi_p0_bank; + end else begin + litedramcore_master_p0_bank <= litedramcore_slave_p0_bank; + end end else begin + litedramcore_master_p0_bank <= litedramcore_csr_dfi_p0_bank; end end always @(*) begin - main_litedramcore_master_p2_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_we_n <= main_litedramcore_slave_p2_we_n; + litedramcore_master_p0_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cas_n <= litedramcore_ext_dfi_p0_cas_n; + end else begin + litedramcore_master_p0_cas_n <= litedramcore_slave_p0_cas_n; + end end else begin - main_litedramcore_master_p2_we_n <= main_litedramcore_inti_p2_we_n; + litedramcore_master_p0_cas_n <= litedramcore_csr_dfi_p0_cas_n; end end always @(*) begin - main_litedramcore_slave_p2_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p2_rddata_valid <= main_litedramcore_master_p2_rddata_valid; + litedramcore_master_p0_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cs_n <= litedramcore_ext_dfi_p0_cs_n; + end else begin + litedramcore_master_p0_cs_n <= litedramcore_slave_p0_cs_n; + end end else begin + litedramcore_master_p0_cs_n <= litedramcore_csr_dfi_p0_cs_n; end end always @(*) begin - main_litedramcore_master_p2_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cke <= main_litedramcore_slave_p2_cke; + litedramcore_master_p0_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_ras_n <= litedramcore_ext_dfi_p0_ras_n; + end else begin + litedramcore_master_p0_ras_n <= litedramcore_slave_p0_ras_n; + end end else begin - main_litedramcore_master_p2_cke <= main_litedramcore_inti_p2_cke; + litedramcore_master_p0_ras_n <= litedramcore_csr_dfi_p0_ras_n; end end always @(*) begin - main_litedramcore_master_p2_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_odt <= main_litedramcore_slave_p2_odt; + litedramcore_master_p0_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_we_n <= litedramcore_ext_dfi_p0_we_n; + end else begin + litedramcore_master_p0_we_n <= litedramcore_slave_p0_we_n; + end end else begin - main_litedramcore_master_p2_odt <= main_litedramcore_inti_p2_odt; + litedramcore_master_p0_we_n <= litedramcore_csr_dfi_p0_we_n; end end always @(*) begin - main_litedramcore_master_p2_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_reset_n <= main_litedramcore_slave_p2_reset_n; + litedramcore_master_p0_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cke <= litedramcore_ext_dfi_p0_cke; + end else begin + litedramcore_master_p0_cke <= litedramcore_slave_p0_cke; + end end else begin - main_litedramcore_master_p2_reset_n <= main_litedramcore_inti_p2_reset_n; + litedramcore_master_p0_cke <= litedramcore_csr_dfi_p0_cke; end end always @(*) begin - main_litedramcore_master_p2_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_act_n <= main_litedramcore_slave_p2_act_n; + litedramcore_master_p0_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_odt <= litedramcore_ext_dfi_p0_odt; + end else begin + litedramcore_master_p0_odt <= litedramcore_slave_p0_odt; + end end else begin - main_litedramcore_master_p2_act_n <= main_litedramcore_inti_p2_act_n; + litedramcore_master_p0_odt <= litedramcore_csr_dfi_p0_odt; end end always @(*) begin - main_litedramcore_master_p2_wrdata <= 64'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata <= main_litedramcore_slave_p2_wrdata; + litedramcore_master_p0_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_reset_n <= litedramcore_ext_dfi_p0_reset_n; + end else begin + litedramcore_master_p0_reset_n <= litedramcore_slave_p0_reset_n; + end end else begin - main_litedramcore_master_p2_wrdata <= main_litedramcore_inti_p2_wrdata; + litedramcore_master_p0_reset_n <= litedramcore_csr_dfi_p0_reset_n; end end always @(*) begin - main_litedramcore_inti_p3_rddata <= 64'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p0_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_act_n <= litedramcore_ext_dfi_p0_act_n; + end else begin + litedramcore_master_p0_act_n <= litedramcore_slave_p0_act_n; + end end else begin - main_litedramcore_inti_p3_rddata <= main_litedramcore_master_p3_rddata; + litedramcore_master_p0_act_n <= litedramcore_csr_dfi_p0_act_n; end end always @(*) begin - main_litedramcore_master_p2_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata_en <= main_litedramcore_slave_p2_wrdata_en; + litedramcore_master_p0_wrdata <= 64'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata <= litedramcore_ext_dfi_p0_wrdata; + end else begin + litedramcore_master_p0_wrdata <= litedramcore_slave_p0_wrdata; + end end else begin - main_litedramcore_master_p2_wrdata_en <= main_litedramcore_inti_p2_wrdata_en; + litedramcore_master_p0_wrdata <= litedramcore_csr_dfi_p0_wrdata; end end always @(*) begin - main_litedramcore_inti_p3_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p0_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata_en <= litedramcore_ext_dfi_p0_wrdata_en; + end else begin + litedramcore_master_p0_wrdata_en <= litedramcore_slave_p0_wrdata_en; + end end else begin - main_litedramcore_inti_p3_rddata_valid <= main_litedramcore_master_p3_rddata_valid; + litedramcore_master_p0_wrdata_en <= litedramcore_csr_dfi_p0_wrdata_en; end end always @(*) begin - main_litedramcore_master_p2_wrdata_mask <= 8'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata_mask <= main_litedramcore_slave_p2_wrdata_mask; + litedramcore_master_p0_wrdata_mask <= 8'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata_mask <= litedramcore_ext_dfi_p0_wrdata_mask; + end else begin + litedramcore_master_p0_wrdata_mask <= litedramcore_slave_p0_wrdata_mask; + end end else begin - main_litedramcore_master_p2_wrdata_mask <= main_litedramcore_inti_p2_wrdata_mask; + litedramcore_master_p0_wrdata_mask <= litedramcore_csr_dfi_p0_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p2_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_rddata_en <= main_litedramcore_slave_p2_rddata_en; + litedramcore_master_p0_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_rddata_en <= litedramcore_ext_dfi_p0_rddata_en; + end else begin + litedramcore_master_p0_rddata_en <= litedramcore_slave_p0_rddata_en; + end end else begin - main_litedramcore_master_p2_rddata_en <= main_litedramcore_inti_p2_rddata_en; + litedramcore_master_p0_rddata_en <= litedramcore_csr_dfi_p0_rddata_en; end end always @(*) begin - main_litedramcore_master_p3_address <= 15'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_address <= main_litedramcore_slave_p3_address; + litedramcore_master_p1_address <= 15'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_address <= litedramcore_ext_dfi_p1_address; + end else begin + litedramcore_master_p1_address <= litedramcore_slave_p1_address; + end end else begin - main_litedramcore_master_p3_address <= main_litedramcore_inti_p3_address; + litedramcore_master_p1_address <= litedramcore_csr_dfi_p1_address; end end always @(*) begin - main_litedramcore_master_p3_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_bank <= main_litedramcore_slave_p3_bank; + litedramcore_master_p1_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_bank <= litedramcore_ext_dfi_p1_bank; + end else begin + litedramcore_master_p1_bank <= litedramcore_slave_p1_bank; + end end else begin - main_litedramcore_master_p3_bank <= main_litedramcore_inti_p3_bank; + litedramcore_master_p1_bank <= litedramcore_csr_dfi_p1_bank; end end always @(*) begin - main_litedramcore_master_p3_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cas_n <= main_litedramcore_slave_p3_cas_n; + litedramcore_master_p1_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cas_n <= litedramcore_ext_dfi_p1_cas_n; + end else begin + litedramcore_master_p1_cas_n <= litedramcore_slave_p1_cas_n; + end end else begin - main_litedramcore_master_p3_cas_n <= main_litedramcore_inti_p3_cas_n; + litedramcore_master_p1_cas_n <= litedramcore_csr_dfi_p1_cas_n; end end always @(*) begin - main_litedramcore_master_p3_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cs_n <= main_litedramcore_slave_p3_cs_n; + litedramcore_master_p1_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cs_n <= litedramcore_ext_dfi_p1_cs_n; + end else begin + litedramcore_master_p1_cs_n <= litedramcore_slave_p1_cs_n; + end end else begin - main_litedramcore_master_p3_cs_n <= main_litedramcore_inti_p3_cs_n; + litedramcore_master_p1_cs_n <= litedramcore_csr_dfi_p1_cs_n; end end always @(*) begin - main_litedramcore_master_p3_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_ras_n <= main_litedramcore_slave_p3_ras_n; + litedramcore_master_p1_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_ras_n <= litedramcore_ext_dfi_p1_ras_n; + end else begin + litedramcore_master_p1_ras_n <= litedramcore_slave_p1_ras_n; + end end else begin - main_litedramcore_master_p3_ras_n <= main_litedramcore_inti_p3_ras_n; + litedramcore_master_p1_ras_n <= litedramcore_csr_dfi_p1_ras_n; end end always @(*) begin - main_litedramcore_slave_p3_rddata <= 64'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p3_rddata <= main_litedramcore_master_p3_rddata; + litedramcore_master_p1_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_we_n <= litedramcore_ext_dfi_p1_we_n; + end else begin + litedramcore_master_p1_we_n <= litedramcore_slave_p1_we_n; + end end else begin + litedramcore_master_p1_we_n <= litedramcore_csr_dfi_p1_we_n; end end always @(*) begin - main_litedramcore_master_p3_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_we_n <= main_litedramcore_slave_p3_we_n; + litedramcore_master_p1_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cke <= litedramcore_ext_dfi_p1_cke; + end else begin + litedramcore_master_p1_cke <= litedramcore_slave_p1_cke; + end end else begin - main_litedramcore_master_p3_we_n <= main_litedramcore_inti_p3_we_n; + litedramcore_master_p1_cke <= litedramcore_csr_dfi_p1_cke; end end always @(*) begin - main_litedramcore_slave_p3_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p3_rddata_valid <= main_litedramcore_master_p3_rddata_valid; + litedramcore_master_p1_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_odt <= litedramcore_ext_dfi_p1_odt; + end else begin + litedramcore_master_p1_odt <= litedramcore_slave_p1_odt; + end end else begin + litedramcore_master_p1_odt <= litedramcore_csr_dfi_p1_odt; end end always @(*) begin - main_litedramcore_master_p3_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cke <= main_litedramcore_slave_p3_cke; + litedramcore_master_p1_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_reset_n <= litedramcore_ext_dfi_p1_reset_n; + end else begin + litedramcore_master_p1_reset_n <= litedramcore_slave_p1_reset_n; + end end else begin - main_litedramcore_master_p3_cke <= main_litedramcore_inti_p3_cke; + litedramcore_master_p1_reset_n <= litedramcore_csr_dfi_p1_reset_n; end end always @(*) begin - main_litedramcore_master_p3_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_odt <= main_litedramcore_slave_p3_odt; + litedramcore_master_p1_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_act_n <= litedramcore_ext_dfi_p1_act_n; + end else begin + litedramcore_master_p1_act_n <= litedramcore_slave_p1_act_n; + end end else begin - main_litedramcore_master_p3_odt <= main_litedramcore_inti_p3_odt; + litedramcore_master_p1_act_n <= litedramcore_csr_dfi_p1_act_n; end end always @(*) begin - main_litedramcore_master_p3_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_reset_n <= main_litedramcore_slave_p3_reset_n; + litedramcore_master_p1_wrdata <= 64'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata <= litedramcore_ext_dfi_p1_wrdata; + end else begin + litedramcore_master_p1_wrdata <= litedramcore_slave_p1_wrdata; + end end else begin - main_litedramcore_master_p3_reset_n <= main_litedramcore_inti_p3_reset_n; + litedramcore_master_p1_wrdata <= litedramcore_csr_dfi_p1_wrdata; end end always @(*) begin - main_litedramcore_master_p3_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_act_n <= main_litedramcore_slave_p3_act_n; + litedramcore_master_p1_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata_en <= litedramcore_ext_dfi_p1_wrdata_en; + end else begin + litedramcore_master_p1_wrdata_en <= litedramcore_slave_p1_wrdata_en; + end end else begin - main_litedramcore_master_p3_act_n <= main_litedramcore_inti_p3_act_n; + litedramcore_master_p1_wrdata_en <= litedramcore_csr_dfi_p1_wrdata_en; end end always @(*) begin - main_litedramcore_master_p3_wrdata <= 64'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata <= main_litedramcore_slave_p3_wrdata; + litedramcore_master_p1_wrdata_mask <= 8'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata_mask <= litedramcore_ext_dfi_p1_wrdata_mask; + end else begin + litedramcore_master_p1_wrdata_mask <= litedramcore_slave_p1_wrdata_mask; + end end else begin - main_litedramcore_master_p3_wrdata <= main_litedramcore_inti_p3_wrdata; + litedramcore_master_p1_wrdata_mask <= litedramcore_csr_dfi_p1_wrdata_mask; end end always @(*) begin - main_litedramcore_inti_p0_rddata <= 64'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p1_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_rddata_en <= litedramcore_ext_dfi_p1_rddata_en; + end else begin + litedramcore_master_p1_rddata_en <= litedramcore_slave_p1_rddata_en; + end end else begin - main_litedramcore_inti_p0_rddata <= main_litedramcore_master_p0_rddata; + litedramcore_master_p1_rddata_en <= litedramcore_csr_dfi_p1_rddata_en; end end always @(*) begin - main_litedramcore_master_p3_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata_en <= main_litedramcore_slave_p3_wrdata_en; + litedramcore_master_p2_address <= 15'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_address <= litedramcore_ext_dfi_p2_address; + end else begin + litedramcore_master_p2_address <= litedramcore_slave_p2_address; + end end else begin - main_litedramcore_master_p3_wrdata_en <= main_litedramcore_inti_p3_wrdata_en; + litedramcore_master_p2_address <= litedramcore_csr_dfi_p2_address; end end always @(*) begin - main_litedramcore_inti_p0_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p2_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_bank <= litedramcore_ext_dfi_p2_bank; + end else begin + litedramcore_master_p2_bank <= litedramcore_slave_p2_bank; + end end else begin - main_litedramcore_inti_p0_rddata_valid <= main_litedramcore_master_p0_rddata_valid; + litedramcore_master_p2_bank <= litedramcore_csr_dfi_p2_bank; end end always @(*) begin - main_litedramcore_master_p3_wrdata_mask <= 8'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata_mask <= main_litedramcore_slave_p3_wrdata_mask; + litedramcore_master_p2_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cas_n <= litedramcore_ext_dfi_p2_cas_n; + end else begin + litedramcore_master_p2_cas_n <= litedramcore_slave_p2_cas_n; + end + end else begin + litedramcore_master_p2_cas_n <= litedramcore_csr_dfi_p2_cas_n; + end +end +always @(*) begin + litedramcore_master_p2_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cs_n <= litedramcore_ext_dfi_p2_cs_n; + end else begin + litedramcore_master_p2_cs_n <= litedramcore_slave_p2_cs_n; + end + end else begin + litedramcore_master_p2_cs_n <= litedramcore_csr_dfi_p2_cs_n; + end +end +always @(*) begin + litedramcore_master_p2_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_ras_n <= litedramcore_ext_dfi_p2_ras_n; + end else begin + litedramcore_master_p2_ras_n <= litedramcore_slave_p2_ras_n; + end + end else begin + litedramcore_master_p2_ras_n <= litedramcore_csr_dfi_p2_ras_n; + end +end +always @(*) begin + litedramcore_master_p2_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_we_n <= litedramcore_ext_dfi_p2_we_n; + end else begin + litedramcore_master_p2_we_n <= litedramcore_slave_p2_we_n; + end + end else begin + litedramcore_master_p2_we_n <= litedramcore_csr_dfi_p2_we_n; + end +end +always @(*) begin + litedramcore_master_p2_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cke <= litedramcore_ext_dfi_p2_cke; + end else begin + litedramcore_master_p2_cke <= litedramcore_slave_p2_cke; + end + end else begin + litedramcore_master_p2_cke <= litedramcore_csr_dfi_p2_cke; + end +end +always @(*) begin + litedramcore_master_p2_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_odt <= litedramcore_ext_dfi_p2_odt; + end else begin + litedramcore_master_p2_odt <= litedramcore_slave_p2_odt; + end + end else begin + litedramcore_master_p2_odt <= litedramcore_csr_dfi_p2_odt; + end +end +always @(*) begin + litedramcore_master_p2_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_reset_n <= litedramcore_ext_dfi_p2_reset_n; + end else begin + litedramcore_master_p2_reset_n <= litedramcore_slave_p2_reset_n; + end + end else begin + litedramcore_master_p2_reset_n <= litedramcore_csr_dfi_p2_reset_n; + end +end +always @(*) begin + litedramcore_master_p2_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_act_n <= litedramcore_ext_dfi_p2_act_n; + end else begin + litedramcore_master_p2_act_n <= litedramcore_slave_p2_act_n; + end + end else begin + litedramcore_master_p2_act_n <= litedramcore_csr_dfi_p2_act_n; + end +end +always @(*) begin + litedramcore_master_p2_wrdata <= 64'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata <= litedramcore_ext_dfi_p2_wrdata; + end else begin + litedramcore_master_p2_wrdata <= litedramcore_slave_p2_wrdata; + end end else begin - main_litedramcore_master_p3_wrdata_mask <= main_litedramcore_inti_p3_wrdata_mask; + litedramcore_master_p2_wrdata <= litedramcore_csr_dfi_p2_wrdata; end end always @(*) begin - main_litedramcore_master_p3_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_rddata_en <= main_litedramcore_slave_p3_rddata_en; + litedramcore_master_p2_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata_en <= litedramcore_ext_dfi_p2_wrdata_en; + end else begin + litedramcore_master_p2_wrdata_en <= litedramcore_slave_p2_wrdata_en; + end end else begin - main_litedramcore_master_p3_rddata_en <= main_litedramcore_inti_p3_rddata_en; + litedramcore_master_p2_wrdata_en <= litedramcore_csr_dfi_p2_wrdata_en; end end always @(*) begin - main_litedramcore_master_p0_address <= 15'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_address <= main_litedramcore_slave_p0_address; + litedramcore_master_p2_wrdata_mask <= 8'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata_mask <= litedramcore_ext_dfi_p2_wrdata_mask; + end else begin + litedramcore_master_p2_wrdata_mask <= litedramcore_slave_p2_wrdata_mask; + end end else begin - main_litedramcore_master_p0_address <= main_litedramcore_inti_p0_address; + litedramcore_master_p2_wrdata_mask <= litedramcore_csr_dfi_p2_wrdata_mask; end end always @(*) begin - main_litedramcore_inti_p1_rddata <= 64'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p2_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_rddata_en <= litedramcore_ext_dfi_p2_rddata_en; + end else begin + litedramcore_master_p2_rddata_en <= litedramcore_slave_p2_rddata_en; + end end else begin - main_litedramcore_inti_p1_rddata <= main_litedramcore_master_p1_rddata; + litedramcore_master_p2_rddata_en <= litedramcore_csr_dfi_p2_rddata_en; end end always @(*) begin - main_litedramcore_master_p0_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_bank <= main_litedramcore_slave_p0_bank; + litedramcore_master_p3_address <= 15'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_address <= litedramcore_ext_dfi_p3_address; + end else begin + litedramcore_master_p3_address <= litedramcore_slave_p3_address; + end end else begin - main_litedramcore_master_p0_bank <= main_litedramcore_inti_p0_bank; + litedramcore_master_p3_address <= litedramcore_csr_dfi_p3_address; end end always @(*) begin - main_litedramcore_master_p0_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cas_n <= main_litedramcore_slave_p0_cas_n; + litedramcore_master_p3_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_bank <= litedramcore_ext_dfi_p3_bank; + end else begin + litedramcore_master_p3_bank <= litedramcore_slave_p3_bank; + end end else begin - main_litedramcore_master_p0_cas_n <= main_litedramcore_inti_p0_cas_n; + litedramcore_master_p3_bank <= litedramcore_csr_dfi_p3_bank; end end always @(*) begin - main_litedramcore_master_p0_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cs_n <= main_litedramcore_slave_p0_cs_n; + litedramcore_master_p3_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cas_n <= litedramcore_ext_dfi_p3_cas_n; + end else begin + litedramcore_master_p3_cas_n <= litedramcore_slave_p3_cas_n; + end end else begin - main_litedramcore_master_p0_cs_n <= main_litedramcore_inti_p0_cs_n; + litedramcore_master_p3_cas_n <= litedramcore_csr_dfi_p3_cas_n; end end always @(*) begin - main_litedramcore_master_p0_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_ras_n <= main_litedramcore_slave_p0_ras_n; + litedramcore_master_p3_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cs_n <= litedramcore_ext_dfi_p3_cs_n; + end else begin + litedramcore_master_p3_cs_n <= litedramcore_slave_p3_cs_n; + end end else begin - main_litedramcore_master_p0_ras_n <= main_litedramcore_inti_p0_ras_n; + litedramcore_master_p3_cs_n <= litedramcore_csr_dfi_p3_cs_n; end end always @(*) begin - main_litedramcore_slave_p0_rddata <= 64'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p0_rddata <= main_litedramcore_master_p0_rddata; + litedramcore_master_p3_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_ras_n <= litedramcore_ext_dfi_p3_ras_n; + end else begin + litedramcore_master_p3_ras_n <= litedramcore_slave_p3_ras_n; + end end else begin + litedramcore_master_p3_ras_n <= litedramcore_csr_dfi_p3_ras_n; end end always @(*) begin - main_litedramcore_master_p0_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_we_n <= main_litedramcore_slave_p0_we_n; + litedramcore_master_p3_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_we_n <= litedramcore_ext_dfi_p3_we_n; + end else begin + litedramcore_master_p3_we_n <= litedramcore_slave_p3_we_n; + end end else begin - main_litedramcore_master_p0_we_n <= main_litedramcore_inti_p0_we_n; + litedramcore_master_p3_we_n <= litedramcore_csr_dfi_p3_we_n; end end always @(*) begin - main_litedramcore_slave_p0_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p0_rddata_valid <= main_litedramcore_master_p0_rddata_valid; + litedramcore_master_p3_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cke <= litedramcore_ext_dfi_p3_cke; + end else begin + litedramcore_master_p3_cke <= litedramcore_slave_p3_cke; + end end else begin + litedramcore_master_p3_cke <= litedramcore_csr_dfi_p3_cke; end end always @(*) begin - main_litedramcore_master_p0_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cke <= main_litedramcore_slave_p0_cke; + litedramcore_master_p3_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_odt <= litedramcore_ext_dfi_p3_odt; + end else begin + litedramcore_master_p3_odt <= litedramcore_slave_p3_odt; + end end else begin - main_litedramcore_master_p0_cke <= main_litedramcore_inti_p0_cke; + litedramcore_master_p3_odt <= litedramcore_csr_dfi_p3_odt; end end always @(*) begin - main_litedramcore_inti_p1_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p3_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_reset_n <= litedramcore_ext_dfi_p3_reset_n; + end else begin + litedramcore_master_p3_reset_n <= litedramcore_slave_p3_reset_n; + end end else begin - main_litedramcore_inti_p1_rddata_valid <= main_litedramcore_master_p1_rddata_valid; + litedramcore_master_p3_reset_n <= litedramcore_csr_dfi_p3_reset_n; end end always @(*) begin - main_litedramcore_master_p0_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_odt <= main_litedramcore_slave_p0_odt; + litedramcore_master_p3_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_act_n <= litedramcore_ext_dfi_p3_act_n; + end else begin + litedramcore_master_p3_act_n <= litedramcore_slave_p3_act_n; + end end else begin - main_litedramcore_master_p0_odt <= main_litedramcore_inti_p0_odt; + litedramcore_master_p3_act_n <= litedramcore_csr_dfi_p3_act_n; end end always @(*) begin - main_litedramcore_master_p0_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_reset_n <= main_litedramcore_slave_p0_reset_n; + litedramcore_master_p3_wrdata <= 64'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata <= litedramcore_ext_dfi_p3_wrdata; + end else begin + litedramcore_master_p3_wrdata <= litedramcore_slave_p3_wrdata; + end end else begin - main_litedramcore_master_p0_reset_n <= main_litedramcore_inti_p0_reset_n; + litedramcore_master_p3_wrdata <= litedramcore_csr_dfi_p3_wrdata; end end always @(*) begin - main_litedramcore_master_p0_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_act_n <= main_litedramcore_slave_p0_act_n; + litedramcore_master_p3_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata_en <= litedramcore_ext_dfi_p3_wrdata_en; + end else begin + litedramcore_master_p3_wrdata_en <= litedramcore_slave_p3_wrdata_en; + end end else begin - main_litedramcore_master_p0_act_n <= main_litedramcore_inti_p0_act_n; + litedramcore_master_p3_wrdata_en <= litedramcore_csr_dfi_p3_wrdata_en; end end always @(*) begin - main_litedramcore_master_p0_wrdata <= 64'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata <= main_litedramcore_slave_p0_wrdata; + litedramcore_master_p3_wrdata_mask <= 8'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata_mask <= litedramcore_ext_dfi_p3_wrdata_mask; + end else begin + litedramcore_master_p3_wrdata_mask <= litedramcore_slave_p3_wrdata_mask; + end end else begin - main_litedramcore_master_p0_wrdata <= main_litedramcore_inti_p0_wrdata; + litedramcore_master_p3_wrdata_mask <= litedramcore_csr_dfi_p3_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p0_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata_en <= main_litedramcore_slave_p0_wrdata_en; + litedramcore_master_p3_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_rddata_en <= litedramcore_ext_dfi_p3_rddata_en; + end else begin + litedramcore_master_p3_rddata_en <= litedramcore_slave_p3_rddata_en; + end end else begin - main_litedramcore_master_p0_wrdata_en <= main_litedramcore_inti_p0_wrdata_en; - end -end -assign main_litedramcore_inti_p0_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p1_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p2_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p3_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p0_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p1_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p2_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p3_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p0_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p1_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p2_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p3_reset_n = main_litedramcore_reset_n; -always @(*) begin - main_litedramcore_inti_p0_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_ras_n <= (~main_litedramcore_phaseinjector0_command_storage[3]); + litedramcore_master_p3_rddata_en <= litedramcore_csr_dfi_p3_rddata_en; + end +end +assign litedramcore_csr_dfi_p0_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p1_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p2_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p3_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p0_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p1_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p2_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p3_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p0_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p1_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p2_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p3_reset_n = litedramcore_reset_n; +always @(*) begin + litedramcore_csr_dfi_p0_cs_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_cs_n <= {1{(~litedramcore_phaseinjector0_csrfield_cs)}}; end else begin - main_litedramcore_inti_p0_ras_n <= 1'd1; + litedramcore_csr_dfi_p0_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p0_we_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_we_n <= (~main_litedramcore_phaseinjector0_command_storage[1]); + litedramcore_csr_dfi_p0_ras_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_ras_n <= (~litedramcore_phaseinjector0_csrfield_ras); end else begin - main_litedramcore_inti_p0_we_n <= 1'd1; + litedramcore_csr_dfi_p0_ras_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p0_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_cas_n <= (~main_litedramcore_phaseinjector0_command_storage[2]); + litedramcore_csr_dfi_p0_we_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_we_n <= (~litedramcore_phaseinjector0_csrfield_we); end else begin - main_litedramcore_inti_p0_cas_n <= 1'd1; + litedramcore_csr_dfi_p0_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p0_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_cs_n <= {1{(~main_litedramcore_phaseinjector0_command_storage[0])}}; + litedramcore_csr_dfi_p0_cas_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_cas_n <= (~litedramcore_phaseinjector0_csrfield_cas); end else begin - main_litedramcore_inti_p0_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p0_cas_n <= 1'd1; end end -assign main_litedramcore_inti_p0_address = main_litedramcore_phaseinjector0_address_storage; -assign main_litedramcore_inti_p0_bank = main_litedramcore_phaseinjector0_baddress_storage; -assign main_litedramcore_inti_p0_wrdata_en = (main_litedramcore_phaseinjector0_command_issue_re & main_litedramcore_phaseinjector0_command_storage[4]); -assign main_litedramcore_inti_p0_rddata_en = (main_litedramcore_phaseinjector0_command_issue_re & main_litedramcore_phaseinjector0_command_storage[5]); -assign main_litedramcore_inti_p0_wrdata = main_litedramcore_phaseinjector0_wrdata_storage; -assign main_litedramcore_inti_p0_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p0_address = litedramcore_phaseinjector0_address_storage; +assign litedramcore_csr_dfi_p0_bank = litedramcore_phaseinjector0_baddress_storage; +assign litedramcore_csr_dfi_p0_wrdata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_csrfield_wren); +assign litedramcore_csr_dfi_p0_rddata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_csrfield_rden); +assign litedramcore_csr_dfi_p0_wrdata = litedramcore_phaseinjector0_wrdata_storage; +assign litedramcore_csr_dfi_p0_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p1_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_ras_n <= (~main_litedramcore_phaseinjector1_command_storage[3]); + litedramcore_csr_dfi_p1_cs_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_cs_n <= {1{(~litedramcore_phaseinjector1_csrfield_cs)}}; end else begin - main_litedramcore_inti_p1_ras_n <= 1'd1; + litedramcore_csr_dfi_p1_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p1_we_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_we_n <= (~main_litedramcore_phaseinjector1_command_storage[1]); + litedramcore_csr_dfi_p1_ras_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_ras_n <= (~litedramcore_phaseinjector1_csrfield_ras); end else begin - main_litedramcore_inti_p1_we_n <= 1'd1; + litedramcore_csr_dfi_p1_ras_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p1_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_cas_n <= (~main_litedramcore_phaseinjector1_command_storage[2]); + litedramcore_csr_dfi_p1_we_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_we_n <= (~litedramcore_phaseinjector1_csrfield_we); end else begin - main_litedramcore_inti_p1_cas_n <= 1'd1; + litedramcore_csr_dfi_p1_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p1_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_cs_n <= {1{(~main_litedramcore_phaseinjector1_command_storage[0])}}; + litedramcore_csr_dfi_p1_cas_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_cas_n <= (~litedramcore_phaseinjector1_csrfield_cas); end else begin - main_litedramcore_inti_p1_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p1_cas_n <= 1'd1; end end -assign main_litedramcore_inti_p1_address = main_litedramcore_phaseinjector1_address_storage; -assign main_litedramcore_inti_p1_bank = main_litedramcore_phaseinjector1_baddress_storage; -assign main_litedramcore_inti_p1_wrdata_en = (main_litedramcore_phaseinjector1_command_issue_re & main_litedramcore_phaseinjector1_command_storage[4]); -assign main_litedramcore_inti_p1_rddata_en = (main_litedramcore_phaseinjector1_command_issue_re & main_litedramcore_phaseinjector1_command_storage[5]); -assign main_litedramcore_inti_p1_wrdata = main_litedramcore_phaseinjector1_wrdata_storage; -assign main_litedramcore_inti_p1_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p1_address = litedramcore_phaseinjector1_address_storage; +assign litedramcore_csr_dfi_p1_bank = litedramcore_phaseinjector1_baddress_storage; +assign litedramcore_csr_dfi_p1_wrdata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_csrfield_wren); +assign litedramcore_csr_dfi_p1_rddata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_csrfield_rden); +assign litedramcore_csr_dfi_p1_wrdata = litedramcore_phaseinjector1_wrdata_storage; +assign litedramcore_csr_dfi_p1_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p2_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_ras_n <= (~main_litedramcore_phaseinjector2_command_storage[3]); + litedramcore_csr_dfi_p2_cs_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_cs_n <= {1{(~litedramcore_phaseinjector2_csrfield_cs)}}; end else begin - main_litedramcore_inti_p2_ras_n <= 1'd1; + litedramcore_csr_dfi_p2_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p2_we_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_we_n <= (~main_litedramcore_phaseinjector2_command_storage[1]); + litedramcore_csr_dfi_p2_ras_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_ras_n <= (~litedramcore_phaseinjector2_csrfield_ras); end else begin - main_litedramcore_inti_p2_we_n <= 1'd1; + litedramcore_csr_dfi_p2_ras_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p2_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_cas_n <= (~main_litedramcore_phaseinjector2_command_storage[2]); + litedramcore_csr_dfi_p2_we_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_we_n <= (~litedramcore_phaseinjector2_csrfield_we); end else begin - main_litedramcore_inti_p2_cas_n <= 1'd1; + litedramcore_csr_dfi_p2_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p2_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_cs_n <= {1{(~main_litedramcore_phaseinjector2_command_storage[0])}}; + litedramcore_csr_dfi_p2_cas_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_cas_n <= (~litedramcore_phaseinjector2_csrfield_cas); end else begin - main_litedramcore_inti_p2_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p2_cas_n <= 1'd1; end end -assign main_litedramcore_inti_p2_address = main_litedramcore_phaseinjector2_address_storage; -assign main_litedramcore_inti_p2_bank = main_litedramcore_phaseinjector2_baddress_storage; -assign main_litedramcore_inti_p2_wrdata_en = (main_litedramcore_phaseinjector2_command_issue_re & main_litedramcore_phaseinjector2_command_storage[4]); -assign main_litedramcore_inti_p2_rddata_en = (main_litedramcore_phaseinjector2_command_issue_re & main_litedramcore_phaseinjector2_command_storage[5]); -assign main_litedramcore_inti_p2_wrdata = main_litedramcore_phaseinjector2_wrdata_storage; -assign main_litedramcore_inti_p2_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p2_address = litedramcore_phaseinjector2_address_storage; +assign litedramcore_csr_dfi_p2_bank = litedramcore_phaseinjector2_baddress_storage; +assign litedramcore_csr_dfi_p2_wrdata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_csrfield_wren); +assign litedramcore_csr_dfi_p2_rddata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_csrfield_rden); +assign litedramcore_csr_dfi_p2_wrdata = litedramcore_phaseinjector2_wrdata_storage; +assign litedramcore_csr_dfi_p2_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p3_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_ras_n <= (~main_litedramcore_phaseinjector3_command_storage[3]); + litedramcore_csr_dfi_p3_cs_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_cs_n <= {1{(~litedramcore_phaseinjector3_csrfield_cs)}}; end else begin - main_litedramcore_inti_p3_ras_n <= 1'd1; + litedramcore_csr_dfi_p3_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p3_we_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_we_n <= (~main_litedramcore_phaseinjector3_command_storage[1]); + litedramcore_csr_dfi_p3_ras_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_ras_n <= (~litedramcore_phaseinjector3_csrfield_ras); end else begin - main_litedramcore_inti_p3_we_n <= 1'd1; + litedramcore_csr_dfi_p3_ras_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p3_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_cas_n <= (~main_litedramcore_phaseinjector3_command_storage[2]); + litedramcore_csr_dfi_p3_we_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_we_n <= (~litedramcore_phaseinjector3_csrfield_we); end else begin - main_litedramcore_inti_p3_cas_n <= 1'd1; + litedramcore_csr_dfi_p3_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p3_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_cs_n <= {1{(~main_litedramcore_phaseinjector3_command_storage[0])}}; + litedramcore_csr_dfi_p3_cas_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_cas_n <= (~litedramcore_phaseinjector3_csrfield_cas); end else begin - main_litedramcore_inti_p3_cs_n <= {1{1'd1}}; - end -end -assign main_litedramcore_inti_p3_address = main_litedramcore_phaseinjector3_address_storage; -assign main_litedramcore_inti_p3_bank = main_litedramcore_phaseinjector3_baddress_storage; -assign main_litedramcore_inti_p3_wrdata_en = (main_litedramcore_phaseinjector3_command_issue_re & main_litedramcore_phaseinjector3_command_storage[4]); -assign main_litedramcore_inti_p3_rddata_en = (main_litedramcore_phaseinjector3_command_issue_re & main_litedramcore_phaseinjector3_command_storage[5]); -assign main_litedramcore_inti_p3_wrdata = main_litedramcore_phaseinjector3_wrdata_storage; -assign main_litedramcore_inti_p3_wrdata_mask = 1'd0; -assign main_litedramcore_bankmachine0_req_valid = main_litedramcore_interface_bank0_valid; -assign main_litedramcore_interface_bank0_ready = main_litedramcore_bankmachine0_req_ready; -assign main_litedramcore_bankmachine0_req_we = main_litedramcore_interface_bank0_we; -assign main_litedramcore_bankmachine0_req_addr = main_litedramcore_interface_bank0_addr; -assign main_litedramcore_interface_bank0_lock = main_litedramcore_bankmachine0_req_lock; -assign main_litedramcore_interface_bank0_wdata_ready = main_litedramcore_bankmachine0_req_wdata_ready; -assign main_litedramcore_interface_bank0_rdata_valid = main_litedramcore_bankmachine0_req_rdata_valid; -assign main_litedramcore_bankmachine1_req_valid = main_litedramcore_interface_bank1_valid; -assign main_litedramcore_interface_bank1_ready = main_litedramcore_bankmachine1_req_ready; -assign main_litedramcore_bankmachine1_req_we = main_litedramcore_interface_bank1_we; -assign main_litedramcore_bankmachine1_req_addr = main_litedramcore_interface_bank1_addr; -assign main_litedramcore_interface_bank1_lock = main_litedramcore_bankmachine1_req_lock; -assign main_litedramcore_interface_bank1_wdata_ready = main_litedramcore_bankmachine1_req_wdata_ready; -assign main_litedramcore_interface_bank1_rdata_valid = main_litedramcore_bankmachine1_req_rdata_valid; -assign main_litedramcore_bankmachine2_req_valid = main_litedramcore_interface_bank2_valid; -assign main_litedramcore_interface_bank2_ready = main_litedramcore_bankmachine2_req_ready; -assign main_litedramcore_bankmachine2_req_we = main_litedramcore_interface_bank2_we; -assign main_litedramcore_bankmachine2_req_addr = main_litedramcore_interface_bank2_addr; -assign main_litedramcore_interface_bank2_lock = main_litedramcore_bankmachine2_req_lock; -assign main_litedramcore_interface_bank2_wdata_ready = main_litedramcore_bankmachine2_req_wdata_ready; -assign main_litedramcore_interface_bank2_rdata_valid = main_litedramcore_bankmachine2_req_rdata_valid; -assign main_litedramcore_bankmachine3_req_valid = main_litedramcore_interface_bank3_valid; -assign main_litedramcore_interface_bank3_ready = main_litedramcore_bankmachine3_req_ready; -assign main_litedramcore_bankmachine3_req_we = main_litedramcore_interface_bank3_we; -assign main_litedramcore_bankmachine3_req_addr = main_litedramcore_interface_bank3_addr; -assign main_litedramcore_interface_bank3_lock = main_litedramcore_bankmachine3_req_lock; -assign main_litedramcore_interface_bank3_wdata_ready = main_litedramcore_bankmachine3_req_wdata_ready; -assign main_litedramcore_interface_bank3_rdata_valid = main_litedramcore_bankmachine3_req_rdata_valid; -assign main_litedramcore_bankmachine4_req_valid = main_litedramcore_interface_bank4_valid; -assign main_litedramcore_interface_bank4_ready = main_litedramcore_bankmachine4_req_ready; -assign main_litedramcore_bankmachine4_req_we = main_litedramcore_interface_bank4_we; -assign main_litedramcore_bankmachine4_req_addr = main_litedramcore_interface_bank4_addr; -assign main_litedramcore_interface_bank4_lock = main_litedramcore_bankmachine4_req_lock; -assign main_litedramcore_interface_bank4_wdata_ready = main_litedramcore_bankmachine4_req_wdata_ready; -assign main_litedramcore_interface_bank4_rdata_valid = main_litedramcore_bankmachine4_req_rdata_valid; -assign main_litedramcore_bankmachine5_req_valid = main_litedramcore_interface_bank5_valid; -assign main_litedramcore_interface_bank5_ready = main_litedramcore_bankmachine5_req_ready; -assign main_litedramcore_bankmachine5_req_we = main_litedramcore_interface_bank5_we; -assign main_litedramcore_bankmachine5_req_addr = main_litedramcore_interface_bank5_addr; -assign main_litedramcore_interface_bank5_lock = main_litedramcore_bankmachine5_req_lock; -assign main_litedramcore_interface_bank5_wdata_ready = main_litedramcore_bankmachine5_req_wdata_ready; -assign main_litedramcore_interface_bank5_rdata_valid = main_litedramcore_bankmachine5_req_rdata_valid; -assign main_litedramcore_bankmachine6_req_valid = main_litedramcore_interface_bank6_valid; -assign main_litedramcore_interface_bank6_ready = main_litedramcore_bankmachine6_req_ready; -assign main_litedramcore_bankmachine6_req_we = main_litedramcore_interface_bank6_we; -assign main_litedramcore_bankmachine6_req_addr = main_litedramcore_interface_bank6_addr; -assign main_litedramcore_interface_bank6_lock = main_litedramcore_bankmachine6_req_lock; -assign main_litedramcore_interface_bank6_wdata_ready = main_litedramcore_bankmachine6_req_wdata_ready; -assign main_litedramcore_interface_bank6_rdata_valid = main_litedramcore_bankmachine6_req_rdata_valid; -assign main_litedramcore_bankmachine7_req_valid = main_litedramcore_interface_bank7_valid; -assign main_litedramcore_interface_bank7_ready = main_litedramcore_bankmachine7_req_ready; -assign main_litedramcore_bankmachine7_req_we = main_litedramcore_interface_bank7_we; -assign main_litedramcore_bankmachine7_req_addr = main_litedramcore_interface_bank7_addr; -assign main_litedramcore_interface_bank7_lock = main_litedramcore_bankmachine7_req_lock; -assign main_litedramcore_interface_bank7_wdata_ready = main_litedramcore_bankmachine7_req_wdata_ready; -assign main_litedramcore_interface_bank7_rdata_valid = main_litedramcore_bankmachine7_req_rdata_valid; -assign main_litedramcore_timer_wait = (~main_litedramcore_timer_done0); -assign main_litedramcore_postponer_req_i = main_litedramcore_timer_done0; -assign main_litedramcore_wants_refresh = main_litedramcore_postponer_req_o; -assign main_litedramcore_wants_zqcs = main_litedramcore_zqcs_timer_done0; -assign main_litedramcore_zqcs_timer_wait = (~main_litedramcore_zqcs_executer_done); -assign main_litedramcore_timer_done1 = (main_litedramcore_timer_count1 == 1'd0); -assign main_litedramcore_timer_done0 = main_litedramcore_timer_done1; -assign main_litedramcore_timer_count0 = main_litedramcore_timer_count1; -assign main_litedramcore_sequencer_start1 = (main_litedramcore_sequencer_start0 | (main_litedramcore_sequencer_count != 1'd0)); -assign main_litedramcore_sequencer_done0 = (main_litedramcore_sequencer_done1 & (main_litedramcore_sequencer_count == 1'd0)); -assign main_litedramcore_zqcs_timer_done1 = (main_litedramcore_zqcs_timer_count1 == 1'd0); -assign main_litedramcore_zqcs_timer_done0 = main_litedramcore_zqcs_timer_done1; -assign main_litedramcore_zqcs_timer_count0 = main_litedramcore_zqcs_timer_count1; -always @(*) begin - builder_refresher_next_state <= 2'd0; - builder_refresher_next_state <= builder_refresher_state; - case (builder_refresher_state) - 1'd1: begin - if (main_litedramcore_cmd_ready) begin - builder_refresher_next_state <= 2'd2; - end - end - 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin - builder_refresher_next_state <= 2'd3; + litedramcore_csr_dfi_p3_cas_n <= 1'd1; + end +end +assign litedramcore_csr_dfi_p3_address = litedramcore_phaseinjector3_address_storage; +assign litedramcore_csr_dfi_p3_bank = litedramcore_phaseinjector3_baddress_storage; +assign litedramcore_csr_dfi_p3_wrdata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_csrfield_wren); +assign litedramcore_csr_dfi_p3_rddata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_csrfield_rden); +assign litedramcore_csr_dfi_p3_wrdata = litedramcore_phaseinjector3_wrdata_storage; +assign litedramcore_csr_dfi_p3_wrdata_mask = 1'd0; +assign litedramcore_bankmachine0_req_valid = litedramcore_interface_bank0_valid; +assign litedramcore_interface_bank0_ready = litedramcore_bankmachine0_req_ready; +assign litedramcore_bankmachine0_req_we = litedramcore_interface_bank0_we; +assign litedramcore_bankmachine0_req_addr = litedramcore_interface_bank0_addr; +assign litedramcore_interface_bank0_lock = litedramcore_bankmachine0_req_lock; +assign litedramcore_interface_bank0_wdata_ready = litedramcore_bankmachine0_req_wdata_ready; +assign litedramcore_interface_bank0_rdata_valid = litedramcore_bankmachine0_req_rdata_valid; +assign litedramcore_bankmachine1_req_valid = litedramcore_interface_bank1_valid; +assign litedramcore_interface_bank1_ready = litedramcore_bankmachine1_req_ready; +assign litedramcore_bankmachine1_req_we = litedramcore_interface_bank1_we; +assign litedramcore_bankmachine1_req_addr = litedramcore_interface_bank1_addr; +assign litedramcore_interface_bank1_lock = litedramcore_bankmachine1_req_lock; +assign litedramcore_interface_bank1_wdata_ready = litedramcore_bankmachine1_req_wdata_ready; +assign litedramcore_interface_bank1_rdata_valid = litedramcore_bankmachine1_req_rdata_valid; +assign litedramcore_bankmachine2_req_valid = litedramcore_interface_bank2_valid; +assign litedramcore_interface_bank2_ready = litedramcore_bankmachine2_req_ready; +assign litedramcore_bankmachine2_req_we = litedramcore_interface_bank2_we; +assign litedramcore_bankmachine2_req_addr = litedramcore_interface_bank2_addr; +assign litedramcore_interface_bank2_lock = litedramcore_bankmachine2_req_lock; +assign litedramcore_interface_bank2_wdata_ready = litedramcore_bankmachine2_req_wdata_ready; +assign litedramcore_interface_bank2_rdata_valid = litedramcore_bankmachine2_req_rdata_valid; +assign litedramcore_bankmachine3_req_valid = litedramcore_interface_bank3_valid; +assign litedramcore_interface_bank3_ready = litedramcore_bankmachine3_req_ready; +assign litedramcore_bankmachine3_req_we = litedramcore_interface_bank3_we; +assign litedramcore_bankmachine3_req_addr = litedramcore_interface_bank3_addr; +assign litedramcore_interface_bank3_lock = litedramcore_bankmachine3_req_lock; +assign litedramcore_interface_bank3_wdata_ready = litedramcore_bankmachine3_req_wdata_ready; +assign litedramcore_interface_bank3_rdata_valid = litedramcore_bankmachine3_req_rdata_valid; +assign litedramcore_bankmachine4_req_valid = litedramcore_interface_bank4_valid; +assign litedramcore_interface_bank4_ready = litedramcore_bankmachine4_req_ready; +assign litedramcore_bankmachine4_req_we = litedramcore_interface_bank4_we; +assign litedramcore_bankmachine4_req_addr = litedramcore_interface_bank4_addr; +assign litedramcore_interface_bank4_lock = litedramcore_bankmachine4_req_lock; +assign litedramcore_interface_bank4_wdata_ready = litedramcore_bankmachine4_req_wdata_ready; +assign litedramcore_interface_bank4_rdata_valid = litedramcore_bankmachine4_req_rdata_valid; +assign litedramcore_bankmachine5_req_valid = litedramcore_interface_bank5_valid; +assign litedramcore_interface_bank5_ready = litedramcore_bankmachine5_req_ready; +assign litedramcore_bankmachine5_req_we = litedramcore_interface_bank5_we; +assign litedramcore_bankmachine5_req_addr = litedramcore_interface_bank5_addr; +assign litedramcore_interface_bank5_lock = litedramcore_bankmachine5_req_lock; +assign litedramcore_interface_bank5_wdata_ready = litedramcore_bankmachine5_req_wdata_ready; +assign litedramcore_interface_bank5_rdata_valid = litedramcore_bankmachine5_req_rdata_valid; +assign litedramcore_bankmachine6_req_valid = litedramcore_interface_bank6_valid; +assign litedramcore_interface_bank6_ready = litedramcore_bankmachine6_req_ready; +assign litedramcore_bankmachine6_req_we = litedramcore_interface_bank6_we; +assign litedramcore_bankmachine6_req_addr = litedramcore_interface_bank6_addr; +assign litedramcore_interface_bank6_lock = litedramcore_bankmachine6_req_lock; +assign litedramcore_interface_bank6_wdata_ready = litedramcore_bankmachine6_req_wdata_ready; +assign litedramcore_interface_bank6_rdata_valid = litedramcore_bankmachine6_req_rdata_valid; +assign litedramcore_bankmachine7_req_valid = litedramcore_interface_bank7_valid; +assign litedramcore_interface_bank7_ready = litedramcore_bankmachine7_req_ready; +assign litedramcore_bankmachine7_req_we = litedramcore_interface_bank7_we; +assign litedramcore_bankmachine7_req_addr = litedramcore_interface_bank7_addr; +assign litedramcore_interface_bank7_lock = litedramcore_bankmachine7_req_lock; +assign litedramcore_interface_bank7_wdata_ready = litedramcore_bankmachine7_req_wdata_ready; +assign litedramcore_interface_bank7_rdata_valid = litedramcore_bankmachine7_req_rdata_valid; +assign litedramcore_timer_wait = (~litedramcore_timer_done0); +assign litedramcore_postponer_req_i = litedramcore_timer_done0; +assign litedramcore_wants_refresh = litedramcore_postponer_req_o; +assign litedramcore_wants_zqcs = litedramcore_zqcs_timer_done0; +assign litedramcore_zqcs_timer_wait = (~litedramcore_zqcs_executer_done); +assign litedramcore_timer_done1 = (litedramcore_timer_count1 == 1'd0); +assign litedramcore_timer_done0 = litedramcore_timer_done1; +assign litedramcore_timer_count0 = litedramcore_timer_count1; +assign litedramcore_sequencer_start1 = (litedramcore_sequencer_start0 | (litedramcore_sequencer_count != 1'd0)); +assign litedramcore_sequencer_done0 = (litedramcore_sequencer_done1 & (litedramcore_sequencer_count == 1'd0)); +assign litedramcore_zqcs_timer_done1 = (litedramcore_zqcs_timer_count1 == 1'd0); +assign litedramcore_zqcs_timer_done0 = litedramcore_zqcs_timer_done1; +assign litedramcore_zqcs_timer_count0 = litedramcore_zqcs_timer_count1; +always @(*) begin + litedramcore_refresher_next_state <= 2'd0; + litedramcore_refresher_next_state <= litedramcore_refresher_state; + case (litedramcore_refresher_state) + 1'd1: begin + if (litedramcore_cmd_ready) begin + litedramcore_refresher_next_state <= 2'd2; + end + end + 2'd2: begin + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin + litedramcore_refresher_next_state <= 2'd3; end else begin - builder_refresher_next_state <= 1'd0; + litedramcore_refresher_next_state <= 1'd0; end end end 2'd3: begin - if (main_litedramcore_zqcs_executer_done) begin - builder_refresher_next_state <= 1'd0; + if (litedramcore_zqcs_executer_done) begin + litedramcore_refresher_next_state <= 1'd0; end end default: begin if (1'd1) begin - if (main_litedramcore_wants_refresh) begin - builder_refresher_next_state <= 1'd1; + if (litedramcore_wants_refresh) begin + litedramcore_refresher_next_state <= 1'd1; end end end endcase end always @(*) begin - main_litedramcore_zqcs_executer_start <= 1'd0; - case (builder_refresher_state) + litedramcore_cmd_valid <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin + litedramcore_cmd_valid <= 1'd1; end 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin - main_litedramcore_zqcs_executer_start <= 1'd1; + litedramcore_cmd_valid <= 1'd1; + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin end else begin + litedramcore_cmd_valid <= 1'd0; end end end 2'd3: begin + litedramcore_cmd_valid <= 1'd1; + if (litedramcore_zqcs_executer_done) begin + litedramcore_cmd_valid <= 1'd0; + end end default: begin end endcase end always @(*) begin - main_litedramcore_cmd_last <= 1'd0; - case (builder_refresher_state) + litedramcore_zqcs_executer_start <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin end 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin + litedramcore_zqcs_executer_start <= 1'd1; end else begin - main_litedramcore_cmd_last <= 1'd1; end end end 2'd3: begin - if (main_litedramcore_zqcs_executer_done) begin - main_litedramcore_cmd_last <= 1'd1; - end end default: begin end endcase end always @(*) begin - main_litedramcore_sequencer_start0 <= 1'd0; - case (builder_refresher_state) + litedramcore_cmd_last <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin - if (main_litedramcore_cmd_ready) begin - main_litedramcore_sequencer_start0 <= 1'd1; - end end 2'd2: begin + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin + end else begin + litedramcore_cmd_last <= 1'd1; + end + end end 2'd3: begin + if (litedramcore_zqcs_executer_done) begin + litedramcore_cmd_last <= 1'd1; + end end default: begin end endcase end always @(*) begin - main_litedramcore_cmd_valid <= 1'd0; - case (builder_refresher_state) + litedramcore_sequencer_start0 <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin - main_litedramcore_cmd_valid <= 1'd1; + if (litedramcore_cmd_ready) begin + litedramcore_sequencer_start0 <= 1'd1; + end end 2'd2: begin - main_litedramcore_cmd_valid <= 1'd1; - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin - end else begin - main_litedramcore_cmd_valid <= 1'd0; - end - end end 2'd3: begin - main_litedramcore_cmd_valid <= 1'd1; - if (main_litedramcore_zqcs_executer_done) begin - main_litedramcore_cmd_valid <= 1'd0; - end end default: begin end endcase end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine0_req_valid; -assign main_litedramcore_bankmachine0_req_ready = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine0_req_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine0_req_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_valid = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine0_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_source_ready = (main_litedramcore_bankmachine0_req_wdata_ready | main_litedramcore_bankmachine0_req_rdata_valid); -assign main_litedramcore_bankmachine0_req_lock = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine0_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine0_row_hit = (main_litedramcore_bankmachine0_row == main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine0_cmd_payload_ba = 1'd0; -always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine0_row_col_n_addr_sel) begin - main_litedramcore_bankmachine0_cmd_payload_a <= main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7]; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine0_req_valid; +assign litedramcore_bankmachine0_req_ready = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine0_req_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine0_req_addr; +assign litedramcore_bankmachine0_cmd_buffer_sink_valid = litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine0_cmd_buffer_sink_ready; +assign litedramcore_bankmachine0_cmd_buffer_sink_first = litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine0_cmd_buffer_sink_last = litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine0_cmd_buffer_sink_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_sink_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_source_ready = (litedramcore_bankmachine0_req_wdata_ready | litedramcore_bankmachine0_req_rdata_valid); +assign litedramcore_bankmachine0_req_lock = (litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine0_cmd_buffer_source_valid); +assign litedramcore_bankmachine0_row_hit = (litedramcore_bankmachine0_row == litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine0_cmd_payload_ba = 1'd0; +always @(*) begin + litedramcore_bankmachine0_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine0_row_col_n_addr_sel) begin + litedramcore_bankmachine0_cmd_payload_a <= litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7]; end else begin - main_litedramcore_bankmachine0_cmd_payload_a <= ((main_litedramcore_bankmachine0_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine0_twtpcon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_cmd_payload_is_write); -assign main_litedramcore_bankmachine0_trccon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_row_open); -assign main_litedramcore_bankmachine0_trascon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_row_open); -always @(*) begin - main_litedramcore_bankmachine0_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine0_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine0_auto_precharge <= (main_litedramcore_bankmachine0_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce - 1'd1); + litedramcore_bankmachine0_cmd_payload_a <= ((litedramcore_bankmachine0_auto_precharge <<< 4'd10) | {litedramcore_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine0_twtpcon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_cmd_payload_is_write); +assign litedramcore_bankmachine0_trccon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_row_open); +assign litedramcore_bankmachine0_trascon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_row_open); +always @(*) begin + litedramcore_bankmachine0_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine0_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine0_auto_precharge <= (litedramcore_bankmachine0_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_first = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_last = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine0_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine0_cmd_buffer_lookahead_produce - 1'd1); end else begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce; + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine0_cmd_buffer_lookahead_produce; end end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine0_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine0_cmd_buffer_source_valid) | main_litedramcore_bankmachine0_cmd_buffer_source_ready); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | litedramcore_bankmachine0_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine0_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine0_cmd_buffer_sink_ready = ((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine0_next_state <= 4'd0; - builder_bankmachine0_next_state <= builder_bankmachine0_state; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_next_state <= 4'd0; + litedramcore_bankmachine0_next_state <= litedramcore_bankmachine0_state; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - if (main_litedramcore_bankmachine0_cmd_ready) begin - builder_bankmachine0_next_state <= 3'd5; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + if (litedramcore_bankmachine0_cmd_ready) begin + litedramcore_bankmachine0_next_state <= 3'd5; end end end 2'd2: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - builder_bankmachine0_next_state <= 3'd5; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_next_state <= 3'd5; end end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - if (main_litedramcore_bankmachine0_cmd_ready) begin - builder_bankmachine0_next_state <= 3'd7; + if (litedramcore_bankmachine0_trccon_ready) begin + if (litedramcore_bankmachine0_cmd_ready) begin + litedramcore_bankmachine0_next_state <= 3'd7; end end end 3'd4: begin - if ((~main_litedramcore_bankmachine0_refresh_req)) begin - builder_bankmachine0_next_state <= 1'd0; + if ((~litedramcore_bankmachine0_refresh_req)) begin + litedramcore_bankmachine0_next_state <= 1'd0; end end 3'd5: begin - builder_bankmachine0_next_state <= 3'd6; + litedramcore_bankmachine0_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine0_next_state <= 2'd3; + litedramcore_bankmachine0_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine0_next_state <= 4'd8; + litedramcore_bankmachine0_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine0_next_state <= 1'd0; + litedramcore_bankmachine0_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin - builder_bankmachine0_next_state <= 3'd4; + if (litedramcore_bankmachine0_refresh_req) begin + litedramcore_bankmachine0_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if ((main_litedramcore_bankmachine0_cmd_ready & main_litedramcore_bankmachine0_auto_precharge)) begin - builder_bankmachine0_next_state <= 2'd2; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if ((litedramcore_bankmachine0_cmd_ready & litedramcore_bankmachine0_auto_precharge)) begin + litedramcore_bankmachine0_next_state <= 2'd2; end end else begin - builder_bankmachine0_next_state <= 1'd1; + litedramcore_bankmachine0_next_state <= 1'd1; end end else begin - builder_bankmachine0_next_state <= 2'd3; + litedramcore_bankmachine0_next_state <= 2'd3; end end end @@ -5820,8 +6239,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_refresh_gnt <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -5829,9 +6248,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine0_twtpcon_ready) begin - main_litedramcore_bankmachine0_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -5842,24 +6258,71 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine0_refresh_req) begin + end else begin + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_cmd_payload_is_write <= 1'd1; + end else begin + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + if (litedramcore_bankmachine0_refresh_req) begin + end else begin + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_req_wdata_ready <= litedramcore_bankmachine0_cmd_ready; + end else begin + end + end else begin + end + end else begin + end + end end end + endcase +end +always @(*) begin + litedramcore_bankmachine0_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine0_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + end 3'd4: begin end 3'd5: begin @@ -5871,12 +6334,15 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine0_req_rdata_valid <= litedramcore_bankmachine0_cmd_ready; + end end else begin end end else begin @@ -5887,15 +6353,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_row_open <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_row_open <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -5913,18 +6379,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_row_close <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; + if (litedramcore_bankmachine0_twtpcon_ready) begin + litedramcore_bankmachine0_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -5939,13 +6405,19 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_cas <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_valid <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; + end end 3'd4: begin end @@ -5958,12 +6430,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - main_litedramcore_bankmachine0_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; end else begin end end else begin @@ -5974,18 +6446,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_row_open <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_row_open <= 1'd1; end end 3'd4: begin @@ -6003,12 +6472,35 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_row_close <= 1'd0; + case (litedramcore_bankmachine0_state) + 1'd1: begin + litedramcore_bankmachine0_row_close <= 1'd1; + end + 2'd2: begin + litedramcore_bankmachine0_row_close <= 1'd1; + end + 2'd3: begin + end + 3'd4: begin + litedramcore_bankmachine0_row_close <= 1'd1; + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + litedramcore_bankmachine0_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd1; - end end 2'd2: begin end @@ -6025,15 +6517,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd1; - end else begin - end + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + litedramcore_bankmachine0_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -6044,17 +6533,46 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; + end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + litedramcore_bankmachine0_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine0_state) + 1'd1: begin + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_we <= 1'd1; end end + 2'd2: begin + end + 2'd3: begin + end 3'd4: begin end 3'd5: begin @@ -6066,26 +6584,41 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine0_refresh_req) begin + end else begin + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_cmd_payload_we <= 1'd1; + end else begin + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -6100,8 +6633,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -6119,14 +6652,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine0_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine0_cmd_payload_is_read <= 1'd1; end end else begin end @@ -6137,38 +6670,127 @@ always @(*) begin end endcase end +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine1_req_valid; +assign litedramcore_bankmachine1_req_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine1_req_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine1_req_addr; +assign litedramcore_bankmachine1_cmd_buffer_sink_valid = litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine1_cmd_buffer_sink_ready; +assign litedramcore_bankmachine1_cmd_buffer_sink_first = litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine1_cmd_buffer_sink_last = litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine1_cmd_buffer_sink_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_sink_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_source_ready = (litedramcore_bankmachine1_req_wdata_ready | litedramcore_bankmachine1_req_rdata_valid); +assign litedramcore_bankmachine1_req_lock = (litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine1_cmd_buffer_source_valid); +assign litedramcore_bankmachine1_row_hit = (litedramcore_bankmachine1_row == litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine1_cmd_payload_ba = 1'd1; +always @(*) begin + litedramcore_bankmachine1_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine1_row_col_n_addr_sel) begin + litedramcore_bankmachine1_cmd_payload_a <= litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7]; + end else begin + litedramcore_bankmachine1_cmd_payload_a <= ((litedramcore_bankmachine1_auto_precharge <<< 4'd10) | {litedramcore_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine1_twtpcon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_cmd_payload_is_write); +assign litedramcore_bankmachine1_trccon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_row_open); +assign litedramcore_bankmachine1_trascon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_row_open); +always @(*) begin + litedramcore_bankmachine1_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine1_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine1_auto_precharge <= (litedramcore_bankmachine1_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_first = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_last = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine1_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine1_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine1_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | litedramcore_bankmachine1_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine1_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine1_cmd_buffer_sink_ready = ((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready); always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine1_next_state <= 4'd0; + litedramcore_bankmachine1_next_state <= litedramcore_bankmachine1_state; + case (litedramcore_bankmachine1_state) 1'd1: begin + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + if (litedramcore_bankmachine1_cmd_ready) begin + litedramcore_bankmachine1_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine1_trccon_ready) begin + if (litedramcore_bankmachine1_cmd_ready) begin + litedramcore_bankmachine1_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine1_refresh_req)) begin + litedramcore_bankmachine1_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine1_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine1_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine1_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine1_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin + litedramcore_bankmachine1_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_cmd_payload_is_write <= 1'd1; - end else begin + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if ((litedramcore_bankmachine1_cmd_ready & litedramcore_bankmachine1_auto_precharge)) begin + litedramcore_bankmachine1_next_state <= 2'd2; end end else begin + litedramcore_bankmachine1_next_state <= 1'd1; end end else begin + litedramcore_bankmachine1_next_state <= 2'd3; end end end @@ -6176,8 +6798,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_req_wdata_ready <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -6195,13 +6817,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_req_wdata_ready <= main_litedramcore_bankmachine0_cmd_ready; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -6214,8 +6836,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_req_rdata_valid <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine1_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -6233,14 +6855,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_req_wdata_ready <= litedramcore_bankmachine1_cmd_ready; end else begin - main_litedramcore_bankmachine0_req_rdata_valid <= main_litedramcore_bankmachine0_cmd_ready; end end else begin end @@ -6251,127 +6873,38 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine1_req_valid; -assign main_litedramcore_bankmachine1_req_ready = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine1_req_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine1_req_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_valid = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine1_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_source_ready = (main_litedramcore_bankmachine1_req_wdata_ready | main_litedramcore_bankmachine1_req_rdata_valid); -assign main_litedramcore_bankmachine1_req_lock = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine1_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine1_row_hit = (main_litedramcore_bankmachine1_row == main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine1_cmd_payload_ba = 1'd1; -always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine1_row_col_n_addr_sel) begin - main_litedramcore_bankmachine1_cmd_payload_a <= main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7]; - end else begin - main_litedramcore_bankmachine1_cmd_payload_a <= ((main_litedramcore_bankmachine1_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine1_twtpcon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_cmd_payload_is_write); -assign main_litedramcore_bankmachine1_trccon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_row_open); -assign main_litedramcore_bankmachine1_trascon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_row_open); -always @(*) begin - main_litedramcore_bankmachine1_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine1_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine1_auto_precharge <= (main_litedramcore_bankmachine1_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine1_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine1_cmd_buffer_source_valid) | main_litedramcore_bankmachine1_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine1_next_state <= 4'd0; - builder_bankmachine1_next_state <= builder_bankmachine1_state; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - if (main_litedramcore_bankmachine1_cmd_ready) begin - builder_bankmachine1_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - builder_bankmachine1_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - if (main_litedramcore_bankmachine1_cmd_ready) begin - builder_bankmachine1_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine1_refresh_req)) begin - builder_bankmachine1_next_state <= 1'd0; - end end 3'd5: begin - builder_bankmachine1_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine1_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine1_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine1_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin - builder_bankmachine1_next_state <= 3'd4; + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if ((main_litedramcore_bankmachine1_cmd_ready & main_litedramcore_bankmachine1_auto_precharge)) begin - builder_bankmachine1_next_state <= 2'd2; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine1_req_rdata_valid <= litedramcore_bankmachine1_cmd_ready; end end else begin - builder_bankmachine1_next_state <= 1'd1; end end else begin - builder_bankmachine1_next_state <= 2'd3; end end end @@ -6379,8 +6912,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_refresh_gnt <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -6388,8 +6921,8 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine1_twtpcon_ready) begin - main_litedramcore_bankmachine1_refresh_gnt <= 1'd1; + if (litedramcore_bankmachine1_twtpcon_ready) begin + litedramcore_bankmachine1_refresh_gnt <= 1'd1; end end 3'd5: begin @@ -6405,18 +6938,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_valid <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end end 3'd4: begin @@ -6430,12 +6963,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end else begin end end else begin @@ -6446,15 +6979,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_row_open <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_row_open <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -6472,18 +7005,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_row_close <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_row_open <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; end 2'd3: begin + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_row_open <= 1'd1; + end end 3'd4: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; end 3'd5: begin end @@ -6498,15 +7031,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_cas <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_row_close <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin + litedramcore_bankmachine1_row_close <= 1'd1; end 2'd2: begin + litedramcore_bankmachine1_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin + litedramcore_bankmachine1_row_close <= 1'd1; end 3'd5: begin end @@ -6517,35 +7053,17 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - main_litedramcore_bankmachine1_cmd_payload_cas <= 1'd1; - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; - end end 3'd4: begin end @@ -6558,20 +7076,35 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine1_refresh_req) begin + end else begin + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + litedramcore_bankmachine1_cmd_payload_cas <= 1'd1; + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; + end end 3'd4: begin end @@ -6584,35 +7117,20 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd1; - end else begin - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_we <= 1'd1; + end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd1; - end end 3'd4: begin end @@ -6625,26 +7143,41 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine1_refresh_req) begin + end else begin + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_cmd_payload_we <= 1'd1; + end else begin + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -6659,8 +7192,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -6678,14 +7211,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine1_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine1_cmd_payload_is_read <= 1'd1; end end else begin end @@ -6696,38 +7229,127 @@ always @(*) begin end endcase end +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine2_req_valid; +assign litedramcore_bankmachine2_req_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine2_req_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine2_req_addr; +assign litedramcore_bankmachine2_cmd_buffer_sink_valid = litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine2_cmd_buffer_sink_ready; +assign litedramcore_bankmachine2_cmd_buffer_sink_first = litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine2_cmd_buffer_sink_last = litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine2_cmd_buffer_sink_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_sink_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_source_ready = (litedramcore_bankmachine2_req_wdata_ready | litedramcore_bankmachine2_req_rdata_valid); +assign litedramcore_bankmachine2_req_lock = (litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine2_cmd_buffer_source_valid); +assign litedramcore_bankmachine2_row_hit = (litedramcore_bankmachine2_row == litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine2_cmd_payload_ba = 2'd2; always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine2_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine2_row_col_n_addr_sel) begin + litedramcore_bankmachine2_cmd_payload_a <= litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7]; + end else begin + litedramcore_bankmachine2_cmd_payload_a <= ((litedramcore_bankmachine2_auto_precharge <<< 4'd10) | {litedramcore_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine2_twtpcon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_cmd_payload_is_write); +assign litedramcore_bankmachine2_trccon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_row_open); +assign litedramcore_bankmachine2_trascon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_row_open); +always @(*) begin + litedramcore_bankmachine2_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine2_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine2_auto_precharge <= (litedramcore_bankmachine2_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_first = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_last = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine2_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine2_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine2_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | litedramcore_bankmachine2_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine2_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine2_cmd_buffer_sink_ready = ((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine2_next_state <= 4'd0; + litedramcore_bankmachine2_next_state <= litedramcore_bankmachine2_state; + case (litedramcore_bankmachine2_state) 1'd1: begin + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + if (litedramcore_bankmachine2_cmd_ready) begin + litedramcore_bankmachine2_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine2_trccon_ready) begin + if (litedramcore_bankmachine2_cmd_ready) begin + litedramcore_bankmachine2_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine2_refresh_req)) begin + litedramcore_bankmachine2_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine2_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine2_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine2_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine2_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin + litedramcore_bankmachine2_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_cmd_payload_is_write <= 1'd1; - end else begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if ((litedramcore_bankmachine2_cmd_ready & litedramcore_bankmachine2_auto_precharge)) begin + litedramcore_bankmachine2_next_state <= 2'd2; end end else begin + litedramcore_bankmachine2_next_state <= 1'd1; end end else begin + litedramcore_bankmachine2_next_state <= 2'd3; end end end @@ -6735,8 +7357,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_req_wdata_ready <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -6754,13 +7376,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_req_wdata_ready <= main_litedramcore_bankmachine1_cmd_ready; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -6773,8 +7395,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_req_rdata_valid <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine2_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -6792,14 +7414,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_req_wdata_ready <= litedramcore_bankmachine2_cmd_ready; end else begin - main_litedramcore_bankmachine1_req_rdata_valid <= main_litedramcore_bankmachine1_cmd_ready; end end else begin end @@ -6810,127 +7432,38 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine2_req_valid; -assign main_litedramcore_bankmachine2_req_ready = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine2_req_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine2_req_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_valid = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine2_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_source_ready = (main_litedramcore_bankmachine2_req_wdata_ready | main_litedramcore_bankmachine2_req_rdata_valid); -assign main_litedramcore_bankmachine2_req_lock = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine2_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine2_row_hit = (main_litedramcore_bankmachine2_row == main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine2_cmd_payload_ba = 2'd2; -always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine2_row_col_n_addr_sel) begin - main_litedramcore_bankmachine2_cmd_payload_a <= main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7]; - end else begin - main_litedramcore_bankmachine2_cmd_payload_a <= ((main_litedramcore_bankmachine2_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine2_twtpcon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_cmd_payload_is_write); -assign main_litedramcore_bankmachine2_trccon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_row_open); -assign main_litedramcore_bankmachine2_trascon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_row_open); -always @(*) begin - main_litedramcore_bankmachine2_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine2_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine2_auto_precharge <= (main_litedramcore_bankmachine2_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine2_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine2_cmd_buffer_source_valid) | main_litedramcore_bankmachine2_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine2_next_state <= 4'd0; - builder_bankmachine2_next_state <= builder_bankmachine2_state; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - if (main_litedramcore_bankmachine2_cmd_ready) begin - builder_bankmachine2_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - builder_bankmachine2_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - if (main_litedramcore_bankmachine2_cmd_ready) begin - builder_bankmachine2_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine2_refresh_req)) begin - builder_bankmachine2_next_state <= 1'd0; - end end 3'd5: begin - builder_bankmachine2_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine2_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine2_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine2_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin - builder_bankmachine2_next_state <= 3'd4; + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if ((main_litedramcore_bankmachine2_cmd_ready & main_litedramcore_bankmachine2_auto_precharge)) begin - builder_bankmachine2_next_state <= 2'd2; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine2_req_rdata_valid <= litedramcore_bankmachine2_cmd_ready; end end else begin - builder_bankmachine2_next_state <= 1'd1; end end else begin - builder_bankmachine2_next_state <= 2'd3; end end end @@ -6938,8 +7471,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_refresh_gnt <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -6947,8 +7480,8 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine2_twtpcon_ready) begin - main_litedramcore_bankmachine2_refresh_gnt <= 1'd1; + if (litedramcore_bankmachine2_twtpcon_ready) begin + litedramcore_bankmachine2_refresh_gnt <= 1'd1; end end 3'd5: begin @@ -6964,18 +7497,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_valid <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; end end 3'd4: begin @@ -6989,12 +7522,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; end else begin end end else begin @@ -7005,15 +7538,41 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_row_open <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine2_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + litedramcore_bankmachine2_row_open <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_row_open <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_row_open <= 1'd1; end end 3'd4: begin @@ -7031,18 +7590,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_row_close <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_row_close <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; + litedramcore_bankmachine2_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; + litedramcore_bankmachine2_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; + litedramcore_bankmachine2_row_close <= 1'd1; end 3'd5: begin end @@ -7057,8 +7616,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_cas <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -7076,12 +7635,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - main_litedramcore_bankmachine2_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + litedramcore_bankmachine2_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -7092,18 +7651,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -7121,11 +7680,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -7143,13 +7702,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -7162,18 +7721,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; + end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -7188,22 +7751,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; - end end 3'd4: begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -7214,41 +7770,145 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine2_refresh_req) begin + end else begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine2_cmd_payload_is_read <= 1'd1; + end + end else begin + end + end else begin + end + end + end end endcase end +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine3_req_valid; +assign litedramcore_bankmachine3_req_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine3_req_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine3_req_addr; +assign litedramcore_bankmachine3_cmd_buffer_sink_valid = litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine3_cmd_buffer_sink_ready; +assign litedramcore_bankmachine3_cmd_buffer_sink_first = litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine3_cmd_buffer_sink_last = litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine3_cmd_buffer_sink_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_sink_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_source_ready = (litedramcore_bankmachine3_req_wdata_ready | litedramcore_bankmachine3_req_rdata_valid); +assign litedramcore_bankmachine3_req_lock = (litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine3_cmd_buffer_source_valid); +assign litedramcore_bankmachine3_row_hit = (litedramcore_bankmachine3_row == litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine3_cmd_payload_ba = 2'd3; +always @(*) begin + litedramcore_bankmachine3_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine3_row_col_n_addr_sel) begin + litedramcore_bankmachine3_cmd_payload_a <= litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7]; + end else begin + litedramcore_bankmachine3_cmd_payload_a <= ((litedramcore_bankmachine3_auto_precharge <<< 4'd10) | {litedramcore_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine3_twtpcon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_cmd_payload_is_write); +assign litedramcore_bankmachine3_trccon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_row_open); +assign litedramcore_bankmachine3_trascon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_row_open); +always @(*) begin + litedramcore_bankmachine3_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine3_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine3_auto_precharge <= (litedramcore_bankmachine3_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_first = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_last = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine3_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine3_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine3_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | litedramcore_bankmachine3_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine3_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine3_cmd_buffer_sink_ready = ((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready); always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine3_next_state <= 4'd0; + litedramcore_bankmachine3_next_state <= litedramcore_bankmachine3_state; + case (litedramcore_bankmachine3_state) 1'd1: begin + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + if (litedramcore_bankmachine3_cmd_ready) begin + litedramcore_bankmachine3_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine3_trccon_ready) begin + if (litedramcore_bankmachine3_cmd_ready) begin + litedramcore_bankmachine3_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine3_refresh_req)) begin + litedramcore_bankmachine3_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine3_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine3_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine3_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine3_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin + litedramcore_bankmachine3_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine2_cmd_payload_is_read <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if ((litedramcore_bankmachine3_cmd_ready & litedramcore_bankmachine3_auto_precharge)) begin + litedramcore_bankmachine3_next_state <= 2'd2; end end else begin + litedramcore_bankmachine3_next_state <= 1'd1; end end else begin + litedramcore_bankmachine3_next_state <= 2'd3; end end end @@ -7256,8 +7916,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -7275,13 +7935,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -7294,8 +7954,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_req_wdata_ready <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine3_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -7313,13 +7973,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_req_wdata_ready <= main_litedramcore_bankmachine2_cmd_ready; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_req_wdata_ready <= litedramcore_bankmachine3_cmd_ready; end else begin end end else begin @@ -7332,13 +7992,16 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_req_rdata_valid <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd1; + end end 3'd4: begin end @@ -7351,145 +8014,41 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine2_req_rdata_valid <= main_litedramcore_bankmachine2_cmd_ready; - end - end else begin - end - end else begin - end - end - end end endcase end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine3_req_valid; -assign main_litedramcore_bankmachine3_req_ready = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine3_req_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine3_req_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_valid = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine3_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_source_ready = (main_litedramcore_bankmachine3_req_wdata_ready | main_litedramcore_bankmachine3_req_rdata_valid); -assign main_litedramcore_bankmachine3_req_lock = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine3_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine3_row_hit = (main_litedramcore_bankmachine3_row == main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine3_cmd_payload_ba = 2'd3; -always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine3_row_col_n_addr_sel) begin - main_litedramcore_bankmachine3_cmd_payload_a <= main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7]; - end else begin - main_litedramcore_bankmachine3_cmd_payload_a <= ((main_litedramcore_bankmachine3_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine3_twtpcon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_cmd_payload_is_write); -assign main_litedramcore_bankmachine3_trccon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_row_open); -assign main_litedramcore_bankmachine3_trascon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_row_open); -always @(*) begin - main_litedramcore_bankmachine3_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine3_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine3_auto_precharge <= (main_litedramcore_bankmachine3_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine3_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine3_cmd_buffer_source_valid) | main_litedramcore_bankmachine3_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine3_next_state <= 4'd0; - builder_bankmachine3_next_state <= builder_bankmachine3_state; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - if (main_litedramcore_bankmachine3_cmd_ready) begin - builder_bankmachine3_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - builder_bankmachine3_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - if (main_litedramcore_bankmachine3_cmd_ready) begin - builder_bankmachine3_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine3_refresh_req)) begin - builder_bankmachine3_next_state <= 1'd0; - end end 3'd5: begin - builder_bankmachine3_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine3_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine3_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine3_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin - builder_bankmachine3_next_state <= 3'd4; + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if ((main_litedramcore_bankmachine3_cmd_ready & main_litedramcore_bankmachine3_auto_precharge)) begin - builder_bankmachine3_next_state <= 2'd2; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine3_req_rdata_valid <= litedramcore_bankmachine3_cmd_ready; end end else begin - builder_bankmachine3_next_state <= 1'd1; end end else begin - builder_bankmachine3_next_state <= 2'd3; end end end @@ -7497,8 +8056,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_refresh_gnt <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -7506,8 +8065,8 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine3_twtpcon_ready) begin - main_litedramcore_bankmachine3_refresh_gnt <= 1'd1; + if (litedramcore_bankmachine3_twtpcon_ready) begin + litedramcore_bankmachine3_refresh_gnt <= 1'd1; end end 3'd5: begin @@ -7523,18 +8082,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_valid <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end end 3'd4: begin @@ -7548,12 +8107,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end else begin end end else begin @@ -7564,15 +8123,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_row_open <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_row_open <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_row_open <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_row_open <= 1'd1; end end 3'd4: begin @@ -7590,18 +8149,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_row_close <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_row_close <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; + litedramcore_bankmachine3_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; + litedramcore_bankmachine3_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; + litedramcore_bankmachine3_row_close <= 1'd1; end 3'd5: begin end @@ -7616,8 +8175,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_cas <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -7635,12 +8194,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - main_litedramcore_bankmachine3_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + litedramcore_bankmachine3_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -7651,18 +8210,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -7680,11 +8239,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -7702,13 +8261,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -7721,48 +8280,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine3_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -7777,8 +8310,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -7796,14 +8329,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine3_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine3_cmd_payload_is_read <= 1'd1; end end else begin end @@ -7814,38 +8347,127 @@ always @(*) begin end endcase end +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine4_req_valid; +assign litedramcore_bankmachine4_req_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine4_req_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine4_req_addr; +assign litedramcore_bankmachine4_cmd_buffer_sink_valid = litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine4_cmd_buffer_sink_ready; +assign litedramcore_bankmachine4_cmd_buffer_sink_first = litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine4_cmd_buffer_sink_last = litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine4_cmd_buffer_sink_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_sink_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_source_ready = (litedramcore_bankmachine4_req_wdata_ready | litedramcore_bankmachine4_req_rdata_valid); +assign litedramcore_bankmachine4_req_lock = (litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine4_cmd_buffer_source_valid); +assign litedramcore_bankmachine4_row_hit = (litedramcore_bankmachine4_row == litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine4_cmd_payload_ba = 3'd4; +always @(*) begin + litedramcore_bankmachine4_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine4_row_col_n_addr_sel) begin + litedramcore_bankmachine4_cmd_payload_a <= litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7]; + end else begin + litedramcore_bankmachine4_cmd_payload_a <= ((litedramcore_bankmachine4_auto_precharge <<< 4'd10) | {litedramcore_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine4_twtpcon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_cmd_payload_is_write); +assign litedramcore_bankmachine4_trccon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_row_open); +assign litedramcore_bankmachine4_trascon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_row_open); +always @(*) begin + litedramcore_bankmachine4_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine4_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine4_auto_precharge <= (litedramcore_bankmachine4_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_first = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_last = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine4_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine4_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine4_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | litedramcore_bankmachine4_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine4_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine4_cmd_buffer_sink_ready = ((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready); always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine4_next_state <= 4'd0; + litedramcore_bankmachine4_next_state <= litedramcore_bankmachine4_state; + case (litedramcore_bankmachine4_state) 1'd1: begin + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + if (litedramcore_bankmachine4_cmd_ready) begin + litedramcore_bankmachine4_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine4_trccon_ready) begin + if (litedramcore_bankmachine4_cmd_ready) begin + litedramcore_bankmachine4_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine4_refresh_req)) begin + litedramcore_bankmachine4_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine4_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine4_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine4_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine4_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin + litedramcore_bankmachine4_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_cmd_payload_is_write <= 1'd1; - end else begin + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if ((litedramcore_bankmachine4_cmd_ready & litedramcore_bankmachine4_auto_precharge)) begin + litedramcore_bankmachine4_next_state <= 2'd2; end end else begin + litedramcore_bankmachine4_next_state <= 1'd1; end end else begin + litedramcore_bankmachine4_next_state <= 2'd3; end end end @@ -7853,8 +8475,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_req_wdata_ready <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -7872,13 +8494,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_req_wdata_ready <= main_litedramcore_bankmachine3_cmd_ready; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -7891,8 +8513,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_req_rdata_valid <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine4_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -7910,14 +8532,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_req_wdata_ready <= litedramcore_bankmachine4_cmd_ready; end else begin - main_litedramcore_bankmachine3_req_rdata_valid <= main_litedramcore_bankmachine3_cmd_ready; end end else begin end @@ -7928,127 +8550,38 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine4_req_valid; -assign main_litedramcore_bankmachine4_req_ready = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine4_req_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine4_req_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_valid = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine4_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_source_ready = (main_litedramcore_bankmachine4_req_wdata_ready | main_litedramcore_bankmachine4_req_rdata_valid); -assign main_litedramcore_bankmachine4_req_lock = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine4_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine4_row_hit = (main_litedramcore_bankmachine4_row == main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine4_cmd_payload_ba = 3'd4; -always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine4_row_col_n_addr_sel) begin - main_litedramcore_bankmachine4_cmd_payload_a <= main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7]; - end else begin - main_litedramcore_bankmachine4_cmd_payload_a <= ((main_litedramcore_bankmachine4_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine4_twtpcon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_cmd_payload_is_write); -assign main_litedramcore_bankmachine4_trccon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_row_open); -assign main_litedramcore_bankmachine4_trascon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_row_open); -always @(*) begin - main_litedramcore_bankmachine4_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine4_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine4_auto_precharge <= (main_litedramcore_bankmachine4_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine4_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine4_cmd_buffer_source_valid) | main_litedramcore_bankmachine4_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine4_next_state <= 4'd0; - builder_bankmachine4_next_state <= builder_bankmachine4_state; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - if (main_litedramcore_bankmachine4_cmd_ready) begin - builder_bankmachine4_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - builder_bankmachine4_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - if (main_litedramcore_bankmachine4_cmd_ready) begin - builder_bankmachine4_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine4_refresh_req)) begin - builder_bankmachine4_next_state <= 1'd0; - end end 3'd5: begin - builder_bankmachine4_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine4_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine4_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine4_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin - builder_bankmachine4_next_state <= 3'd4; + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if ((main_litedramcore_bankmachine4_cmd_ready & main_litedramcore_bankmachine4_auto_precharge)) begin - builder_bankmachine4_next_state <= 2'd2; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine4_req_rdata_valid <= litedramcore_bankmachine4_cmd_ready; end end else begin - builder_bankmachine4_next_state <= 1'd1; end end else begin - builder_bankmachine4_next_state <= 2'd3; end end end @@ -8056,8 +8589,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_refresh_gnt <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -8065,8 +8598,8 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine4_twtpcon_ready) begin - main_litedramcore_bankmachine4_refresh_gnt <= 1'd1; + if (litedramcore_bankmachine4_twtpcon_ready) begin + litedramcore_bankmachine4_refresh_gnt <= 1'd1; end end 3'd5: begin @@ -8082,18 +8615,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_valid <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; end end 3'd4: begin @@ -8107,12 +8640,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; end else begin end end else begin @@ -8123,15 +8656,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_row_open <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_row_open <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -8149,39 +8682,16 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_row_close <= 1'd0; - case (builder_bankmachine4_state) - 1'd1: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; - end - 2'd2: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; - end - 2'd3: begin - end - 3'd4: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_cas <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_row_open <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_row_open <= 1'd1; + end end 3'd4: begin end @@ -8194,37 +8704,22 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - main_litedramcore_bankmachine4_cmd_payload_cas <= 1'd1; - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_row_close <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; - end + litedramcore_bankmachine4_row_close <= 1'd1; end 2'd2: begin + litedramcore_bankmachine4_row_close <= 1'd1; end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; - end end 3'd4: begin + litedramcore_bankmachine4_row_close <= 1'd1; end 3'd5: begin end @@ -8239,12 +8734,9 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd1; - end end 2'd2: begin end @@ -8261,15 +8753,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd1; - end else begin - end + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + litedramcore_bankmachine4_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -8280,48 +8769,21 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine4_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -8336,48 +8798,13 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine4_cmd_payload_is_read <= 1'd1; - end - end else begin - end - end else begin - end - end + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_we <= 1'd1; end end - endcase -end -always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine4_state) - 1'd1: begin - end 2'd2: begin end 2'd3: begin @@ -8393,13 +8820,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -8412,15 +8839,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_req_wdata_ready <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + end end 3'd4: begin + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -8431,27 +8865,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_req_wdata_ready <= main_litedramcore_bankmachine4_cmd_ready; - end else begin - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine4_req_rdata_valid <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -8469,14 +8888,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine4_req_rdata_valid <= main_litedramcore_bankmachine4_cmd_ready; + litedramcore_bankmachine4_cmd_payload_is_read <= 1'd1; end end else begin end @@ -8487,127 +8906,127 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine5_req_valid; -assign main_litedramcore_bankmachine5_req_ready = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine5_req_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine5_req_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_valid = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine5_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_source_ready = (main_litedramcore_bankmachine5_req_wdata_ready | main_litedramcore_bankmachine5_req_rdata_valid); -assign main_litedramcore_bankmachine5_req_lock = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine5_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine5_row_hit = (main_litedramcore_bankmachine5_row == main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine5_cmd_payload_ba = 3'd5; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine5_req_valid; +assign litedramcore_bankmachine5_req_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine5_req_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine5_req_addr; +assign litedramcore_bankmachine5_cmd_buffer_sink_valid = litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine5_cmd_buffer_sink_ready; +assign litedramcore_bankmachine5_cmd_buffer_sink_first = litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine5_cmd_buffer_sink_last = litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine5_cmd_buffer_sink_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_sink_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_source_ready = (litedramcore_bankmachine5_req_wdata_ready | litedramcore_bankmachine5_req_rdata_valid); +assign litedramcore_bankmachine5_req_lock = (litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine5_cmd_buffer_source_valid); +assign litedramcore_bankmachine5_row_hit = (litedramcore_bankmachine5_row == litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine5_cmd_payload_ba = 3'd5; always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine5_row_col_n_addr_sel) begin - main_litedramcore_bankmachine5_cmd_payload_a <= main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7]; + litedramcore_bankmachine5_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine5_row_col_n_addr_sel) begin + litedramcore_bankmachine5_cmd_payload_a <= litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7]; end else begin - main_litedramcore_bankmachine5_cmd_payload_a <= ((main_litedramcore_bankmachine5_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine5_twtpcon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_cmd_payload_is_write); -assign main_litedramcore_bankmachine5_trccon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_row_open); -assign main_litedramcore_bankmachine5_trascon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_row_open); -always @(*) begin - main_litedramcore_bankmachine5_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine5_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine5_auto_precharge <= (main_litedramcore_bankmachine5_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce - 1'd1); + litedramcore_bankmachine5_cmd_payload_a <= ((litedramcore_bankmachine5_auto_precharge <<< 4'd10) | {litedramcore_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine5_twtpcon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_cmd_payload_is_write); +assign litedramcore_bankmachine5_trccon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_row_open); +assign litedramcore_bankmachine5_trascon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_row_open); +always @(*) begin + litedramcore_bankmachine5_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine5_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine5_auto_precharge <= (litedramcore_bankmachine5_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_first = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_last = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine5_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine5_cmd_buffer_lookahead_produce - 1'd1); end else begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce; + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine5_cmd_buffer_lookahead_produce; end end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine5_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine5_cmd_buffer_source_valid) | main_litedramcore_bankmachine5_cmd_buffer_source_ready); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | litedramcore_bankmachine5_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine5_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine5_cmd_buffer_sink_ready = ((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine5_next_state <= 4'd0; - builder_bankmachine5_next_state <= builder_bankmachine5_state; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_next_state <= 4'd0; + litedramcore_bankmachine5_next_state <= litedramcore_bankmachine5_state; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - if (main_litedramcore_bankmachine5_cmd_ready) begin - builder_bankmachine5_next_state <= 3'd5; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + if (litedramcore_bankmachine5_cmd_ready) begin + litedramcore_bankmachine5_next_state <= 3'd5; end end end 2'd2: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - builder_bankmachine5_next_state <= 3'd5; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_next_state <= 3'd5; end end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - if (main_litedramcore_bankmachine5_cmd_ready) begin - builder_bankmachine5_next_state <= 3'd7; + if (litedramcore_bankmachine5_trccon_ready) begin + if (litedramcore_bankmachine5_cmd_ready) begin + litedramcore_bankmachine5_next_state <= 3'd7; end end end 3'd4: begin - if ((~main_litedramcore_bankmachine5_refresh_req)) begin - builder_bankmachine5_next_state <= 1'd0; + if ((~litedramcore_bankmachine5_refresh_req)) begin + litedramcore_bankmachine5_next_state <= 1'd0; end end 3'd5: begin - builder_bankmachine5_next_state <= 3'd6; + litedramcore_bankmachine5_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine5_next_state <= 2'd3; + litedramcore_bankmachine5_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine5_next_state <= 4'd8; + litedramcore_bankmachine5_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine5_next_state <= 1'd0; + litedramcore_bankmachine5_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin - builder_bankmachine5_next_state <= 3'd4; + if (litedramcore_bankmachine5_refresh_req) begin + litedramcore_bankmachine5_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if ((main_litedramcore_bankmachine5_cmd_ready & main_litedramcore_bankmachine5_auto_precharge)) begin - builder_bankmachine5_next_state <= 2'd2; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if ((litedramcore_bankmachine5_cmd_ready & litedramcore_bankmachine5_auto_precharge)) begin + litedramcore_bankmachine5_next_state <= 2'd2; end end else begin - builder_bankmachine5_next_state <= 1'd1; + litedramcore_bankmachine5_next_state <= 1'd1; end end else begin - builder_bankmachine5_next_state <= 2'd3; + litedramcore_bankmachine5_next_state <= 2'd3; end end end @@ -8615,8 +9034,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_refresh_gnt <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -8624,9 +9043,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine5_twtpcon_ready) begin - main_litedramcore_bankmachine5_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -8637,23 +9053,32 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine5_refresh_req) begin + end else begin + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_cmd_payload_is_write <= 1'd1; + end else begin + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; - end end 3'd4: begin end @@ -8666,12 +9091,15 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_req_wdata_ready <= litedramcore_bankmachine5_cmd_ready; + end else begin + end end else begin end end else begin @@ -8682,16 +9110,13 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_row_open <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_row_open <= 1'd1; - end end 3'd4: begin end @@ -8704,22 +9129,37 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine5_refresh_req) begin + end else begin + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine5_req_rdata_valid <= litedramcore_bankmachine5_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine5_row_close <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; + if (litedramcore_bankmachine5_twtpcon_ready) begin + litedramcore_bankmachine5_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -8734,13 +9174,19 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_cas <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_valid <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; + end end 3'd4: begin end @@ -8753,12 +9199,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - main_litedramcore_bankmachine5_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; end else begin end end else begin @@ -8769,18 +9215,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_row_open <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_row_open <= 1'd1; end end 3'd4: begin @@ -8798,18 +9241,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_row_close <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd1; - end + litedramcore_bankmachine5_row_close <= 1'd1; end 2'd2: begin + litedramcore_bankmachine5_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin + litedramcore_bankmachine5_row_close <= 1'd1; end 3'd5: begin end @@ -8819,35 +9262,20 @@ always @(*) begin end 4'd8: begin end - default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd1; - end else begin - end - end else begin - end - end else begin - end - end - end + default: begin end endcase end always @(*) begin - main_litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -8865,22 +9293,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; - end end 3'd4: begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -8891,17 +9312,35 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine5_refresh_req) begin + end else begin + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + litedramcore_bankmachine5_cmd_payload_cas <= 1'd1; + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; + end end 3'd4: begin end @@ -8914,28 +9353,16 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine5_cmd_payload_is_read <= 1'd1; - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_we <= 1'd1; + end end 2'd2: begin end @@ -8952,13 +9379,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -8971,15 +9398,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_req_wdata_ready <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; + end end 3'd4: begin + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -8990,27 +9424,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_req_wdata_ready <= main_litedramcore_bankmachine5_cmd_ready; - end else begin - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine5_req_rdata_valid <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -9028,14 +9447,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine5_req_rdata_valid <= main_litedramcore_bankmachine5_cmd_ready; + litedramcore_bankmachine5_cmd_payload_is_read <= 1'd1; end end else begin end @@ -9046,127 +9465,127 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine6_req_valid; -assign main_litedramcore_bankmachine6_req_ready = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine6_req_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine6_req_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_valid = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine6_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_source_ready = (main_litedramcore_bankmachine6_req_wdata_ready | main_litedramcore_bankmachine6_req_rdata_valid); -assign main_litedramcore_bankmachine6_req_lock = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine6_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine6_row_hit = (main_litedramcore_bankmachine6_row == main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine6_cmd_payload_ba = 3'd6; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine6_req_valid; +assign litedramcore_bankmachine6_req_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine6_req_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine6_req_addr; +assign litedramcore_bankmachine6_cmd_buffer_sink_valid = litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine6_cmd_buffer_sink_ready; +assign litedramcore_bankmachine6_cmd_buffer_sink_first = litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine6_cmd_buffer_sink_last = litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine6_cmd_buffer_sink_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_sink_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_source_ready = (litedramcore_bankmachine6_req_wdata_ready | litedramcore_bankmachine6_req_rdata_valid); +assign litedramcore_bankmachine6_req_lock = (litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine6_cmd_buffer_source_valid); +assign litedramcore_bankmachine6_row_hit = (litedramcore_bankmachine6_row == litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine6_cmd_payload_ba = 3'd6; always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine6_row_col_n_addr_sel) begin - main_litedramcore_bankmachine6_cmd_payload_a <= main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7]; + litedramcore_bankmachine6_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine6_row_col_n_addr_sel) begin + litedramcore_bankmachine6_cmd_payload_a <= litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7]; end else begin - main_litedramcore_bankmachine6_cmd_payload_a <= ((main_litedramcore_bankmachine6_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine6_twtpcon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_cmd_payload_is_write); -assign main_litedramcore_bankmachine6_trccon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_row_open); -assign main_litedramcore_bankmachine6_trascon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_row_open); -always @(*) begin - main_litedramcore_bankmachine6_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine6_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine6_auto_precharge <= (main_litedramcore_bankmachine6_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce - 1'd1); + litedramcore_bankmachine6_cmd_payload_a <= ((litedramcore_bankmachine6_auto_precharge <<< 4'd10) | {litedramcore_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine6_twtpcon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_cmd_payload_is_write); +assign litedramcore_bankmachine6_trccon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_row_open); +assign litedramcore_bankmachine6_trascon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_row_open); +always @(*) begin + litedramcore_bankmachine6_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine6_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine6_auto_precharge <= (litedramcore_bankmachine6_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_first = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_last = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine6_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine6_cmd_buffer_lookahead_produce - 1'd1); end else begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce; + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine6_cmd_buffer_lookahead_produce; end end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine6_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine6_cmd_buffer_source_valid) | main_litedramcore_bankmachine6_cmd_buffer_source_ready); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | litedramcore_bankmachine6_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine6_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine6_cmd_buffer_sink_ready = ((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine6_next_state <= 4'd0; - builder_bankmachine6_next_state <= builder_bankmachine6_state; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_next_state <= 4'd0; + litedramcore_bankmachine6_next_state <= litedramcore_bankmachine6_state; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - if (main_litedramcore_bankmachine6_cmd_ready) begin - builder_bankmachine6_next_state <= 3'd5; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + if (litedramcore_bankmachine6_cmd_ready) begin + litedramcore_bankmachine6_next_state <= 3'd5; end end end 2'd2: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - builder_bankmachine6_next_state <= 3'd5; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_next_state <= 3'd5; end end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - if (main_litedramcore_bankmachine6_cmd_ready) begin - builder_bankmachine6_next_state <= 3'd7; + if (litedramcore_bankmachine6_trccon_ready) begin + if (litedramcore_bankmachine6_cmd_ready) begin + litedramcore_bankmachine6_next_state <= 3'd7; end end end 3'd4: begin - if ((~main_litedramcore_bankmachine6_refresh_req)) begin - builder_bankmachine6_next_state <= 1'd0; + if ((~litedramcore_bankmachine6_refresh_req)) begin + litedramcore_bankmachine6_next_state <= 1'd0; end end 3'd5: begin - builder_bankmachine6_next_state <= 3'd6; + litedramcore_bankmachine6_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine6_next_state <= 2'd3; + litedramcore_bankmachine6_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine6_next_state <= 4'd8; + litedramcore_bankmachine6_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine6_next_state <= 1'd0; + litedramcore_bankmachine6_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin - builder_bankmachine6_next_state <= 3'd4; + if (litedramcore_bankmachine6_refresh_req) begin + litedramcore_bankmachine6_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if ((main_litedramcore_bankmachine6_cmd_ready & main_litedramcore_bankmachine6_auto_precharge)) begin - builder_bankmachine6_next_state <= 2'd2; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if ((litedramcore_bankmachine6_cmd_ready & litedramcore_bankmachine6_auto_precharge)) begin + litedramcore_bankmachine6_next_state <= 2'd2; end end else begin - builder_bankmachine6_next_state <= 1'd1; + litedramcore_bankmachine6_next_state <= 1'd1; end end else begin - builder_bankmachine6_next_state <= 2'd3; + litedramcore_bankmachine6_next_state <= 2'd3; end end end @@ -9174,8 +9593,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_refresh_gnt <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -9183,9 +9602,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine6_twtpcon_ready) begin - main_litedramcore_bankmachine6_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -9196,23 +9612,32 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine6_refresh_req) begin + end else begin + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_cmd_payload_is_write <= 1'd1; + end else begin + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; - end end 3'd4: begin end @@ -9225,12 +9650,15 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_req_wdata_ready <= litedramcore_bankmachine6_cmd_ready; + end else begin + end end else begin end end else begin @@ -9241,15 +9669,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_row_open <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_row_open <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -9267,34 +9695,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_row_close <= 1'd0; - case (builder_bankmachine6_state) - 1'd1: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; - end - 2'd2: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; - end - 2'd3: begin - end - 3'd4: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_cas <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -9312,12 +9714,15 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - main_litedramcore_bankmachine6_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine6_req_rdata_valid <= litedramcore_bankmachine6_cmd_ready; + end end else begin end end else begin @@ -9328,21 +9733,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; - end end 3'd4: begin + if (litedramcore_bankmachine6_twtpcon_ready) begin + litedramcore_bankmachine6_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -9357,16 +9759,19 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_valid <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; + end end 3'd4: begin end @@ -9379,15 +9784,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd1; - end else begin - end + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; end else begin end end else begin @@ -9398,15 +9800,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_open <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_row_open <= 1'd1; end end 3'd4: begin @@ -9424,22 +9826,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_close <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; - end + litedramcore_bankmachine6_row_close <= 1'd1; end 2'd2: begin + litedramcore_bankmachine6_row_close <= 1'd1; end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; - end end 3'd4: begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine6_row_close <= 1'd1; end 3'd5: begin end @@ -9454,8 +9852,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -9473,15 +9871,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine6_cmd_payload_is_read <= 1'd1; - end + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + litedramcore_bankmachine6_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -9492,13 +9887,19 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; + end end 3'd4: begin end @@ -9511,28 +9912,16 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_cmd_payload_is_write <= 1'd1; - end else begin - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine6_req_wdata_ready <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_we <= 1'd1; + end end 2'd2: begin end @@ -9549,13 +9938,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_req_wdata_ready <= main_litedramcore_bankmachine6_cmd_ready; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -9568,8 +9957,38 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_req_rdata_valid <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine6_state) + 1'd1: begin + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + end + end + 2'd2: begin + end + 2'd3: begin + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + end + end + 3'd4: begin + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -9587,14 +10006,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine6_req_rdata_valid <= main_litedramcore_bankmachine6_cmd_ready; + litedramcore_bankmachine6_cmd_payload_is_read <= 1'd1; end end else begin end @@ -9605,127 +10024,127 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine7_req_valid; -assign main_litedramcore_bankmachine7_req_ready = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine7_req_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine7_req_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_valid = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine7_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_source_ready = (main_litedramcore_bankmachine7_req_wdata_ready | main_litedramcore_bankmachine7_req_rdata_valid); -assign main_litedramcore_bankmachine7_req_lock = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine7_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine7_row_hit = (main_litedramcore_bankmachine7_row == main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine7_cmd_payload_ba = 3'd7; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine7_req_valid; +assign litedramcore_bankmachine7_req_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine7_req_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine7_req_addr; +assign litedramcore_bankmachine7_cmd_buffer_sink_valid = litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine7_cmd_buffer_sink_ready; +assign litedramcore_bankmachine7_cmd_buffer_sink_first = litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine7_cmd_buffer_sink_last = litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine7_cmd_buffer_sink_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_sink_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_source_ready = (litedramcore_bankmachine7_req_wdata_ready | litedramcore_bankmachine7_req_rdata_valid); +assign litedramcore_bankmachine7_req_lock = (litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine7_cmd_buffer_source_valid); +assign litedramcore_bankmachine7_row_hit = (litedramcore_bankmachine7_row == litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine7_cmd_payload_ba = 3'd7; always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine7_row_col_n_addr_sel) begin - main_litedramcore_bankmachine7_cmd_payload_a <= main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7]; + litedramcore_bankmachine7_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine7_row_col_n_addr_sel) begin + litedramcore_bankmachine7_cmd_payload_a <= litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7]; end else begin - main_litedramcore_bankmachine7_cmd_payload_a <= ((main_litedramcore_bankmachine7_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine7_twtpcon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_cmd_payload_is_write); -assign main_litedramcore_bankmachine7_trccon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_row_open); -assign main_litedramcore_bankmachine7_trascon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_row_open); -always @(*) begin - main_litedramcore_bankmachine7_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine7_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine7_auto_precharge <= (main_litedramcore_bankmachine7_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce - 1'd1); + litedramcore_bankmachine7_cmd_payload_a <= ((litedramcore_bankmachine7_auto_precharge <<< 4'd10) | {litedramcore_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine7_twtpcon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_cmd_payload_is_write); +assign litedramcore_bankmachine7_trccon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_row_open); +assign litedramcore_bankmachine7_trascon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_row_open); +always @(*) begin + litedramcore_bankmachine7_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine7_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine7_auto_precharge <= (litedramcore_bankmachine7_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_first = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_last = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine7_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine7_cmd_buffer_lookahead_produce - 1'd1); end else begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce; + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine7_cmd_buffer_lookahead_produce; end end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine7_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine7_cmd_buffer_source_valid) | main_litedramcore_bankmachine7_cmd_buffer_source_ready); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | litedramcore_bankmachine7_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine7_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine7_cmd_buffer_sink_ready = ((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine7_next_state <= 4'd0; - builder_bankmachine7_next_state <= builder_bankmachine7_state; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_next_state <= 4'd0; + litedramcore_bankmachine7_next_state <= litedramcore_bankmachine7_state; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - if (main_litedramcore_bankmachine7_cmd_ready) begin - builder_bankmachine7_next_state <= 3'd5; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + if (litedramcore_bankmachine7_cmd_ready) begin + litedramcore_bankmachine7_next_state <= 3'd5; end end end 2'd2: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - builder_bankmachine7_next_state <= 3'd5; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_next_state <= 3'd5; end end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - if (main_litedramcore_bankmachine7_cmd_ready) begin - builder_bankmachine7_next_state <= 3'd7; + if (litedramcore_bankmachine7_trccon_ready) begin + if (litedramcore_bankmachine7_cmd_ready) begin + litedramcore_bankmachine7_next_state <= 3'd7; end end end 3'd4: begin - if ((~main_litedramcore_bankmachine7_refresh_req)) begin - builder_bankmachine7_next_state <= 1'd0; + if ((~litedramcore_bankmachine7_refresh_req)) begin + litedramcore_bankmachine7_next_state <= 1'd0; end end 3'd5: begin - builder_bankmachine7_next_state <= 3'd6; + litedramcore_bankmachine7_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine7_next_state <= 2'd3; + litedramcore_bankmachine7_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine7_next_state <= 4'd8; + litedramcore_bankmachine7_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine7_next_state <= 1'd0; + litedramcore_bankmachine7_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - builder_bankmachine7_next_state <= 3'd4; + if (litedramcore_bankmachine7_refresh_req) begin + litedramcore_bankmachine7_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if ((main_litedramcore_bankmachine7_cmd_ready & main_litedramcore_bankmachine7_auto_precharge)) begin - builder_bankmachine7_next_state <= 2'd2; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if ((litedramcore_bankmachine7_cmd_ready & litedramcore_bankmachine7_auto_precharge)) begin + litedramcore_bankmachine7_next_state <= 2'd2; end end else begin - builder_bankmachine7_next_state <= 1'd1; + litedramcore_bankmachine7_next_state <= 1'd1; end end else begin - builder_bankmachine7_next_state <= 2'd3; + litedramcore_bankmachine7_next_state <= 2'd3; end end end @@ -9733,8 +10152,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_refresh_gnt <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -9742,9 +10161,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine7_twtpcon_ready) begin - main_litedramcore_bankmachine7_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -9755,23 +10171,32 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine7_refresh_req) begin + end else begin + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_cmd_payload_is_write <= 1'd1; + end else begin + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; - end end 3'd4: begin end @@ -9784,12 +10209,15 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_req_wdata_ready <= litedramcore_bankmachine7_cmd_ready; + end else begin + end end else begin end end else begin @@ -9800,16 +10228,13 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_row_open <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_row_open <= 1'd1; - end end 3'd4: begin end @@ -9822,22 +10247,37 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine7_refresh_req) begin + end else begin + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine7_req_rdata_valid <= litedramcore_bankmachine7_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine7_row_close <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; end 2'd3: begin + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd1; + end end 3'd4: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; end 3'd5: begin end @@ -9852,8 +10292,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_cas <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -9861,6 +10301,9 @@ always @(*) begin 2'd3: begin end 3'd4: begin + if (litedramcore_bankmachine7_twtpcon_ready) begin + litedramcore_bankmachine7_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -9871,34 +10314,22 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - main_litedramcore_bankmachine7_cmd_payload_cas <= 1'd1; - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_valid <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; end end 3'd4: begin @@ -9912,20 +10343,32 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine7_refresh_req) begin + end else begin + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_open <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd1; - end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_row_open <= 1'd1; + end end 3'd4: begin end @@ -9938,37 +10381,22 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd1; - end else begin - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_close <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin + litedramcore_bankmachine7_row_close <= 1'd1; end 2'd2: begin + litedramcore_bankmachine7_row_close <= 1'd1; end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd1; - end end 3'd4: begin + litedramcore_bankmachine7_row_close <= 1'd1; end 3'd5: begin end @@ -9983,22 +10411,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; - end end 3'd4: begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -10009,17 +10430,35 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine7_refresh_req) begin + end else begin + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + litedramcore_bankmachine7_cmd_payload_cas <= 1'd1; + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; + end end 3'd4: begin end @@ -10032,28 +10471,16 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine7_cmd_payload_is_read <= 1'd1; - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_we <= 1'd1; + end end 2'd2: begin end @@ -10070,13 +10497,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -10089,15 +10516,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_req_wdata_ready <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; + end end 3'd4: begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -10108,27 +10542,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_req_wdata_ready <= main_litedramcore_bankmachine7_cmd_ready; - end else begin - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine7_req_rdata_valid <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -10146,14 +10565,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine7_req_rdata_valid <= main_litedramcore_bankmachine7_cmd_ready; + litedramcore_bankmachine7_cmd_payload_is_read <= 1'd1; end end else begin end @@ -10164,265 +10583,266 @@ always @(*) begin end endcase end -assign main_litedramcore_rdcmdphase = (main_k7ddrphy_rdphase_storage - 1'd1); -assign main_litedramcore_wrcmdphase = (main_k7ddrphy_wrphase_storage - 1'd1); -assign main_litedramcore_trrdcon_valid = ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & ((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))); -assign main_litedramcore_tfawcon_valid = ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & ((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))); -assign main_litedramcore_ras_allowed = (main_litedramcore_trrdcon_ready & main_litedramcore_tfawcon_ready); -assign main_litedramcore_tccdcon_valid = ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_cmd_payload_is_write | main_litedramcore_choose_req_cmd_payload_is_read)); -assign main_litedramcore_cas_allowed = main_litedramcore_tccdcon_ready; -assign main_litedramcore_twtrcon_valid = ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); -assign main_litedramcore_read_available = ((((((((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_payload_is_read) | (main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_payload_is_read)) | (main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_payload_is_read)) | (main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_payload_is_read)) | (main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_payload_is_read)) | (main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_payload_is_read)) | (main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_payload_is_read)) | (main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_payload_is_read)); -assign main_litedramcore_write_available = ((((((((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_payload_is_write) | (main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_payload_is_write)) | (main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_payload_is_write)) | (main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_payload_is_write)) | (main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_payload_is_write)) | (main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_payload_is_write)) | (main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_payload_is_write)) | (main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_payload_is_write)); -assign main_litedramcore_max_time0 = (main_litedramcore_time0 == 1'd0); -assign main_litedramcore_max_time1 = (main_litedramcore_time1 == 1'd0); -assign main_litedramcore_bankmachine0_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine1_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine2_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine3_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine4_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine5_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine6_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine7_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_go_to_refresh = (((((((main_litedramcore_bankmachine0_refresh_gnt & main_litedramcore_bankmachine1_refresh_gnt) & main_litedramcore_bankmachine2_refresh_gnt) & main_litedramcore_bankmachine3_refresh_gnt) & main_litedramcore_bankmachine4_refresh_gnt) & main_litedramcore_bankmachine5_refresh_gnt) & main_litedramcore_bankmachine6_refresh_gnt) & main_litedramcore_bankmachine7_refresh_gnt); -assign main_litedramcore_interface_rdata = {main_litedramcore_dfi_p3_rddata, main_litedramcore_dfi_p2_rddata, main_litedramcore_dfi_p1_rddata, main_litedramcore_dfi_p0_rddata}; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); +assign litedramcore_rdcmdphase = (k7ddrphy_rdphase_storage - 1'd1); +assign litedramcore_wrcmdphase = (k7ddrphy_wrphase_storage - 1'd1); +assign litedramcore_trrdcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))); +assign litedramcore_tfawcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))); +assign litedramcore_ras_allowed = (litedramcore_trrdcon_ready & litedramcore_tfawcon_ready); +assign litedramcore_tccdcon_valid = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_cmd_payload_is_write | litedramcore_choose_req_cmd_payload_is_read)); +assign litedramcore_cas_allowed = litedramcore_tccdcon_ready; +assign litedramcore_twtrcon_valid = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); +assign litedramcore_read_available = ((((((((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_payload_is_read) | (litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_payload_is_read)) | (litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_payload_is_read)) | (litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_payload_is_read)) | (litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_payload_is_read)) | (litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_payload_is_read)) | (litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_payload_is_read)) | (litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_payload_is_read)); +assign litedramcore_write_available = ((((((((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_payload_is_write) | (litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_payload_is_write)) | (litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_payload_is_write)) | (litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_payload_is_write)) | (litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_payload_is_write)) | (litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_payload_is_write)) | (litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_payload_is_write)) | (litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_payload_is_write)); +assign litedramcore_max_time0 = (litedramcore_time0 == 1'd0); +assign litedramcore_max_time1 = (litedramcore_time1 == 1'd0); +assign litedramcore_bankmachine0_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine1_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine2_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine3_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine4_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine5_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine6_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine7_refresh_req = litedramcore_cmd_valid; +assign litedramcore_go_to_refresh = (((((((litedramcore_bankmachine0_refresh_gnt & litedramcore_bankmachine1_refresh_gnt) & litedramcore_bankmachine2_refresh_gnt) & litedramcore_bankmachine3_refresh_gnt) & litedramcore_bankmachine4_refresh_gnt) & litedramcore_bankmachine5_refresh_gnt) & litedramcore_bankmachine6_refresh_gnt) & litedramcore_bankmachine7_refresh_gnt); +assign litedramcore_interface_rdata = {litedramcore_dfi_p3_rddata, litedramcore_dfi_p2_rddata, litedramcore_dfi_p1_rddata, litedramcore_dfi_p0_rddata}; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); always @(*) begin - main_litedramcore_choose_cmd_valids <= 8'd0; - main_litedramcore_choose_cmd_valids[0] <= (main_litedramcore_bankmachine0_cmd_valid & (((main_litedramcore_bankmachine0_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine0_cmd_payload_ras & (~main_litedramcore_bankmachine0_cmd_payload_cas)) & (~main_litedramcore_bankmachine0_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine0_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine0_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[1] <= (main_litedramcore_bankmachine1_cmd_valid & (((main_litedramcore_bankmachine1_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine1_cmd_payload_ras & (~main_litedramcore_bankmachine1_cmd_payload_cas)) & (~main_litedramcore_bankmachine1_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine1_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine1_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[2] <= (main_litedramcore_bankmachine2_cmd_valid & (((main_litedramcore_bankmachine2_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine2_cmd_payload_ras & (~main_litedramcore_bankmachine2_cmd_payload_cas)) & (~main_litedramcore_bankmachine2_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine2_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine2_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[3] <= (main_litedramcore_bankmachine3_cmd_valid & (((main_litedramcore_bankmachine3_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine3_cmd_payload_ras & (~main_litedramcore_bankmachine3_cmd_payload_cas)) & (~main_litedramcore_bankmachine3_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine3_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine3_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[4] <= (main_litedramcore_bankmachine4_cmd_valid & (((main_litedramcore_bankmachine4_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine4_cmd_payload_ras & (~main_litedramcore_bankmachine4_cmd_payload_cas)) & (~main_litedramcore_bankmachine4_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine4_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine4_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[5] <= (main_litedramcore_bankmachine5_cmd_valid & (((main_litedramcore_bankmachine5_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine5_cmd_payload_ras & (~main_litedramcore_bankmachine5_cmd_payload_cas)) & (~main_litedramcore_bankmachine5_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine5_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine5_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[6] <= (main_litedramcore_bankmachine6_cmd_valid & (((main_litedramcore_bankmachine6_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine6_cmd_payload_ras & (~main_litedramcore_bankmachine6_cmd_payload_cas)) & (~main_litedramcore_bankmachine6_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine6_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine6_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[7] <= (main_litedramcore_bankmachine7_cmd_valid & (((main_litedramcore_bankmachine7_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine7_cmd_payload_ras & (~main_litedramcore_bankmachine7_cmd_payload_cas)) & (~main_litedramcore_bankmachine7_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine7_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine7_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids <= 8'd0; + litedramcore_choose_cmd_valids[0] <= (litedramcore_bankmachine0_cmd_valid & (((litedramcore_bankmachine0_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine0_cmd_payload_ras & (~litedramcore_bankmachine0_cmd_payload_cas)) & (~litedramcore_bankmachine0_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine0_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine0_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[1] <= (litedramcore_bankmachine1_cmd_valid & (((litedramcore_bankmachine1_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine1_cmd_payload_ras & (~litedramcore_bankmachine1_cmd_payload_cas)) & (~litedramcore_bankmachine1_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine1_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine1_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[2] <= (litedramcore_bankmachine2_cmd_valid & (((litedramcore_bankmachine2_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine2_cmd_payload_ras & (~litedramcore_bankmachine2_cmd_payload_cas)) & (~litedramcore_bankmachine2_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine2_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine2_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[3] <= (litedramcore_bankmachine3_cmd_valid & (((litedramcore_bankmachine3_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine3_cmd_payload_ras & (~litedramcore_bankmachine3_cmd_payload_cas)) & (~litedramcore_bankmachine3_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine3_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine3_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[4] <= (litedramcore_bankmachine4_cmd_valid & (((litedramcore_bankmachine4_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine4_cmd_payload_ras & (~litedramcore_bankmachine4_cmd_payload_cas)) & (~litedramcore_bankmachine4_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine4_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine4_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[5] <= (litedramcore_bankmachine5_cmd_valid & (((litedramcore_bankmachine5_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine5_cmd_payload_ras & (~litedramcore_bankmachine5_cmd_payload_cas)) & (~litedramcore_bankmachine5_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine5_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine5_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[6] <= (litedramcore_bankmachine6_cmd_valid & (((litedramcore_bankmachine6_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine6_cmd_payload_ras & (~litedramcore_bankmachine6_cmd_payload_cas)) & (~litedramcore_bankmachine6_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine6_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine6_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[7] <= (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); end -assign main_litedramcore_choose_cmd_request = main_litedramcore_choose_cmd_valids; -assign main_litedramcore_choose_cmd_cmd_valid = builder_rhs_array_muxed0; -assign main_litedramcore_choose_cmd_cmd_payload_a = builder_rhs_array_muxed1; -assign main_litedramcore_choose_cmd_cmd_payload_ba = builder_rhs_array_muxed2; -assign main_litedramcore_choose_cmd_cmd_payload_is_read = builder_rhs_array_muxed3; -assign main_litedramcore_choose_cmd_cmd_payload_is_write = builder_rhs_array_muxed4; -assign main_litedramcore_choose_cmd_cmd_payload_is_cmd = builder_rhs_array_muxed5; +assign litedramcore_choose_cmd_request = litedramcore_choose_cmd_valids; +assign litedramcore_choose_cmd_cmd_valid = rhs_array_muxed0; +assign litedramcore_choose_cmd_cmd_payload_a = rhs_array_muxed1; +assign litedramcore_choose_cmd_cmd_payload_ba = rhs_array_muxed2; +assign litedramcore_choose_cmd_cmd_payload_is_read = rhs_array_muxed3; +assign litedramcore_choose_cmd_cmd_payload_is_write = rhs_array_muxed4; +assign litedramcore_choose_cmd_cmd_payload_is_cmd = rhs_array_muxed5; always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_cas <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_cas <= builder_t_array_muxed0; + litedramcore_choose_cmd_cmd_payload_cas <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_cas <= t_array_muxed0; end end always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_ras <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_ras <= builder_t_array_muxed1; + litedramcore_choose_cmd_cmd_payload_ras <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_ras <= t_array_muxed1; end end always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_we <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_we <= builder_t_array_muxed2; + litedramcore_choose_cmd_cmd_payload_we <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_we <= t_array_muxed2; end end always @(*) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 1'd0))) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd1; + litedramcore_bankmachine0_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 1'd0))) begin + litedramcore_bankmachine0_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 1'd0))) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 1'd0))) begin + litedramcore_bankmachine0_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 1'd1))) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd1; + litedramcore_bankmachine1_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 1'd1))) begin + litedramcore_bankmachine1_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 1'd1))) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 1'd1))) begin + litedramcore_bankmachine1_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 2'd2))) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd1; + litedramcore_bankmachine2_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 2'd2))) begin + litedramcore_bankmachine2_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 2'd2))) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 2'd2))) begin + litedramcore_bankmachine2_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 2'd3))) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd1; + litedramcore_bankmachine3_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 2'd3))) begin + litedramcore_bankmachine3_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 2'd3))) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 2'd3))) begin + litedramcore_bankmachine3_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd4))) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd1; + litedramcore_bankmachine4_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd4))) begin + litedramcore_bankmachine4_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd4))) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd4))) begin + litedramcore_bankmachine4_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd5))) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd1; + litedramcore_bankmachine5_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd5))) begin + litedramcore_bankmachine5_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd5))) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd5))) begin + litedramcore_bankmachine5_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd6))) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd1; + litedramcore_bankmachine6_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd6))) begin + litedramcore_bankmachine6_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd6))) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd6))) begin + litedramcore_bankmachine6_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd7))) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd1; + litedramcore_bankmachine7_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd7))) begin + litedramcore_bankmachine7_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd7))) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd7))) begin + litedramcore_bankmachine7_cmd_ready <= 1'd1; end end -assign main_litedramcore_choose_cmd_ce = (main_litedramcore_choose_cmd_cmd_ready | (~main_litedramcore_choose_cmd_cmd_valid)); +assign litedramcore_choose_cmd_ce = (litedramcore_choose_cmd_cmd_ready | (~litedramcore_choose_cmd_cmd_valid)); always @(*) begin - main_litedramcore_choose_req_valids <= 8'd0; - main_litedramcore_choose_req_valids[0] <= (main_litedramcore_bankmachine0_cmd_valid & (((main_litedramcore_bankmachine0_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine0_cmd_payload_ras & (~main_litedramcore_bankmachine0_cmd_payload_cas)) & (~main_litedramcore_bankmachine0_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine0_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine0_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[1] <= (main_litedramcore_bankmachine1_cmd_valid & (((main_litedramcore_bankmachine1_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine1_cmd_payload_ras & (~main_litedramcore_bankmachine1_cmd_payload_cas)) & (~main_litedramcore_bankmachine1_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine1_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine1_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[2] <= (main_litedramcore_bankmachine2_cmd_valid & (((main_litedramcore_bankmachine2_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine2_cmd_payload_ras & (~main_litedramcore_bankmachine2_cmd_payload_cas)) & (~main_litedramcore_bankmachine2_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine2_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine2_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[3] <= (main_litedramcore_bankmachine3_cmd_valid & (((main_litedramcore_bankmachine3_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine3_cmd_payload_ras & (~main_litedramcore_bankmachine3_cmd_payload_cas)) & (~main_litedramcore_bankmachine3_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine3_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine3_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[4] <= (main_litedramcore_bankmachine4_cmd_valid & (((main_litedramcore_bankmachine4_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine4_cmd_payload_ras & (~main_litedramcore_bankmachine4_cmd_payload_cas)) & (~main_litedramcore_bankmachine4_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine4_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine4_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[5] <= (main_litedramcore_bankmachine5_cmd_valid & (((main_litedramcore_bankmachine5_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine5_cmd_payload_ras & (~main_litedramcore_bankmachine5_cmd_payload_cas)) & (~main_litedramcore_bankmachine5_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine5_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine5_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[6] <= (main_litedramcore_bankmachine6_cmd_valid & (((main_litedramcore_bankmachine6_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine6_cmd_payload_ras & (~main_litedramcore_bankmachine6_cmd_payload_cas)) & (~main_litedramcore_bankmachine6_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine6_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine6_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[7] <= (main_litedramcore_bankmachine7_cmd_valid & (((main_litedramcore_bankmachine7_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine7_cmd_payload_ras & (~main_litedramcore_bankmachine7_cmd_payload_cas)) & (~main_litedramcore_bankmachine7_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine7_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine7_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids <= 8'd0; + litedramcore_choose_req_valids[0] <= (litedramcore_bankmachine0_cmd_valid & (((litedramcore_bankmachine0_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine0_cmd_payload_ras & (~litedramcore_bankmachine0_cmd_payload_cas)) & (~litedramcore_bankmachine0_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine0_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine0_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[1] <= (litedramcore_bankmachine1_cmd_valid & (((litedramcore_bankmachine1_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine1_cmd_payload_ras & (~litedramcore_bankmachine1_cmd_payload_cas)) & (~litedramcore_bankmachine1_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine1_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine1_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[2] <= (litedramcore_bankmachine2_cmd_valid & (((litedramcore_bankmachine2_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine2_cmd_payload_ras & (~litedramcore_bankmachine2_cmd_payload_cas)) & (~litedramcore_bankmachine2_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine2_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine2_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[3] <= (litedramcore_bankmachine3_cmd_valid & (((litedramcore_bankmachine3_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine3_cmd_payload_ras & (~litedramcore_bankmachine3_cmd_payload_cas)) & (~litedramcore_bankmachine3_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine3_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine3_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[4] <= (litedramcore_bankmachine4_cmd_valid & (((litedramcore_bankmachine4_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine4_cmd_payload_ras & (~litedramcore_bankmachine4_cmd_payload_cas)) & (~litedramcore_bankmachine4_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine4_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine4_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[5] <= (litedramcore_bankmachine5_cmd_valid & (((litedramcore_bankmachine5_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine5_cmd_payload_ras & (~litedramcore_bankmachine5_cmd_payload_cas)) & (~litedramcore_bankmachine5_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine5_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine5_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[6] <= (litedramcore_bankmachine6_cmd_valid & (((litedramcore_bankmachine6_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine6_cmd_payload_ras & (~litedramcore_bankmachine6_cmd_payload_cas)) & (~litedramcore_bankmachine6_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine6_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine6_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[7] <= (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); end -assign main_litedramcore_choose_req_request = main_litedramcore_choose_req_valids; -assign main_litedramcore_choose_req_cmd_valid = builder_rhs_array_muxed6; -assign main_litedramcore_choose_req_cmd_payload_a = builder_rhs_array_muxed7; -assign main_litedramcore_choose_req_cmd_payload_ba = builder_rhs_array_muxed8; -assign main_litedramcore_choose_req_cmd_payload_is_read = builder_rhs_array_muxed9; -assign main_litedramcore_choose_req_cmd_payload_is_write = builder_rhs_array_muxed10; -assign main_litedramcore_choose_req_cmd_payload_is_cmd = builder_rhs_array_muxed11; +assign litedramcore_choose_req_request = litedramcore_choose_req_valids; +assign litedramcore_choose_req_cmd_valid = rhs_array_muxed6; +assign litedramcore_choose_req_cmd_payload_a = rhs_array_muxed7; +assign litedramcore_choose_req_cmd_payload_ba = rhs_array_muxed8; +assign litedramcore_choose_req_cmd_payload_is_read = rhs_array_muxed9; +assign litedramcore_choose_req_cmd_payload_is_write = rhs_array_muxed10; +assign litedramcore_choose_req_cmd_payload_is_cmd = rhs_array_muxed11; always @(*) begin - main_litedramcore_choose_req_cmd_payload_cas <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_cas <= builder_t_array_muxed3; + litedramcore_choose_req_cmd_payload_cas <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_cas <= t_array_muxed3; end end always @(*) begin - main_litedramcore_choose_req_cmd_payload_ras <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_ras <= builder_t_array_muxed4; + litedramcore_choose_req_cmd_payload_ras <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_ras <= t_array_muxed4; end end always @(*) begin - main_litedramcore_choose_req_cmd_payload_we <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_we <= builder_t_array_muxed5; + litedramcore_choose_req_cmd_payload_we <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_we <= t_array_muxed5; end end -assign main_litedramcore_choose_req_ce = (main_litedramcore_choose_req_cmd_ready | (~main_litedramcore_choose_req_cmd_valid)); -assign main_litedramcore_dfi_p0_reset_n = 1'd1; -assign main_litedramcore_dfi_p0_cke = {1{main_litedramcore_steerer0}}; -assign main_litedramcore_dfi_p0_odt = {1{main_litedramcore_steerer1}}; -assign main_litedramcore_dfi_p1_reset_n = 1'd1; -assign main_litedramcore_dfi_p1_cke = {1{main_litedramcore_steerer2}}; -assign main_litedramcore_dfi_p1_odt = {1{main_litedramcore_steerer3}}; -assign main_litedramcore_dfi_p2_reset_n = 1'd1; -assign main_litedramcore_dfi_p2_cke = {1{main_litedramcore_steerer4}}; -assign main_litedramcore_dfi_p2_odt = {1{main_litedramcore_steerer5}}; -assign main_litedramcore_dfi_p3_reset_n = 1'd1; -assign main_litedramcore_dfi_p3_cke = {1{main_litedramcore_steerer6}}; -assign main_litedramcore_dfi_p3_odt = {1{main_litedramcore_steerer7}}; -assign main_litedramcore_tfawcon_count = ((((main_litedramcore_tfawcon_window[0] + main_litedramcore_tfawcon_window[1]) + main_litedramcore_tfawcon_window[2]) + main_litedramcore_tfawcon_window[3]) + main_litedramcore_tfawcon_window[4]); +assign litedramcore_choose_req_ce = (litedramcore_choose_req_cmd_ready | (~litedramcore_choose_req_cmd_valid)); +assign litedramcore_dfi_p0_reset_n = 1'd1; +assign litedramcore_dfi_p0_cke = {1{litedramcore_steerer0}}; +assign litedramcore_dfi_p0_odt = {1{litedramcore_steerer1}}; +assign litedramcore_dfi_p1_reset_n = 1'd1; +assign litedramcore_dfi_p1_cke = {1{litedramcore_steerer2}}; +assign litedramcore_dfi_p1_odt = {1{litedramcore_steerer3}}; +assign litedramcore_dfi_p2_reset_n = 1'd1; +assign litedramcore_dfi_p2_cke = {1{litedramcore_steerer4}}; +assign litedramcore_dfi_p2_odt = {1{litedramcore_steerer5}}; +assign litedramcore_dfi_p3_reset_n = 1'd1; +assign litedramcore_dfi_p3_cke = {1{litedramcore_steerer6}}; +assign litedramcore_dfi_p3_odt = {1{litedramcore_steerer7}}; +assign litedramcore_tfawcon_count = ((((litedramcore_tfawcon_window[0] + litedramcore_tfawcon_window[1]) + litedramcore_tfawcon_window[2]) + litedramcore_tfawcon_window[3]) + litedramcore_tfawcon_window[4]); always @(*) begin - builder_multiplexer_next_state <= 4'd0; - builder_multiplexer_next_state <= builder_multiplexer_state; - case (builder_multiplexer_state) + litedramcore_multiplexer_next_state <= 4'd0; + litedramcore_multiplexer_next_state <= litedramcore_multiplexer_state; + case (litedramcore_multiplexer_state) 1'd1: begin - if (main_litedramcore_read_available) begin - if (((~main_litedramcore_write_available) | main_litedramcore_max_time1)) begin - builder_multiplexer_next_state <= 2'd3; + if (litedramcore_read_available) begin + if (((~litedramcore_write_available) | litedramcore_max_time1)) begin + litedramcore_multiplexer_next_state <= 2'd3; end end - if (main_litedramcore_go_to_refresh) begin - builder_multiplexer_next_state <= 2'd2; + if (litedramcore_go_to_refresh) begin + litedramcore_multiplexer_next_state <= 2'd2; end end 2'd2: begin - if (main_litedramcore_cmd_last) begin - builder_multiplexer_next_state <= 1'd0; + if (litedramcore_cmd_last) begin + litedramcore_multiplexer_next_state <= 1'd0; end end 2'd3: begin - if (main_litedramcore_twtrcon_ready) begin - builder_multiplexer_next_state <= 1'd0; + if (litedramcore_twtrcon_ready) begin + litedramcore_multiplexer_next_state <= 1'd0; end end 3'd4: begin - builder_multiplexer_next_state <= 3'd5; + litedramcore_multiplexer_next_state <= 3'd5; end 3'd5: begin - builder_multiplexer_next_state <= 3'd6; + litedramcore_multiplexer_next_state <= 3'd6; end 3'd6: begin - builder_multiplexer_next_state <= 3'd7; + litedramcore_multiplexer_next_state <= 3'd7; end 3'd7: begin - builder_multiplexer_next_state <= 4'd8; + litedramcore_multiplexer_next_state <= 4'd8; end 4'd8: begin - builder_multiplexer_next_state <= 4'd9; + litedramcore_multiplexer_next_state <= 4'd9; end 4'd9: begin - builder_multiplexer_next_state <= 4'd10; + litedramcore_multiplexer_next_state <= 4'd10; end 4'd10: begin - builder_multiplexer_next_state <= 1'd1; + litedramcore_multiplexer_next_state <= 1'd1; end default: begin - if (main_litedramcore_write_available) begin - if (((~main_litedramcore_read_available) | main_litedramcore_max_time0)) begin - builder_multiplexer_next_state <= 3'd4; + if (litedramcore_write_available) begin + if (((~litedramcore_read_available) | litedramcore_max_time0)) begin + litedramcore_multiplexer_next_state <= 3'd4; end end - if (main_litedramcore_go_to_refresh) begin - builder_multiplexer_next_state <= 2'd2; + if (litedramcore_go_to_refresh) begin + litedramcore_multiplexer_next_state <= 2'd2; end end endcase end always @(*) begin - main_litedramcore_steerer_sel2 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel0 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel2 <= 1'd0; - if ((main_k7ddrphy_wrphase_storage == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 2'd2; + litedramcore_steerer_sel0 <= 1'd0; + if ((k7ddrphy_wrphase_storage == 1'd0)) begin + litedramcore_steerer_sel0 <= 2'd2; end - if ((main_litedramcore_wrcmdphase == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 1'd1; + if ((litedramcore_wrcmdphase == 1'd0)) begin + litedramcore_steerer_sel0 <= 1'd1; end end 2'd2: begin + litedramcore_steerer_sel0 <= 2'd3; end 2'd3: begin end @@ -10441,26 +10861,23 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel2 <= 1'd0; - if ((main_k7ddrphy_rdphase_storage == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 2'd2; + litedramcore_steerer_sel0 <= 1'd0; + if ((k7ddrphy_rdphase_storage == 1'd0)) begin + litedramcore_steerer_sel0 <= 2'd2; end - if ((main_litedramcore_rdcmdphase == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 1'd1; + if ((litedramcore_rdcmdphase == 1'd0)) begin + litedramcore_steerer_sel0 <= 1'd1; end end endcase end always @(*) begin - main_litedramcore_choose_cmd_want_activates <= 1'd0; - case (builder_multiplexer_state) + litedramcore_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_want_activates <= main_litedramcore_ras_allowed; - end end 2'd2: begin + litedramcore_cmd_ready <= 1'd1; end 2'd3: begin end @@ -10479,23 +10896,19 @@ always @(*) begin 4'd10: begin end default: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_want_activates <= main_litedramcore_ras_allowed; - end end endcase end always @(*) begin - main_litedramcore_steerer_sel3 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel1 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel3 <= 1'd0; - if ((main_k7ddrphy_wrphase_storage == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 2'd2; + litedramcore_steerer_sel1 <= 1'd0; + if ((k7ddrphy_wrphase_storage == 1'd1)) begin + litedramcore_steerer_sel1 <= 2'd2; end - if ((main_litedramcore_wrcmdphase == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 1'd1; + if ((litedramcore_wrcmdphase == 1'd1)) begin + litedramcore_steerer_sel1 <= 1'd1; end end 2'd2: begin @@ -10517,20 +10930,21 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel3 <= 1'd0; - if ((main_k7ddrphy_rdphase_storage == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 2'd2; + litedramcore_steerer_sel1 <= 1'd0; + if ((k7ddrphy_rdphase_storage == 1'd1)) begin + litedramcore_steerer_sel1 <= 2'd2; end - if ((main_litedramcore_rdcmdphase == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 1'd1; + if ((litedramcore_rdcmdphase == 1'd1)) begin + litedramcore_steerer_sel1 <= 1'd1; end end endcase end always @(*) begin - main_litedramcore_en0 <= 1'd0; - case (builder_multiplexer_state) + litedramcore_en1 <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin + litedramcore_en1 <= 1'd1; end 2'd2: begin end @@ -10551,17 +10965,19 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_en0 <= 1'd1; end endcase end always @(*) begin - main_litedramcore_choose_cmd_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel2 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_cmd_ready <= ((~((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))) | main_litedramcore_ras_allowed); + litedramcore_steerer_sel2 <= 1'd0; + if ((k7ddrphy_wrphase_storage == 2'd2)) begin + litedramcore_steerer_sel2 <= 2'd2; + end + if ((litedramcore_wrcmdphase == 2'd2)) begin + litedramcore_steerer_sel2 <= 1'd1; end end 2'd2: begin @@ -10583,17 +10999,24 @@ always @(*) begin 4'd10: begin end default: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_cmd_ready <= ((~((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))) | main_litedramcore_ras_allowed); + litedramcore_steerer_sel2 <= 1'd0; + if ((k7ddrphy_rdphase_storage == 2'd2)) begin + litedramcore_steerer_sel2 <= 2'd2; + end + if ((litedramcore_rdcmdphase == 2'd2)) begin + litedramcore_steerer_sel2 <= 1'd1; end end endcase end always @(*) begin - main_litedramcore_choose_req_want_reads <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_cmd_want_activates <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin + if (1'd0) begin + end else begin + litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed; + end end 2'd2: begin end @@ -10614,15 +11037,24 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_choose_req_want_reads <= 1'd1; + if (1'd0) begin + end else begin + litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed; + end end endcase end always @(*) begin - main_litedramcore_choose_req_want_writes <= 1'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel3 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_choose_req_want_writes <= 1'd1; + litedramcore_steerer_sel3 <= 1'd0; + if ((k7ddrphy_wrphase_storage == 2'd3)) begin + litedramcore_steerer_sel3 <= 2'd2; + end + if ((litedramcore_wrcmdphase == 2'd3)) begin + litedramcore_steerer_sel3 <= 1'd1; + end end 2'd2: begin end @@ -10643,18 +11075,20 @@ always @(*) begin 4'd10: begin end default: begin + litedramcore_steerer_sel3 <= 1'd0; + if ((k7ddrphy_rdphase_storage == 2'd3)) begin + litedramcore_steerer_sel3 <= 2'd2; + end + if ((litedramcore_rdcmdphase == 2'd3)) begin + litedramcore_steerer_sel3 <= 1'd1; + end end endcase end always @(*) begin - main_litedramcore_choose_req_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_en0 <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - if (1'd0) begin - main_litedramcore_choose_req_cmd_ready <= (main_litedramcore_cas_allowed & ((~((main_litedramcore_choose_req_cmd_payload_ras & (~main_litedramcore_choose_req_cmd_payload_cas)) & (~main_litedramcore_choose_req_cmd_payload_we))) | main_litedramcore_ras_allowed)); - end else begin - main_litedramcore_choose_req_cmd_ready <= main_litedramcore_cas_allowed; - end end 2'd2: begin end @@ -10675,19 +11109,18 @@ always @(*) begin 4'd10: begin end default: begin - if (1'd0) begin - main_litedramcore_choose_req_cmd_ready <= (main_litedramcore_cas_allowed & ((~((main_litedramcore_choose_req_cmd_payload_ras & (~main_litedramcore_choose_req_cmd_payload_cas)) & (~main_litedramcore_choose_req_cmd_payload_we))) | main_litedramcore_ras_allowed)); - end else begin - main_litedramcore_choose_req_cmd_ready <= main_litedramcore_cas_allowed; - end + litedramcore_en0 <= 1'd1; end endcase end always @(*) begin - main_litedramcore_en1 <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_cmd_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_en1 <= 1'd1; + if (1'd0) begin + end else begin + litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed); + end end 2'd2: begin end @@ -10708,23 +11141,19 @@ always @(*) begin 4'd10: begin end default: begin + if (1'd0) begin + end else begin + litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed); + end end endcase end always @(*) begin - main_litedramcore_steerer_sel0 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_want_reads <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel0 <= 1'd0; - if ((main_k7ddrphy_wrphase_storage == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 2'd2; - end - if ((main_litedramcore_wrcmdphase == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 1'd1; - end end 2'd2: begin - main_litedramcore_steerer_sel0 <= 2'd3; end 2'd3: begin end @@ -10743,23 +11172,17 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel0 <= 1'd0; - if ((main_k7ddrphy_rdphase_storage == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 2'd2; - end - if ((main_litedramcore_rdcmdphase == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 1'd1; - end + litedramcore_choose_req_want_reads <= 1'd1; end endcase end always @(*) begin - main_litedramcore_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_want_writes <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin + litedramcore_choose_req_want_writes <= 1'd1; end 2'd2: begin - main_litedramcore_cmd_ready <= 1'd1; end 2'd3: begin end @@ -10782,15 +11205,13 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_steerer_sel1 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel1 <= 1'd0; - if ((main_k7ddrphy_wrphase_storage == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 2'd2; - end - if ((main_litedramcore_wrcmdphase == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 1'd1; + if (1'd0) begin + litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed)); + end else begin + litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed; end end 2'd2: begin @@ -10812,2184 +11233,2206 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel1 <= 1'd0; - if ((main_k7ddrphy_rdphase_storage == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 2'd2; - end - if ((main_litedramcore_rdcmdphase == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 1'd1; - end - end - endcase -end -assign builder_roundrobin0_request = {(((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin0_ce = ((~main_litedramcore_interface_bank0_valid) & (~main_litedramcore_interface_bank0_lock)); -assign main_litedramcore_interface_bank0_addr = builder_rhs_array_muxed12; -assign main_litedramcore_interface_bank0_we = builder_rhs_array_muxed13; -assign main_litedramcore_interface_bank0_valid = builder_rhs_array_muxed14; -assign builder_roundrobin1_request = {(((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin1_ce = ((~main_litedramcore_interface_bank1_valid) & (~main_litedramcore_interface_bank1_lock)); -assign main_litedramcore_interface_bank1_addr = builder_rhs_array_muxed15; -assign main_litedramcore_interface_bank1_we = builder_rhs_array_muxed16; -assign main_litedramcore_interface_bank1_valid = builder_rhs_array_muxed17; -assign builder_roundrobin2_request = {(((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin2_ce = ((~main_litedramcore_interface_bank2_valid) & (~main_litedramcore_interface_bank2_lock)); -assign main_litedramcore_interface_bank2_addr = builder_rhs_array_muxed18; -assign main_litedramcore_interface_bank2_we = builder_rhs_array_muxed19; -assign main_litedramcore_interface_bank2_valid = builder_rhs_array_muxed20; -assign builder_roundrobin3_request = {(((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin3_ce = ((~main_litedramcore_interface_bank3_valid) & (~main_litedramcore_interface_bank3_lock)); -assign main_litedramcore_interface_bank3_addr = builder_rhs_array_muxed21; -assign main_litedramcore_interface_bank3_we = builder_rhs_array_muxed22; -assign main_litedramcore_interface_bank3_valid = builder_rhs_array_muxed23; -assign builder_roundrobin4_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin4_ce = ((~main_litedramcore_interface_bank4_valid) & (~main_litedramcore_interface_bank4_lock)); -assign main_litedramcore_interface_bank4_addr = builder_rhs_array_muxed24; -assign main_litedramcore_interface_bank4_we = builder_rhs_array_muxed25; -assign main_litedramcore_interface_bank4_valid = builder_rhs_array_muxed26; -assign builder_roundrobin5_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin5_ce = ((~main_litedramcore_interface_bank5_valid) & (~main_litedramcore_interface_bank5_lock)); -assign main_litedramcore_interface_bank5_addr = builder_rhs_array_muxed27; -assign main_litedramcore_interface_bank5_we = builder_rhs_array_muxed28; -assign main_litedramcore_interface_bank5_valid = builder_rhs_array_muxed29; -assign builder_roundrobin6_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin6_ce = ((~main_litedramcore_interface_bank6_valid) & (~main_litedramcore_interface_bank6_lock)); -assign main_litedramcore_interface_bank6_addr = builder_rhs_array_muxed30; -assign main_litedramcore_interface_bank6_we = builder_rhs_array_muxed31; -assign main_litedramcore_interface_bank6_valid = builder_rhs_array_muxed32; -assign builder_roundrobin7_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin7_ce = ((~main_litedramcore_interface_bank7_valid) & (~main_litedramcore_interface_bank7_lock)); -assign main_litedramcore_interface_bank7_addr = builder_rhs_array_muxed33; -assign main_litedramcore_interface_bank7_we = builder_rhs_array_muxed34; -assign main_litedramcore_interface_bank7_valid = builder_rhs_array_muxed35; -assign main_user_port_cmd_ready = ((((((((1'd0 | (((builder_roundrobin0_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank0_ready)) | (((builder_roundrobin1_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank1_ready)) | (((builder_roundrobin2_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank2_ready)) | (((builder_roundrobin3_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank3_ready)) | (((builder_roundrobin4_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank4_ready)) | (((builder_roundrobin5_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank5_ready)) | (((builder_roundrobin6_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank6_ready)) | (((builder_roundrobin7_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0)))))) & main_litedramcore_interface_bank7_ready)); -assign main_user_port_wdata_ready = builder_new_master_wdata_ready1; -assign main_user_port_rdata_valid = builder_new_master_rdata_valid8; -always @(*) begin - main_litedramcore_interface_wdata <= 256'd0; - case ({builder_new_master_wdata_ready1}) - 1'd1: begin - main_litedramcore_interface_wdata <= main_user_port_wdata_payload_data; + if (1'd0) begin + litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed)); + end else begin + litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed; + end + end + endcase +end +assign litedramcore_roundrobin0_request = {(((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin0_ce = ((~litedramcore_interface_bank0_valid) & (~litedramcore_interface_bank0_lock)); +assign litedramcore_interface_bank0_addr = rhs_array_muxed12; +assign litedramcore_interface_bank0_we = rhs_array_muxed13; +assign litedramcore_interface_bank0_valid = rhs_array_muxed14; +assign litedramcore_roundrobin1_request = {(((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin1_ce = ((~litedramcore_interface_bank1_valid) & (~litedramcore_interface_bank1_lock)); +assign litedramcore_interface_bank1_addr = rhs_array_muxed15; +assign litedramcore_interface_bank1_we = rhs_array_muxed16; +assign litedramcore_interface_bank1_valid = rhs_array_muxed17; +assign litedramcore_roundrobin2_request = {(((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin2_ce = ((~litedramcore_interface_bank2_valid) & (~litedramcore_interface_bank2_lock)); +assign litedramcore_interface_bank2_addr = rhs_array_muxed18; +assign litedramcore_interface_bank2_we = rhs_array_muxed19; +assign litedramcore_interface_bank2_valid = rhs_array_muxed20; +assign litedramcore_roundrobin3_request = {(((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin3_ce = ((~litedramcore_interface_bank3_valid) & (~litedramcore_interface_bank3_lock)); +assign litedramcore_interface_bank3_addr = rhs_array_muxed21; +assign litedramcore_interface_bank3_we = rhs_array_muxed22; +assign litedramcore_interface_bank3_valid = rhs_array_muxed23; +assign litedramcore_roundrobin4_request = {(((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin4_ce = ((~litedramcore_interface_bank4_valid) & (~litedramcore_interface_bank4_lock)); +assign litedramcore_interface_bank4_addr = rhs_array_muxed24; +assign litedramcore_interface_bank4_we = rhs_array_muxed25; +assign litedramcore_interface_bank4_valid = rhs_array_muxed26; +assign litedramcore_roundrobin5_request = {(((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin5_ce = ((~litedramcore_interface_bank5_valid) & (~litedramcore_interface_bank5_lock)); +assign litedramcore_interface_bank5_addr = rhs_array_muxed27; +assign litedramcore_interface_bank5_we = rhs_array_muxed28; +assign litedramcore_interface_bank5_valid = rhs_array_muxed29; +assign litedramcore_roundrobin6_request = {(((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin6_ce = ((~litedramcore_interface_bank6_valid) & (~litedramcore_interface_bank6_lock)); +assign litedramcore_interface_bank6_addr = rhs_array_muxed30; +assign litedramcore_interface_bank6_we = rhs_array_muxed31; +assign litedramcore_interface_bank6_valid = rhs_array_muxed32; +assign litedramcore_roundrobin7_request = {(((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin7_ce = ((~litedramcore_interface_bank7_valid) & (~litedramcore_interface_bank7_lock)); +assign litedramcore_interface_bank7_addr = rhs_array_muxed33; +assign litedramcore_interface_bank7_we = rhs_array_muxed34; +assign litedramcore_interface_bank7_valid = rhs_array_muxed35; +assign user_port_cmd_ready = ((((((((1'd0 | (((litedramcore_roundrobin0_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank0_ready)) | (((litedramcore_roundrobin1_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank1_ready)) | (((litedramcore_roundrobin2_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank2_ready)) | (((litedramcore_roundrobin3_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank3_ready)) | (((litedramcore_roundrobin4_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank4_ready)) | (((litedramcore_roundrobin5_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank5_ready)) | (((litedramcore_roundrobin6_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank6_ready)) | (((litedramcore_roundrobin7_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0)))))) & litedramcore_interface_bank7_ready)); +assign user_port_wdata_ready = litedramcore_new_master_wdata_ready1; +assign user_port_rdata_valid = litedramcore_new_master_rdata_valid8; +always @(*) begin + litedramcore_interface_wdata <= 256'd0; + case ({litedramcore_new_master_wdata_ready1}) + 1'd1: begin + litedramcore_interface_wdata <= user_port_wdata_payload_data; end default: begin - main_litedramcore_interface_wdata <= 1'd0; + litedramcore_interface_wdata <= 1'd0; end endcase end always @(*) begin - main_litedramcore_interface_wdata_we <= 32'd0; - case ({builder_new_master_wdata_ready1}) + litedramcore_interface_wdata_we <= 32'd0; + case ({litedramcore_new_master_wdata_ready1}) 1'd1: begin - main_litedramcore_interface_wdata_we <= main_user_port_wdata_payload_we; + litedramcore_interface_wdata_we <= user_port_wdata_payload_we; end default: begin - main_litedramcore_interface_wdata_we <= 1'd0; + litedramcore_interface_wdata_we <= 1'd0; end endcase end -assign main_user_port_rdata_payload_data = main_litedramcore_interface_rdata; -assign builder_roundrobin0_grant = 1'd0; -assign builder_roundrobin1_grant = 1'd0; -assign builder_roundrobin2_grant = 1'd0; -assign builder_roundrobin3_grant = 1'd0; -assign builder_roundrobin4_grant = 1'd0; -assign builder_roundrobin5_grant = 1'd0; -assign builder_roundrobin6_grant = 1'd0; -assign builder_roundrobin7_grant = 1'd0; +assign user_port_rdata_payload_data = litedramcore_interface_rdata; +assign litedramcore_roundrobin0_grant = 1'd0; +assign litedramcore_roundrobin1_grant = 1'd0; +assign litedramcore_roundrobin2_grant = 1'd0; +assign litedramcore_roundrobin3_grant = 1'd0; +assign litedramcore_roundrobin4_grant = 1'd0; +assign litedramcore_roundrobin5_grant = 1'd0; +assign litedramcore_roundrobin6_grant = 1'd0; +assign litedramcore_roundrobin7_grant = 1'd0; always @(*) begin - builder_next_state <= 2'd0; - builder_next_state <= builder_state; - case (builder_state) + litedramcore_next_state <= 2'd0; + litedramcore_next_state <= litedramcore_state; + case (litedramcore_state) 1'd1: begin - builder_next_state <= 2'd2; + litedramcore_next_state <= 2'd2; end 2'd2: begin - builder_next_state <= 1'd0; + litedramcore_next_state <= 1'd0; end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_next_state <= 1'd1; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_next_state <= 1'd1; end end endcase end always @(*) begin - builder_litedramcore_adr_next_value_ce1 <= 1'd0; - case (builder_state) + litedramcore_wishbone_dat_r <= 32'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_adr_next_value_ce1 <= 1'd1; end 2'd2: begin + litedramcore_wishbone_dat_r <= litedramcore_dat_r; end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_adr_next_value_ce1 <= 1'd1; - end end endcase end always @(*) begin - builder_litedramcore_we_next_value2 <= 1'd0; - case (builder_state) + litedramcore_wishbone_ack <= 1'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_we_next_value2 <= 1'd0; end 2'd2: begin + litedramcore_wishbone_ack <= 1'd1; end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_we_next_value2 <= (builder_litedramcore_wishbone_we & (builder_litedramcore_wishbone_sel != 1'd0)); - end end endcase end always @(*) begin - builder_litedramcore_we_next_value_ce2 <= 1'd0; - case (builder_state) + litedramcore_dat_w_next_value0 <= 32'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_we_next_value_ce2 <= 1'd1; end 2'd2: begin end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_we_next_value_ce2 <= 1'd1; - end + litedramcore_dat_w_next_value0 <= litedramcore_wishbone_dat_w; end endcase end always @(*) begin - builder_litedramcore_wishbone_ack <= 1'd0; - case (builder_state) + litedramcore_dat_w_next_value_ce0 <= 1'd0; + case (litedramcore_state) 1'd1: begin end 2'd2: begin - builder_litedramcore_wishbone_ack <= 1'd1; end default: begin + litedramcore_dat_w_next_value_ce0 <= 1'd1; end endcase end always @(*) begin - builder_litedramcore_dat_w_next_value0 <= 32'd0; - case (builder_state) + litedramcore_adr_next_value1 <= 14'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_adr_next_value1 <= 1'd0; end 2'd2: begin end default: begin - builder_litedramcore_dat_w_next_value0 <= builder_litedramcore_wishbone_dat_w; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_adr_next_value1 <= litedramcore_wishbone_adr; + end end endcase end always @(*) begin - builder_litedramcore_dat_w_next_value_ce0 <= 1'd0; - case (builder_state) + litedramcore_adr_next_value_ce1 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_adr_next_value_ce1 <= 1'd1; end 2'd2: begin end default: begin - builder_litedramcore_dat_w_next_value_ce0 <= 1'd1; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_adr_next_value_ce1 <= 1'd1; + end end endcase end always @(*) begin - builder_litedramcore_wishbone_dat_r <= 32'd0; - case (builder_state) + litedramcore_we_next_value2 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_we_next_value2 <= 1'd0; end 2'd2: begin - builder_litedramcore_wishbone_dat_r <= builder_litedramcore_dat_r; end default: begin + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_we_next_value2 <= (litedramcore_wishbone_we & (litedramcore_wishbone_sel != 1'd0)); + end end endcase end always @(*) begin - builder_litedramcore_adr_next_value1 <= 14'd0; - case (builder_state) + litedramcore_we_next_value_ce2 <= 1'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_adr_next_value1 <= 1'd0; + litedramcore_we_next_value_ce2 <= 1'd1; end 2'd2: begin end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_adr_next_value1 <= builder_litedramcore_wishbone_adr; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_we_next_value_ce2 <= 1'd1; end end endcase end -assign builder_litedramcore_wishbone_adr = main_wb_bus_adr; -assign builder_litedramcore_wishbone_dat_w = main_wb_bus_dat_w; -assign main_wb_bus_dat_r = builder_litedramcore_wishbone_dat_r; -assign builder_litedramcore_wishbone_sel = main_wb_bus_sel; -assign builder_litedramcore_wishbone_cyc = main_wb_bus_cyc; -assign builder_litedramcore_wishbone_stb = main_wb_bus_stb; -assign main_wb_bus_ack = builder_litedramcore_wishbone_ack; -assign builder_litedramcore_wishbone_we = main_wb_bus_we; -assign builder_litedramcore_wishbone_cti = main_wb_bus_cti; -assign builder_litedramcore_wishbone_bte = main_wb_bus_bte; -assign main_wb_bus_err = builder_litedramcore_wishbone_err; -assign builder_csrbank0_sel = (builder_interface0_bank_bus_adr[13:9] == 1'd0); -assign builder_csrbank0_init_done0_r = builder_interface0_bank_bus_dat_w[0]; +assign litedramcore_wishbone_adr = wb_bus_adr; +assign litedramcore_wishbone_dat_w = wb_bus_dat_w; +assign wb_bus_dat_r = litedramcore_wishbone_dat_r; +assign litedramcore_wishbone_sel = wb_bus_sel; +assign litedramcore_wishbone_cyc = wb_bus_cyc; +assign litedramcore_wishbone_stb = wb_bus_stb; +assign wb_bus_ack = litedramcore_wishbone_ack; +assign litedramcore_wishbone_we = wb_bus_we; +assign litedramcore_wishbone_cti = wb_bus_cti; +assign litedramcore_wishbone_bte = wb_bus_bte; +assign wb_bus_err = litedramcore_wishbone_err; +assign csrbank0_sel = (interface0_bank_bus_adr[13:9] == 1'd0); +assign csrbank0_init_done0_r = interface0_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank0_init_done0_we <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank0_init_done0_we <= (~builder_interface0_bank_bus_we); + csrbank0_init_done0_re <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd0))) begin + csrbank0_init_done0_re <= interface0_bank_bus_we; end end always @(*) begin - builder_csrbank0_init_done0_re <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank0_init_done0_re <= builder_interface0_bank_bus_we; + csrbank0_init_done0_we <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd0))) begin + csrbank0_init_done0_we <= (~interface0_bank_bus_we); end end -assign builder_csrbank0_init_error0_r = builder_interface0_bank_bus_dat_w[0]; +assign csrbank0_init_error0_r = interface0_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank0_init_error0_re <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank0_init_error0_re <= builder_interface0_bank_bus_we; + csrbank0_init_error0_we <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd1))) begin + csrbank0_init_error0_we <= (~interface0_bank_bus_we); end end always @(*) begin - builder_csrbank0_init_error0_we <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank0_init_error0_we <= (~builder_interface0_bank_bus_we); + csrbank0_init_error0_re <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd1))) begin + csrbank0_init_error0_re <= interface0_bank_bus_we; end end -assign builder_csrbank0_init_done0_w = main_init_done_storage; -assign builder_csrbank0_init_error0_w = main_init_error_storage; -assign builder_csrbank1_sel = (builder_interface1_bank_bus_adr[13:9] == 1'd1); -assign builder_csrbank1_rst0_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank0_init_done0_w = init_done_storage; +assign csrbank0_init_error0_w = init_error_storage; +assign csrbank1_sel = (interface1_bank_bus_adr[13:9] == 1'd1); +assign csrbank1_rst0_r = interface1_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank1_rst0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank1_rst0_re <= builder_interface1_bank_bus_we; + csrbank1_rst0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd0))) begin + csrbank1_rst0_we <= (~interface1_bank_bus_we); end end always @(*) begin - builder_csrbank1_rst0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank1_rst0_we <= (~builder_interface1_bank_bus_we); + csrbank1_rst0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd0))) begin + csrbank1_rst0_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_half_sys8x_taps0_r = builder_interface1_bank_bus_dat_w[4:0]; +assign csrbank1_dly_sel0_r = interface1_bank_bus_dat_w[3:0]; always @(*) begin - builder_csrbank1_half_sys8x_taps0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank1_half_sys8x_taps0_we <= (~builder_interface1_bank_bus_we); + csrbank1_dly_sel0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd1))) begin + csrbank1_dly_sel0_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_half_sys8x_taps0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank1_half_sys8x_taps0_re <= builder_interface1_bank_bus_we; + csrbank1_dly_sel0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd1))) begin + csrbank1_dly_sel0_we <= (~interface1_bank_bus_we); end end -assign builder_csrbank1_wlevel_en0_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank1_half_sys8x_taps0_r = interface1_bank_bus_dat_w[4:0]; always @(*) begin - builder_csrbank1_wlevel_en0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd2))) begin - builder_csrbank1_wlevel_en0_we <= (~builder_interface1_bank_bus_we); + csrbank1_half_sys8x_taps0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd2))) begin + csrbank1_half_sys8x_taps0_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_wlevel_en0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd2))) begin - builder_csrbank1_wlevel_en0_re <= builder_interface1_bank_bus_we; + csrbank1_half_sys8x_taps0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd2))) begin + csrbank1_half_sys8x_taps0_we <= (~interface1_bank_bus_we); end end -assign main_k7ddrphy_wlevel_strobe_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank1_wlevel_en0_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_k7ddrphy_wlevel_strobe_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd3))) begin - main_k7ddrphy_wlevel_strobe_we <= (~builder_interface1_bank_bus_we); + csrbank1_wlevel_en0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd3))) begin + csrbank1_wlevel_en0_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_k7ddrphy_wlevel_strobe_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd3))) begin - main_k7ddrphy_wlevel_strobe_re <= builder_interface1_bank_bus_we; + csrbank1_wlevel_en0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd3))) begin + csrbank1_wlevel_en0_re <= interface1_bank_bus_we; end end -assign main_k7ddrphy_cdly_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign k7ddrphy_wlevel_strobe_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_k7ddrphy_cdly_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd4))) begin - main_k7ddrphy_cdly_rst_re <= builder_interface1_bank_bus_we; + k7ddrphy_wlevel_strobe_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd4))) begin + k7ddrphy_wlevel_strobe_re <= interface1_bank_bus_we; end end always @(*) begin - main_k7ddrphy_cdly_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd4))) begin - main_k7ddrphy_cdly_rst_we <= (~builder_interface1_bank_bus_we); + k7ddrphy_wlevel_strobe_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd4))) begin + k7ddrphy_wlevel_strobe_we <= (~interface1_bank_bus_we); end end -assign main_k7ddrphy_cdly_inc_r = builder_interface1_bank_bus_dat_w[0]; +assign k7ddrphy_cdly_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_k7ddrphy_cdly_inc_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd5))) begin - main_k7ddrphy_cdly_inc_re <= builder_interface1_bank_bus_we; + k7ddrphy_cdly_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd5))) begin + k7ddrphy_cdly_rst_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_k7ddrphy_cdly_inc_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd5))) begin - main_k7ddrphy_cdly_inc_we <= (~builder_interface1_bank_bus_we); + k7ddrphy_cdly_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd5))) begin + k7ddrphy_cdly_rst_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_dly_sel0_r = builder_interface1_bank_bus_dat_w[3:0]; +assign k7ddrphy_cdly_inc_r = interface1_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank1_dly_sel0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd6))) begin - builder_csrbank1_dly_sel0_re <= builder_interface1_bank_bus_we; + k7ddrphy_cdly_inc_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd6))) begin + k7ddrphy_cdly_inc_we <= (~interface1_bank_bus_we); end end always @(*) begin - builder_csrbank1_dly_sel0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd6))) begin - builder_csrbank1_dly_sel0_we <= (~builder_interface1_bank_bus_we); + k7ddrphy_cdly_inc_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd6))) begin + k7ddrphy_cdly_inc_re <= interface1_bank_bus_we; end end -assign main_k7ddrphy_rdly_dq_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign k7ddrphy_rdly_dq_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_k7ddrphy_rdly_dq_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd7))) begin - main_k7ddrphy_rdly_dq_rst_we <= (~builder_interface1_bank_bus_we); + k7ddrphy_rdly_dq_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd7))) begin + k7ddrphy_rdly_dq_rst_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_k7ddrphy_rdly_dq_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd7))) begin - main_k7ddrphy_rdly_dq_rst_re <= builder_interface1_bank_bus_we; + k7ddrphy_rdly_dq_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd7))) begin + k7ddrphy_rdly_dq_rst_re <= interface1_bank_bus_we; end end -assign main_k7ddrphy_rdly_dq_inc_r = builder_interface1_bank_bus_dat_w[0]; +assign k7ddrphy_rdly_dq_inc_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_k7ddrphy_rdly_dq_inc_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd8))) begin - main_k7ddrphy_rdly_dq_inc_re <= builder_interface1_bank_bus_we; + k7ddrphy_rdly_dq_inc_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd8))) begin + k7ddrphy_rdly_dq_inc_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_k7ddrphy_rdly_dq_inc_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd8))) begin - main_k7ddrphy_rdly_dq_inc_we <= (~builder_interface1_bank_bus_we); + k7ddrphy_rdly_dq_inc_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd8))) begin + k7ddrphy_rdly_dq_inc_re <= interface1_bank_bus_we; end end -assign main_k7ddrphy_rdly_dq_bitslip_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign k7ddrphy_rdly_dq_bitslip_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_k7ddrphy_rdly_dq_bitslip_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd9))) begin - main_k7ddrphy_rdly_dq_bitslip_rst_re <= builder_interface1_bank_bus_we; + k7ddrphy_rdly_dq_bitslip_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd9))) begin + k7ddrphy_rdly_dq_bitslip_rst_re <= interface1_bank_bus_we; end end always @(*) begin - main_k7ddrphy_rdly_dq_bitslip_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd9))) begin - main_k7ddrphy_rdly_dq_bitslip_rst_we <= (~builder_interface1_bank_bus_we); + k7ddrphy_rdly_dq_bitslip_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd9))) begin + k7ddrphy_rdly_dq_bitslip_rst_we <= (~interface1_bank_bus_we); end end -assign main_k7ddrphy_rdly_dq_bitslip_r = builder_interface1_bank_bus_dat_w[0]; +assign k7ddrphy_rdly_dq_bitslip_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_k7ddrphy_rdly_dq_bitslip_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd10))) begin - main_k7ddrphy_rdly_dq_bitslip_re <= builder_interface1_bank_bus_we; + k7ddrphy_rdly_dq_bitslip_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd10))) begin + k7ddrphy_rdly_dq_bitslip_re <= interface1_bank_bus_we; end end always @(*) begin - main_k7ddrphy_rdly_dq_bitslip_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd10))) begin - main_k7ddrphy_rdly_dq_bitslip_we <= (~builder_interface1_bank_bus_we); + k7ddrphy_rdly_dq_bitslip_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd10))) begin + k7ddrphy_rdly_dq_bitslip_we <= (~interface1_bank_bus_we); end end -assign main_k7ddrphy_wdly_dq_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign k7ddrphy_wdly_dq_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_k7ddrphy_wdly_dq_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd11))) begin - main_k7ddrphy_wdly_dq_rst_re <= builder_interface1_bank_bus_we; + k7ddrphy_wdly_dq_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd11))) begin + k7ddrphy_wdly_dq_rst_re <= interface1_bank_bus_we; end end always @(*) begin - main_k7ddrphy_wdly_dq_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd11))) begin - main_k7ddrphy_wdly_dq_rst_we <= (~builder_interface1_bank_bus_we); + k7ddrphy_wdly_dq_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd11))) begin + k7ddrphy_wdly_dq_rst_we <= (~interface1_bank_bus_we); end end -assign main_k7ddrphy_wdly_dq_inc_r = builder_interface1_bank_bus_dat_w[0]; +assign k7ddrphy_wdly_dq_inc_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_k7ddrphy_wdly_dq_inc_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd12))) begin - main_k7ddrphy_wdly_dq_inc_we <= (~builder_interface1_bank_bus_we); + k7ddrphy_wdly_dq_inc_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd12))) begin + k7ddrphy_wdly_dq_inc_re <= interface1_bank_bus_we; end end always @(*) begin - main_k7ddrphy_wdly_dq_inc_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd12))) begin - main_k7ddrphy_wdly_dq_inc_re <= builder_interface1_bank_bus_we; + k7ddrphy_wdly_dq_inc_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd12))) begin + k7ddrphy_wdly_dq_inc_we <= (~interface1_bank_bus_we); end end -assign main_k7ddrphy_wdly_dqs_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign k7ddrphy_wdly_dqs_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_k7ddrphy_wdly_dqs_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd13))) begin - main_k7ddrphy_wdly_dqs_rst_we <= (~builder_interface1_bank_bus_we); + k7ddrphy_wdly_dqs_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd13))) begin + k7ddrphy_wdly_dqs_rst_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_k7ddrphy_wdly_dqs_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd13))) begin - main_k7ddrphy_wdly_dqs_rst_re <= builder_interface1_bank_bus_we; + k7ddrphy_wdly_dqs_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd13))) begin + k7ddrphy_wdly_dqs_rst_re <= interface1_bank_bus_we; end end -assign main_k7ddrphy_wdly_dqs_inc_r = builder_interface1_bank_bus_dat_w[0]; +assign k7ddrphy_wdly_dqs_inc_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_k7ddrphy_wdly_dqs_inc_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd14))) begin - main_k7ddrphy_wdly_dqs_inc_we <= (~builder_interface1_bank_bus_we); + k7ddrphy_wdly_dqs_inc_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd14))) begin + k7ddrphy_wdly_dqs_inc_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_k7ddrphy_wdly_dqs_inc_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd14))) begin - main_k7ddrphy_wdly_dqs_inc_re <= builder_interface1_bank_bus_we; + k7ddrphy_wdly_dqs_inc_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd14))) begin + k7ddrphy_wdly_dqs_inc_re <= interface1_bank_bus_we; end end -assign main_k7ddrphy_wdly_dq_bitslip_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign k7ddrphy_wdly_dq_bitslip_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_k7ddrphy_wdly_dq_bitslip_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd15))) begin - main_k7ddrphy_wdly_dq_bitslip_rst_we <= (~builder_interface1_bank_bus_we); + k7ddrphy_wdly_dq_bitslip_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd15))) begin + k7ddrphy_wdly_dq_bitslip_rst_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_k7ddrphy_wdly_dq_bitslip_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd15))) begin - main_k7ddrphy_wdly_dq_bitslip_rst_re <= builder_interface1_bank_bus_we; + k7ddrphy_wdly_dq_bitslip_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd15))) begin + k7ddrphy_wdly_dq_bitslip_rst_re <= interface1_bank_bus_we; end end -assign main_k7ddrphy_wdly_dq_bitslip_r = builder_interface1_bank_bus_dat_w[0]; +assign k7ddrphy_wdly_dq_bitslip_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_k7ddrphy_wdly_dq_bitslip_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 5'd16))) begin - main_k7ddrphy_wdly_dq_bitslip_re <= builder_interface1_bank_bus_we; + k7ddrphy_wdly_dq_bitslip_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 5'd16))) begin + k7ddrphy_wdly_dq_bitslip_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_k7ddrphy_wdly_dq_bitslip_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 5'd16))) begin - main_k7ddrphy_wdly_dq_bitslip_we <= (~builder_interface1_bank_bus_we); + k7ddrphy_wdly_dq_bitslip_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 5'd16))) begin + k7ddrphy_wdly_dq_bitslip_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_rdphase0_r = builder_interface1_bank_bus_dat_w[1:0]; +assign csrbank1_rdphase0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - builder_csrbank1_rdphase0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 5'd17))) begin - builder_csrbank1_rdphase0_we <= (~builder_interface1_bank_bus_we); + csrbank1_rdphase0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 5'd17))) begin + csrbank1_rdphase0_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_rdphase0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 5'd17))) begin - builder_csrbank1_rdphase0_re <= builder_interface1_bank_bus_we; + csrbank1_rdphase0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 5'd17))) begin + csrbank1_rdphase0_we <= (~interface1_bank_bus_we); end end -assign builder_csrbank1_wrphase0_r = builder_interface1_bank_bus_dat_w[1:0]; +assign csrbank1_wrphase0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - builder_csrbank1_wrphase0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 5'd18))) begin - builder_csrbank1_wrphase0_re <= builder_interface1_bank_bus_we; + csrbank1_wrphase0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 5'd18))) begin + csrbank1_wrphase0_we <= (~interface1_bank_bus_we); end end always @(*) begin - builder_csrbank1_wrphase0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 5'd18))) begin - builder_csrbank1_wrphase0_we <= (~builder_interface1_bank_bus_we); + csrbank1_wrphase0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 5'd18))) begin + csrbank1_wrphase0_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_rst0_w = main_k7ddrphy_rst_storage; -assign builder_csrbank1_half_sys8x_taps0_w = main_k7ddrphy_half_sys8x_taps_storage[4:0]; -assign builder_csrbank1_wlevel_en0_w = main_k7ddrphy_wlevel_en_storage; -assign builder_csrbank1_dly_sel0_w = main_k7ddrphy_dly_sel_storage[3:0]; -assign builder_csrbank1_rdphase0_w = main_k7ddrphy_rdphase_storage[1:0]; -assign builder_csrbank1_wrphase0_w = main_k7ddrphy_wrphase_storage[1:0]; -assign builder_csrbank2_sel = (builder_interface2_bank_bus_adr[13:9] == 2'd2); -assign builder_csrbank2_dfii_control0_r = builder_interface2_bank_bus_dat_w[3:0]; +assign csrbank1_rst0_w = k7ddrphy_rst_storage; +assign csrbank1_dly_sel0_w = k7ddrphy_dly_sel_storage[3:0]; +assign csrbank1_half_sys8x_taps0_w = k7ddrphy_half_sys8x_taps_storage[4:0]; +assign csrbank1_wlevel_en0_w = k7ddrphy_wlevel_en_storage; +assign csrbank1_rdphase0_w = k7ddrphy_rdphase_storage[1:0]; +assign csrbank1_wrphase0_w = k7ddrphy_wrphase_storage[1:0]; +assign csrbank2_sel = (interface2_bank_bus_adr[13:9] == 2'd2); +assign csrbank2_dfii_control0_r = interface2_bank_bus_dat_w[3:0]; always @(*) begin - builder_csrbank2_dfii_control0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank2_dfii_control0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_control0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd0))) begin + csrbank2_dfii_control0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_control0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank2_dfii_control0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_control0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd0))) begin + csrbank2_dfii_control0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi0_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi0_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi0_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank2_dfii_pi0_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd1))) begin + csrbank2_dfii_pi0_command0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank2_dfii_pi0_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd1))) begin + csrbank2_dfii_pi0_command0_re <= interface2_bank_bus_we; end end -assign main_litedramcore_phaseinjector0_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector0_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector0_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd2))) begin - main_litedramcore_phaseinjector0_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector0_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd2))) begin + litedramcore_phaseinjector0_command_issue_we <= (~interface2_bank_bus_we); end end always @(*) begin - main_litedramcore_phaseinjector0_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd2))) begin - main_litedramcore_phaseinjector0_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector0_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd2))) begin + litedramcore_phaseinjector0_command_issue_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi0_address0_r = builder_interface2_bank_bus_dat_w[14:0]; +assign csrbank2_dfii_pi0_address0_r = interface2_bank_bus_dat_w[14:0]; always @(*) begin - builder_csrbank2_dfii_pi0_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd3))) begin - builder_csrbank2_dfii_pi0_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd3))) begin + csrbank2_dfii_pi0_address0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi0_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd3))) begin - builder_csrbank2_dfii_pi0_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd3))) begin + csrbank2_dfii_pi0_address0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi0_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi0_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi0_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank2_dfii_pi0_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd4))) begin + csrbank2_dfii_pi0_baddress0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank2_dfii_pi0_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd4))) begin + csrbank2_dfii_pi0_baddress0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi0_wrdata1_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi0_wrdata1_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi0_wrdata1_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd5))) begin - builder_csrbank2_dfii_pi0_wrdata1_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_wrdata1_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd5))) begin + csrbank2_dfii_pi0_wrdata1_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_wrdata1_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd5))) begin - builder_csrbank2_dfii_pi0_wrdata1_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_wrdata1_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd5))) begin + csrbank2_dfii_pi0_wrdata1_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi0_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi0_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi0_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd6))) begin - builder_csrbank2_dfii_pi0_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd6))) begin + csrbank2_dfii_pi0_wrdata0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi0_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd6))) begin - builder_csrbank2_dfii_pi0_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd6))) begin + csrbank2_dfii_pi0_wrdata0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi0_rddata1_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi0_rddata1_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi0_rddata1_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd7))) begin - builder_csrbank2_dfii_pi0_rddata1_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_rddata1_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd7))) begin + csrbank2_dfii_pi0_rddata1_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_rddata1_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd7))) begin - builder_csrbank2_dfii_pi0_rddata1_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_rddata1_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd7))) begin + csrbank2_dfii_pi0_rddata1_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi0_rddata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi0_rddata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi0_rddata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd8))) begin - builder_csrbank2_dfii_pi0_rddata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_rddata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd8))) begin + csrbank2_dfii_pi0_rddata0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_rddata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd8))) begin - builder_csrbank2_dfii_pi0_rddata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_rddata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd8))) begin + csrbank2_dfii_pi0_rddata0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi1_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi1_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd9))) begin - builder_csrbank2_dfii_pi1_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd9))) begin + csrbank2_dfii_pi1_command0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi1_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd9))) begin - builder_csrbank2_dfii_pi1_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd9))) begin + csrbank2_dfii_pi1_command0_we <= (~interface2_bank_bus_we); end end -assign main_litedramcore_phaseinjector1_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector1_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector1_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd10))) begin - main_litedramcore_phaseinjector1_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector1_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd10))) begin + litedramcore_phaseinjector1_command_issue_re <= interface2_bank_bus_we; end end always @(*) begin - main_litedramcore_phaseinjector1_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd10))) begin - main_litedramcore_phaseinjector1_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector1_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd10))) begin + litedramcore_phaseinjector1_command_issue_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi1_address0_r = builder_interface2_bank_bus_dat_w[14:0]; +assign csrbank2_dfii_pi1_address0_r = interface2_bank_bus_dat_w[14:0]; always @(*) begin - builder_csrbank2_dfii_pi1_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank2_dfii_pi1_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd11))) begin + csrbank2_dfii_pi1_address0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank2_dfii_pi1_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd11))) begin + csrbank2_dfii_pi1_address0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi1_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi1_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank2_dfii_pi1_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd12))) begin + csrbank2_dfii_pi1_baddress0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank2_dfii_pi1_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd12))) begin + csrbank2_dfii_pi1_baddress0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_wrdata1_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi1_wrdata1_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi1_wrdata1_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd13))) begin - builder_csrbank2_dfii_pi1_wrdata1_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_wrdata1_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd13))) begin + csrbank2_dfii_pi1_wrdata1_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi1_wrdata1_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd13))) begin - builder_csrbank2_dfii_pi1_wrdata1_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_wrdata1_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd13))) begin + csrbank2_dfii_pi1_wrdata1_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi1_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi1_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi1_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd14))) begin - builder_csrbank2_dfii_pi1_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd14))) begin + csrbank2_dfii_pi1_wrdata0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd14))) begin - builder_csrbank2_dfii_pi1_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd14))) begin + csrbank2_dfii_pi1_wrdata0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_rddata1_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi1_rddata1_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi1_rddata1_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd15))) begin - builder_csrbank2_dfii_pi1_rddata1_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_rddata1_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd15))) begin + csrbank2_dfii_pi1_rddata1_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi1_rddata1_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd15))) begin - builder_csrbank2_dfii_pi1_rddata1_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_rddata1_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd15))) begin + csrbank2_dfii_pi1_rddata1_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi1_rddata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi1_rddata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi1_rddata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd16))) begin - builder_csrbank2_dfii_pi1_rddata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_rddata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd16))) begin + csrbank2_dfii_pi1_rddata0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi1_rddata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd16))) begin - builder_csrbank2_dfii_pi1_rddata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_rddata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd16))) begin + csrbank2_dfii_pi1_rddata0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi2_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi2_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd17))) begin - builder_csrbank2_dfii_pi2_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd17))) begin + csrbank2_dfii_pi2_command0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi2_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd17))) begin - builder_csrbank2_dfii_pi2_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd17))) begin + csrbank2_dfii_pi2_command0_re <= interface2_bank_bus_we; end end -assign main_litedramcore_phaseinjector2_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector2_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector2_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd18))) begin - main_litedramcore_phaseinjector2_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector2_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd18))) begin + litedramcore_phaseinjector2_command_issue_re <= interface2_bank_bus_we; end end always @(*) begin - main_litedramcore_phaseinjector2_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd18))) begin - main_litedramcore_phaseinjector2_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector2_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd18))) begin + litedramcore_phaseinjector2_command_issue_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_address0_r = builder_interface2_bank_bus_dat_w[14:0]; +assign csrbank2_dfii_pi2_address0_r = interface2_bank_bus_dat_w[14:0]; always @(*) begin - builder_csrbank2_dfii_pi2_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd19))) begin - builder_csrbank2_dfii_pi2_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd19))) begin + csrbank2_dfii_pi2_address0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi2_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd19))) begin - builder_csrbank2_dfii_pi2_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd19))) begin + csrbank2_dfii_pi2_address0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi2_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi2_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi2_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd20))) begin - builder_csrbank2_dfii_pi2_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd20))) begin + csrbank2_dfii_pi2_baddress0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi2_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd20))) begin - builder_csrbank2_dfii_pi2_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd20))) begin + csrbank2_dfii_pi2_baddress0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_wrdata1_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi2_wrdata1_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi2_wrdata1_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd21))) begin - builder_csrbank2_dfii_pi2_wrdata1_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_wrdata1_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd21))) begin + csrbank2_dfii_pi2_wrdata1_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi2_wrdata1_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd21))) begin - builder_csrbank2_dfii_pi2_wrdata1_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_wrdata1_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd21))) begin + csrbank2_dfii_pi2_wrdata1_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi2_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi2_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi2_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd22))) begin - builder_csrbank2_dfii_pi2_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd22))) begin + csrbank2_dfii_pi2_wrdata0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi2_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd22))) begin - builder_csrbank2_dfii_pi2_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd22))) begin + csrbank2_dfii_pi2_wrdata0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_rddata1_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi2_rddata1_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi2_rddata1_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd23))) begin - builder_csrbank2_dfii_pi2_rddata1_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_rddata1_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd23))) begin + csrbank2_dfii_pi2_rddata1_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi2_rddata1_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd23))) begin - builder_csrbank2_dfii_pi2_rddata1_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_rddata1_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd23))) begin + csrbank2_dfii_pi2_rddata1_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_rddata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi2_rddata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi2_rddata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd24))) begin - builder_csrbank2_dfii_pi2_rddata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_rddata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd24))) begin + csrbank2_dfii_pi2_rddata0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi2_rddata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd24))) begin - builder_csrbank2_dfii_pi2_rddata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_rddata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd24))) begin + csrbank2_dfii_pi2_rddata0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi3_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi3_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi3_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd25))) begin - builder_csrbank2_dfii_pi3_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd25))) begin + csrbank2_dfii_pi3_command0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi3_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd25))) begin - builder_csrbank2_dfii_pi3_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd25))) begin + csrbank2_dfii_pi3_command0_re <= interface2_bank_bus_we; end end -assign main_litedramcore_phaseinjector3_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector3_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector3_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd26))) begin - main_litedramcore_phaseinjector3_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector3_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd26))) begin + litedramcore_phaseinjector3_command_issue_we <= (~interface2_bank_bus_we); end end always @(*) begin - main_litedramcore_phaseinjector3_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd26))) begin - main_litedramcore_phaseinjector3_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector3_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd26))) begin + litedramcore_phaseinjector3_command_issue_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi3_address0_r = builder_interface2_bank_bus_dat_w[14:0]; +assign csrbank2_dfii_pi3_address0_r = interface2_bank_bus_dat_w[14:0]; always @(*) begin - builder_csrbank2_dfii_pi3_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd27))) begin - builder_csrbank2_dfii_pi3_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd27))) begin + csrbank2_dfii_pi3_address0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd27))) begin - builder_csrbank2_dfii_pi3_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd27))) begin + csrbank2_dfii_pi3_address0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi3_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi3_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd28))) begin - builder_csrbank2_dfii_pi3_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd28))) begin + csrbank2_dfii_pi3_baddress0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi3_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd28))) begin - builder_csrbank2_dfii_pi3_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd28))) begin + csrbank2_dfii_pi3_baddress0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi3_wrdata1_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi3_wrdata1_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi3_wrdata1_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd29))) begin - builder_csrbank2_dfii_pi3_wrdata1_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_wrdata1_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd29))) begin + csrbank2_dfii_pi3_wrdata1_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_wrdata1_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd29))) begin - builder_csrbank2_dfii_pi3_wrdata1_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_wrdata1_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd29))) begin + csrbank2_dfii_pi3_wrdata1_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi3_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi3_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd30))) begin - builder_csrbank2_dfii_pi3_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd30))) begin + csrbank2_dfii_pi3_wrdata0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd30))) begin - builder_csrbank2_dfii_pi3_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd30))) begin + csrbank2_dfii_pi3_wrdata0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_rddata1_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi3_rddata1_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi3_rddata1_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd31))) begin - builder_csrbank2_dfii_pi3_rddata1_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_rddata1_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd31))) begin + csrbank2_dfii_pi3_rddata1_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi3_rddata1_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd31))) begin - builder_csrbank2_dfii_pi3_rddata1_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_rddata1_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd31))) begin + csrbank2_dfii_pi3_rddata1_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi3_rddata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi3_rddata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi3_rddata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 6'd32))) begin - builder_csrbank2_dfii_pi3_rddata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_rddata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 6'd32))) begin + csrbank2_dfii_pi3_rddata0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_rddata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 6'd32))) begin - builder_csrbank2_dfii_pi3_rddata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_rddata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 6'd32))) begin + csrbank2_dfii_pi3_rddata0_we <= (~interface2_bank_bus_we); end end -assign main_litedramcore_sel = main_litedramcore_storage[0]; -assign main_litedramcore_cke = main_litedramcore_storage[1]; -assign main_litedramcore_odt = main_litedramcore_storage[2]; -assign main_litedramcore_reset_n = main_litedramcore_storage[3]; -assign builder_csrbank2_dfii_control0_w = main_litedramcore_storage[3:0]; -assign builder_csrbank2_dfii_pi0_command0_w = main_litedramcore_phaseinjector0_command_storage[5:0]; -assign builder_csrbank2_dfii_pi0_address0_w = main_litedramcore_phaseinjector0_address_storage[14:0]; -assign builder_csrbank2_dfii_pi0_baddress0_w = main_litedramcore_phaseinjector0_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi0_wrdata1_w = main_litedramcore_phaseinjector0_wrdata_storage[63:32]; -assign builder_csrbank2_dfii_pi0_wrdata0_w = main_litedramcore_phaseinjector0_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi0_rddata1_w = main_litedramcore_phaseinjector0_rddata_status[63:32]; -assign builder_csrbank2_dfii_pi0_rddata0_w = main_litedramcore_phaseinjector0_rddata_status[31:0]; -assign main_litedramcore_phaseinjector0_rddata_we = builder_csrbank2_dfii_pi0_rddata0_we; -assign builder_csrbank2_dfii_pi1_command0_w = main_litedramcore_phaseinjector1_command_storage[5:0]; -assign builder_csrbank2_dfii_pi1_address0_w = main_litedramcore_phaseinjector1_address_storage[14:0]; -assign builder_csrbank2_dfii_pi1_baddress0_w = main_litedramcore_phaseinjector1_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi1_wrdata1_w = main_litedramcore_phaseinjector1_wrdata_storage[63:32]; -assign builder_csrbank2_dfii_pi1_wrdata0_w = main_litedramcore_phaseinjector1_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi1_rddata1_w = main_litedramcore_phaseinjector1_rddata_status[63:32]; -assign builder_csrbank2_dfii_pi1_rddata0_w = main_litedramcore_phaseinjector1_rddata_status[31:0]; -assign main_litedramcore_phaseinjector1_rddata_we = builder_csrbank2_dfii_pi1_rddata0_we; -assign builder_csrbank2_dfii_pi2_command0_w = main_litedramcore_phaseinjector2_command_storage[5:0]; -assign builder_csrbank2_dfii_pi2_address0_w = main_litedramcore_phaseinjector2_address_storage[14:0]; -assign builder_csrbank2_dfii_pi2_baddress0_w = main_litedramcore_phaseinjector2_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi2_wrdata1_w = main_litedramcore_phaseinjector2_wrdata_storage[63:32]; -assign builder_csrbank2_dfii_pi2_wrdata0_w = main_litedramcore_phaseinjector2_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi2_rddata1_w = main_litedramcore_phaseinjector2_rddata_status[63:32]; -assign builder_csrbank2_dfii_pi2_rddata0_w = main_litedramcore_phaseinjector2_rddata_status[31:0]; -assign main_litedramcore_phaseinjector2_rddata_we = builder_csrbank2_dfii_pi2_rddata0_we; -assign builder_csrbank2_dfii_pi3_command0_w = main_litedramcore_phaseinjector3_command_storage[5:0]; -assign builder_csrbank2_dfii_pi3_address0_w = main_litedramcore_phaseinjector3_address_storage[14:0]; -assign builder_csrbank2_dfii_pi3_baddress0_w = main_litedramcore_phaseinjector3_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi3_wrdata1_w = main_litedramcore_phaseinjector3_wrdata_storage[63:32]; -assign builder_csrbank2_dfii_pi3_wrdata0_w = main_litedramcore_phaseinjector3_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi3_rddata1_w = main_litedramcore_phaseinjector3_rddata_status[63:32]; -assign builder_csrbank2_dfii_pi3_rddata0_w = main_litedramcore_phaseinjector3_rddata_status[31:0]; -assign main_litedramcore_phaseinjector3_rddata_we = builder_csrbank2_dfii_pi3_rddata0_we; -assign builder_csr_interconnect_adr = builder_litedramcore_adr; -assign builder_csr_interconnect_we = builder_litedramcore_we; -assign builder_csr_interconnect_dat_w = builder_litedramcore_dat_w; -assign builder_litedramcore_dat_r = builder_csr_interconnect_dat_r; -assign builder_interface0_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface1_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface2_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface0_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface1_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface2_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface0_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_interface1_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_interface2_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_csr_interconnect_dat_r = ((builder_interface0_bank_bus_dat_r | builder_interface1_bank_bus_dat_r) | builder_interface2_bank_bus_dat_r); -always @(*) begin - builder_rhs_array_muxed0 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) +assign litedramcore_sel = litedramcore_storage[0]; +assign litedramcore_cke = litedramcore_storage[1]; +assign litedramcore_odt = litedramcore_storage[2]; +assign litedramcore_reset_n = litedramcore_storage[3]; +assign csrbank2_dfii_control0_w = litedramcore_storage[3:0]; +assign litedramcore_phaseinjector0_csrfield_cs = litedramcore_phaseinjector0_command_storage[0]; +assign litedramcore_phaseinjector0_csrfield_we = litedramcore_phaseinjector0_command_storage[1]; +assign litedramcore_phaseinjector0_csrfield_cas = litedramcore_phaseinjector0_command_storage[2]; +assign litedramcore_phaseinjector0_csrfield_ras = litedramcore_phaseinjector0_command_storage[3]; +assign litedramcore_phaseinjector0_csrfield_wren = litedramcore_phaseinjector0_command_storage[4]; +assign litedramcore_phaseinjector0_csrfield_rden = litedramcore_phaseinjector0_command_storage[5]; +assign csrbank2_dfii_pi0_command0_w = litedramcore_phaseinjector0_command_storage[5:0]; +assign csrbank2_dfii_pi0_address0_w = litedramcore_phaseinjector0_address_storage[14:0]; +assign csrbank2_dfii_pi0_baddress0_w = litedramcore_phaseinjector0_baddress_storage[2:0]; +assign csrbank2_dfii_pi0_wrdata1_w = litedramcore_phaseinjector0_wrdata_storage[63:32]; +assign csrbank2_dfii_pi0_wrdata0_w = litedramcore_phaseinjector0_wrdata_storage[31:0]; +assign csrbank2_dfii_pi0_rddata1_w = litedramcore_phaseinjector0_rddata_status[63:32]; +assign csrbank2_dfii_pi0_rddata0_w = litedramcore_phaseinjector0_rddata_status[31:0]; +assign litedramcore_phaseinjector0_rddata_we = csrbank2_dfii_pi0_rddata0_we; +assign litedramcore_phaseinjector1_csrfield_cs = litedramcore_phaseinjector1_command_storage[0]; +assign litedramcore_phaseinjector1_csrfield_we = litedramcore_phaseinjector1_command_storage[1]; +assign litedramcore_phaseinjector1_csrfield_cas = litedramcore_phaseinjector1_command_storage[2]; +assign litedramcore_phaseinjector1_csrfield_ras = litedramcore_phaseinjector1_command_storage[3]; +assign litedramcore_phaseinjector1_csrfield_wren = litedramcore_phaseinjector1_command_storage[4]; +assign litedramcore_phaseinjector1_csrfield_rden = litedramcore_phaseinjector1_command_storage[5]; +assign csrbank2_dfii_pi1_command0_w = litedramcore_phaseinjector1_command_storage[5:0]; +assign csrbank2_dfii_pi1_address0_w = litedramcore_phaseinjector1_address_storage[14:0]; +assign csrbank2_dfii_pi1_baddress0_w = litedramcore_phaseinjector1_baddress_storage[2:0]; +assign csrbank2_dfii_pi1_wrdata1_w = litedramcore_phaseinjector1_wrdata_storage[63:32]; +assign csrbank2_dfii_pi1_wrdata0_w = litedramcore_phaseinjector1_wrdata_storage[31:0]; +assign csrbank2_dfii_pi1_rddata1_w = litedramcore_phaseinjector1_rddata_status[63:32]; +assign csrbank2_dfii_pi1_rddata0_w = litedramcore_phaseinjector1_rddata_status[31:0]; +assign litedramcore_phaseinjector1_rddata_we = csrbank2_dfii_pi1_rddata0_we; +assign litedramcore_phaseinjector2_csrfield_cs = litedramcore_phaseinjector2_command_storage[0]; +assign litedramcore_phaseinjector2_csrfield_we = litedramcore_phaseinjector2_command_storage[1]; +assign litedramcore_phaseinjector2_csrfield_cas = litedramcore_phaseinjector2_command_storage[2]; +assign litedramcore_phaseinjector2_csrfield_ras = litedramcore_phaseinjector2_command_storage[3]; +assign litedramcore_phaseinjector2_csrfield_wren = litedramcore_phaseinjector2_command_storage[4]; +assign litedramcore_phaseinjector2_csrfield_rden = litedramcore_phaseinjector2_command_storage[5]; +assign csrbank2_dfii_pi2_command0_w = litedramcore_phaseinjector2_command_storage[5:0]; +assign csrbank2_dfii_pi2_address0_w = litedramcore_phaseinjector2_address_storage[14:0]; +assign csrbank2_dfii_pi2_baddress0_w = litedramcore_phaseinjector2_baddress_storage[2:0]; +assign csrbank2_dfii_pi2_wrdata1_w = litedramcore_phaseinjector2_wrdata_storage[63:32]; +assign csrbank2_dfii_pi2_wrdata0_w = litedramcore_phaseinjector2_wrdata_storage[31:0]; +assign csrbank2_dfii_pi2_rddata1_w = litedramcore_phaseinjector2_rddata_status[63:32]; +assign csrbank2_dfii_pi2_rddata0_w = litedramcore_phaseinjector2_rddata_status[31:0]; +assign litedramcore_phaseinjector2_rddata_we = csrbank2_dfii_pi2_rddata0_we; +assign litedramcore_phaseinjector3_csrfield_cs = litedramcore_phaseinjector3_command_storage[0]; +assign litedramcore_phaseinjector3_csrfield_we = litedramcore_phaseinjector3_command_storage[1]; +assign litedramcore_phaseinjector3_csrfield_cas = litedramcore_phaseinjector3_command_storage[2]; +assign litedramcore_phaseinjector3_csrfield_ras = litedramcore_phaseinjector3_command_storage[3]; +assign litedramcore_phaseinjector3_csrfield_wren = litedramcore_phaseinjector3_command_storage[4]; +assign litedramcore_phaseinjector3_csrfield_rden = litedramcore_phaseinjector3_command_storage[5]; +assign csrbank2_dfii_pi3_command0_w = litedramcore_phaseinjector3_command_storage[5:0]; +assign csrbank2_dfii_pi3_address0_w = litedramcore_phaseinjector3_address_storage[14:0]; +assign csrbank2_dfii_pi3_baddress0_w = litedramcore_phaseinjector3_baddress_storage[2:0]; +assign csrbank2_dfii_pi3_wrdata1_w = litedramcore_phaseinjector3_wrdata_storage[63:32]; +assign csrbank2_dfii_pi3_wrdata0_w = litedramcore_phaseinjector3_wrdata_storage[31:0]; +assign csrbank2_dfii_pi3_rddata1_w = litedramcore_phaseinjector3_rddata_status[63:32]; +assign csrbank2_dfii_pi3_rddata0_w = litedramcore_phaseinjector3_rddata_status[31:0]; +assign litedramcore_phaseinjector3_rddata_we = csrbank2_dfii_pi3_rddata0_we; +assign csr_interconnect_adr = litedramcore_adr; +assign csr_interconnect_we = litedramcore_we; +assign csr_interconnect_dat_w = litedramcore_dat_w; +assign litedramcore_dat_r = csr_interconnect_dat_r; +assign interface0_bank_bus_adr = csr_interconnect_adr; +assign interface1_bank_bus_adr = csr_interconnect_adr; +assign interface2_bank_bus_adr = csr_interconnect_adr; +assign interface0_bank_bus_we = csr_interconnect_we; +assign interface1_bank_bus_we = csr_interconnect_we; +assign interface2_bank_bus_we = csr_interconnect_we; +assign interface0_bank_bus_dat_w = csr_interconnect_dat_w; +assign interface1_bank_bus_dat_w = csr_interconnect_dat_w; +assign interface2_bank_bus_dat_w = csr_interconnect_dat_w; +assign csr_interconnect_dat_r = ((interface0_bank_bus_dat_r | interface1_bank_bus_dat_r) | interface2_bank_bus_dat_r); +always @(*) begin + rhs_array_muxed0 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[0]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[0]; end 1'd1: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[1]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[1]; end 2'd2: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[2]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[2]; end 2'd3: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[3]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[3]; end 3'd4: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[4]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[4]; end 3'd5: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[5]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[5]; end 3'd6: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[6]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[6]; end default: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[7]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[7]; end endcase end always @(*) begin - builder_rhs_array_muxed1 <= 15'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed1 <= 15'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine0_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine0_cmd_payload_a; end 1'd1: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine1_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine1_cmd_payload_a; end 2'd2: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine2_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine2_cmd_payload_a; end 2'd3: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine3_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine3_cmd_payload_a; end 3'd4: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine4_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine4_cmd_payload_a; end 3'd5: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine5_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine5_cmd_payload_a; end 3'd6: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine6_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine6_cmd_payload_a; end default: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine7_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine7_cmd_payload_a; end endcase end always @(*) begin - builder_rhs_array_muxed2 <= 3'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed2 <= 3'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine0_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine0_cmd_payload_ba; end 1'd1: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine1_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine1_cmd_payload_ba; end 2'd2: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine2_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine2_cmd_payload_ba; end 2'd3: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine3_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine3_cmd_payload_ba; end 3'd4: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine4_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine4_cmd_payload_ba; end 3'd5: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine5_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine5_cmd_payload_ba; end 3'd6: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine6_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine6_cmd_payload_ba; end default: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine7_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine7_cmd_payload_ba; end endcase end always @(*) begin - builder_rhs_array_muxed3 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed3 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine0_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine0_cmd_payload_is_read; end 1'd1: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine1_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine1_cmd_payload_is_read; end 2'd2: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine2_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine2_cmd_payload_is_read; end 2'd3: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine3_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine3_cmd_payload_is_read; end 3'd4: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine4_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine4_cmd_payload_is_read; end 3'd5: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine5_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine5_cmd_payload_is_read; end 3'd6: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine6_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine6_cmd_payload_is_read; end default: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine7_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine7_cmd_payload_is_read; end endcase end always @(*) begin - builder_rhs_array_muxed4 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed4 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine0_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine0_cmd_payload_is_write; end 1'd1: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine1_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine1_cmd_payload_is_write; end 2'd2: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine2_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine2_cmd_payload_is_write; end 2'd3: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine3_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine3_cmd_payload_is_write; end 3'd4: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine4_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine4_cmd_payload_is_write; end 3'd5: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine5_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine5_cmd_payload_is_write; end 3'd6: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine6_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine6_cmd_payload_is_write; end default: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine7_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine7_cmd_payload_is_write; end endcase end always @(*) begin - builder_rhs_array_muxed5 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed5 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine0_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine0_cmd_payload_is_cmd; end 1'd1: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine1_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine1_cmd_payload_is_cmd; end 2'd2: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine2_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine2_cmd_payload_is_cmd; end 2'd3: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine3_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine3_cmd_payload_is_cmd; end 3'd4: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine4_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine4_cmd_payload_is_cmd; end 3'd5: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine5_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine5_cmd_payload_is_cmd; end 3'd6: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine6_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine6_cmd_payload_is_cmd; end default: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine7_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine7_cmd_payload_is_cmd; end endcase end always @(*) begin - builder_t_array_muxed0 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed0 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine0_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine0_cmd_payload_cas; end 1'd1: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine1_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine1_cmd_payload_cas; end 2'd2: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine2_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine2_cmd_payload_cas; end 2'd3: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine3_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine3_cmd_payload_cas; end 3'd4: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine4_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine4_cmd_payload_cas; end 3'd5: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine5_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine5_cmd_payload_cas; end 3'd6: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine6_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine6_cmd_payload_cas; end default: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine7_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine7_cmd_payload_cas; end endcase end always @(*) begin - builder_t_array_muxed1 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed1 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine0_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine0_cmd_payload_ras; end 1'd1: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine1_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine1_cmd_payload_ras; end 2'd2: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine2_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine2_cmd_payload_ras; end 2'd3: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine3_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine3_cmd_payload_ras; end 3'd4: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine4_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine4_cmd_payload_ras; end 3'd5: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine5_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine5_cmd_payload_ras; end 3'd6: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine6_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine6_cmd_payload_ras; end default: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine7_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine7_cmd_payload_ras; end endcase end always @(*) begin - builder_t_array_muxed2 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed2 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine0_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine0_cmd_payload_we; end 1'd1: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine1_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine1_cmd_payload_we; end 2'd2: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine2_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine2_cmd_payload_we; end 2'd3: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine3_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine3_cmd_payload_we; end 3'd4: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine4_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine4_cmd_payload_we; end 3'd5: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine5_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine5_cmd_payload_we; end 3'd6: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine6_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine6_cmd_payload_we; end default: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine7_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine7_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed6 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed6 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[0]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[0]; end 1'd1: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[1]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[1]; end 2'd2: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[2]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[2]; end 2'd3: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[3]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[3]; end 3'd4: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[4]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[4]; end 3'd5: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[5]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[5]; end 3'd6: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[6]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[6]; end default: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[7]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[7]; end endcase end always @(*) begin - builder_rhs_array_muxed7 <= 15'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed7 <= 15'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine0_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine0_cmd_payload_a; end 1'd1: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine1_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine1_cmd_payload_a; end 2'd2: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine2_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine2_cmd_payload_a; end 2'd3: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine3_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine3_cmd_payload_a; end 3'd4: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine4_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine4_cmd_payload_a; end 3'd5: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine5_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine5_cmd_payload_a; end 3'd6: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine6_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine6_cmd_payload_a; end default: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine7_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine7_cmd_payload_a; end endcase end always @(*) begin - builder_rhs_array_muxed8 <= 3'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed8 <= 3'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine0_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine0_cmd_payload_ba; end 1'd1: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine1_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine1_cmd_payload_ba; end 2'd2: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine2_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine2_cmd_payload_ba; end 2'd3: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine3_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine3_cmd_payload_ba; end 3'd4: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine4_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine4_cmd_payload_ba; end 3'd5: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine5_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine5_cmd_payload_ba; end 3'd6: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine6_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine6_cmd_payload_ba; end default: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine7_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine7_cmd_payload_ba; end endcase end always @(*) begin - builder_rhs_array_muxed9 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed9 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine0_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine0_cmd_payload_is_read; end 1'd1: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine1_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine1_cmd_payload_is_read; end 2'd2: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine2_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine2_cmd_payload_is_read; end 2'd3: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine3_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine3_cmd_payload_is_read; end 3'd4: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine4_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine4_cmd_payload_is_read; end 3'd5: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine5_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine5_cmd_payload_is_read; end 3'd6: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine6_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine6_cmd_payload_is_read; end default: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine7_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine7_cmd_payload_is_read; end endcase end always @(*) begin - builder_rhs_array_muxed10 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed10 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine0_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine0_cmd_payload_is_write; end 1'd1: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine1_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine1_cmd_payload_is_write; end 2'd2: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine2_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine2_cmd_payload_is_write; end 2'd3: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine3_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine3_cmd_payload_is_write; end 3'd4: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine4_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine4_cmd_payload_is_write; end 3'd5: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine5_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine5_cmd_payload_is_write; end 3'd6: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine6_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine6_cmd_payload_is_write; end default: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine7_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine7_cmd_payload_is_write; end endcase end always @(*) begin - builder_rhs_array_muxed11 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed11 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine0_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine0_cmd_payload_is_cmd; end 1'd1: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine1_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine1_cmd_payload_is_cmd; end 2'd2: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine2_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine2_cmd_payload_is_cmd; end 2'd3: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine3_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine3_cmd_payload_is_cmd; end 3'd4: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine4_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine4_cmd_payload_is_cmd; end 3'd5: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine5_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine5_cmd_payload_is_cmd; end 3'd6: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine6_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine6_cmd_payload_is_cmd; end default: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine7_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine7_cmd_payload_is_cmd; end endcase end always @(*) begin - builder_t_array_muxed3 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed3 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine0_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine0_cmd_payload_cas; end 1'd1: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine1_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine1_cmd_payload_cas; end 2'd2: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine2_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine2_cmd_payload_cas; end 2'd3: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine3_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine3_cmd_payload_cas; end 3'd4: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine4_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine4_cmd_payload_cas; end 3'd5: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine5_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine5_cmd_payload_cas; end 3'd6: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine6_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine6_cmd_payload_cas; end default: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine7_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine7_cmd_payload_cas; end endcase end always @(*) begin - builder_t_array_muxed4 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed4 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine0_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine0_cmd_payload_ras; end 1'd1: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine1_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine1_cmd_payload_ras; end 2'd2: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine2_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine2_cmd_payload_ras; end 2'd3: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine3_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine3_cmd_payload_ras; end 3'd4: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine4_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine4_cmd_payload_ras; end 3'd5: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine5_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine5_cmd_payload_ras; end 3'd6: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine6_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine6_cmd_payload_ras; end default: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine7_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine7_cmd_payload_ras; end endcase end always @(*) begin - builder_t_array_muxed5 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed5 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine0_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine0_cmd_payload_we; end 1'd1: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine1_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine1_cmd_payload_we; end 2'd2: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine2_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine2_cmd_payload_we; end 2'd3: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine3_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine3_cmd_payload_we; end 3'd4: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine4_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine4_cmd_payload_we; end 3'd5: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine5_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine5_cmd_payload_we; end 3'd6: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine6_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine6_cmd_payload_we; end default: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine7_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine7_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed12 <= 22'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed12 <= 22'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed12 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed12 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed13 <= 1'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed13 <= 1'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed13 <= main_user_port_cmd_payload_we; + rhs_array_muxed13 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed14 <= 1'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed14 <= 1'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed14 <= (((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed14 <= (((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed15 <= 22'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed15 <= 22'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed15 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed15 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed16 <= 1'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed16 <= 1'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed16 <= main_user_port_cmd_payload_we; + rhs_array_muxed16 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed17 <= 1'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed17 <= 1'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed17 <= (((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed17 <= (((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed18 <= 22'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed18 <= 22'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed18 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed18 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed19 <= 1'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed19 <= 1'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed19 <= main_user_port_cmd_payload_we; + rhs_array_muxed19 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed20 <= 1'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed20 <= 1'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed20 <= (((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed20 <= (((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed21 <= 22'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed21 <= 22'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed21 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed21 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed22 <= 1'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed22 <= 1'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed22 <= main_user_port_cmd_payload_we; + rhs_array_muxed22 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed23 <= 1'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed23 <= 1'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed23 <= (((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed23 <= (((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed24 <= 22'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed24 <= 22'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed24 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed24 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed25 <= 1'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed25 <= 1'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed25 <= main_user_port_cmd_payload_we; + rhs_array_muxed25 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed26 <= 1'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed26 <= 1'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed26 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed26 <= (((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed27 <= 22'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed27 <= 22'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed27 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed27 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed28 <= 1'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed28 <= 1'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed28 <= main_user_port_cmd_payload_we; + rhs_array_muxed28 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed29 <= 1'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed29 <= 1'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed29 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed29 <= (((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed30 <= 22'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed30 <= 22'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed30 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed30 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed31 <= 1'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed31 <= 1'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed31 <= main_user_port_cmd_payload_we; + rhs_array_muxed31 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed32 <= 1'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed32 <= 1'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed32 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed32 <= (((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed33 <= 22'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed33 <= 22'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed33 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed33 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed34 <= 1'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed34 <= 1'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed34 <= main_user_port_cmd_payload_we; + rhs_array_muxed34 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed35 <= 1'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed35 <= 1'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed35 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed35 <= (((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_array_muxed0 <= 3'd0; - case (main_litedramcore_steerer_sel0) + array_muxed0 <= 3'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed0 <= main_litedramcore_nop_ba[2:0]; + array_muxed0 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed0 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed0 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed0 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed1 <= 15'd0; - case (main_litedramcore_steerer_sel0) + array_muxed1 <= 15'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed1 <= main_litedramcore_nop_a; + array_muxed1 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed1 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed1 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed1 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed1 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed1 <= main_litedramcore_cmd_payload_a; + array_muxed1 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed2 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed2 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed2 <= 1'd0; + array_muxed2 <= 1'd0; end 1'd1: begin - builder_array_muxed2 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed2 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed2 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed2 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed2 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed2 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed3 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed3 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed3 <= 1'd0; + array_muxed3 <= 1'd0; end 1'd1: begin - builder_array_muxed3 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed3 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed3 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed3 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed3 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed3 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed4 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed4 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed4 <= 1'd0; + array_muxed4 <= 1'd0; end 1'd1: begin - builder_array_muxed4 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed4 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed4 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed4 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed4 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed4 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed5 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed5 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed5 <= 1'd0; + array_muxed5 <= 1'd0; end 1'd1: begin - builder_array_muxed5 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed5 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed5 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed6 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed6 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed6 <= 1'd0; + array_muxed6 <= 1'd0; end 1'd1: begin - builder_array_muxed6 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed6 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed6 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed7 <= 3'd0; - case (main_litedramcore_steerer_sel1) + array_muxed7 <= 3'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed7 <= main_litedramcore_nop_ba[2:0]; + array_muxed7 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed7 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed7 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed7 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed8 <= 15'd0; - case (main_litedramcore_steerer_sel1) + array_muxed8 <= 15'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed8 <= main_litedramcore_nop_a; + array_muxed8 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed8 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed8 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed8 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed8 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed8 <= main_litedramcore_cmd_payload_a; + array_muxed8 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed9 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed9 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed9 <= 1'd0; + array_muxed9 <= 1'd0; end 1'd1: begin - builder_array_muxed9 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed9 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed9 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed9 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed9 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed9 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed10 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed10 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed10 <= 1'd0; + array_muxed10 <= 1'd0; end 1'd1: begin - builder_array_muxed10 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed10 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed10 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed10 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed10 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed10 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed11 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed11 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed11 <= 1'd0; + array_muxed11 <= 1'd0; end 1'd1: begin - builder_array_muxed11 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed11 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed11 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed11 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed11 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed11 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed12 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed12 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed12 <= 1'd0; + array_muxed12 <= 1'd0; end 1'd1: begin - builder_array_muxed12 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed12 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed12 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed13 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed13 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed13 <= 1'd0; + array_muxed13 <= 1'd0; end 1'd1: begin - builder_array_muxed13 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed13 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed13 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed14 <= 3'd0; - case (main_litedramcore_steerer_sel2) + array_muxed14 <= 3'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed14 <= main_litedramcore_nop_ba[2:0]; + array_muxed14 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed14 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed14 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed14 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed15 <= 15'd0; - case (main_litedramcore_steerer_sel2) + array_muxed15 <= 15'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed15 <= main_litedramcore_nop_a; + array_muxed15 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed15 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed15 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed15 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed15 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed15 <= main_litedramcore_cmd_payload_a; + array_muxed15 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed16 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed16 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed16 <= 1'd0; + array_muxed16 <= 1'd0; end 1'd1: begin - builder_array_muxed16 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed16 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed16 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed16 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed16 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed16 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed17 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed17 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed17 <= 1'd0; + array_muxed17 <= 1'd0; end 1'd1: begin - builder_array_muxed17 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed17 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed17 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed17 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed17 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed17 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed18 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed18 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed18 <= 1'd0; + array_muxed18 <= 1'd0; end 1'd1: begin - builder_array_muxed18 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed18 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed18 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed18 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed18 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed18 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed19 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed19 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed19 <= 1'd0; + array_muxed19 <= 1'd0; end 1'd1: begin - builder_array_muxed19 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed19 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed19 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed20 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed20 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed20 <= 1'd0; + array_muxed20 <= 1'd0; end 1'd1: begin - builder_array_muxed20 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed20 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed20 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed21 <= 3'd0; - case (main_litedramcore_steerer_sel3) + array_muxed21 <= 3'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed21 <= main_litedramcore_nop_ba[2:0]; + array_muxed21 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed21 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed21 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed21 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed22 <= 15'd0; - case (main_litedramcore_steerer_sel3) + array_muxed22 <= 15'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed22 <= main_litedramcore_nop_a; + array_muxed22 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed22 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed22 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed22 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed22 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed22 <= main_litedramcore_cmd_payload_a; + array_muxed22 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed23 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed23 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed23 <= 1'd0; + array_muxed23 <= 1'd0; end 1'd1: begin - builder_array_muxed23 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed23 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed23 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed23 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed23 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed23 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed24 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed24 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed24 <= 1'd0; + array_muxed24 <= 1'd0; end 1'd1: begin - builder_array_muxed24 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed24 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed24 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed24 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed24 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed24 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed25 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed25 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed25 <= 1'd0; + array_muxed25 <= 1'd0; end 1'd1: begin - builder_array_muxed25 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed25 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed25 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed25 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed25 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed25 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed26 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed26 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed26 <= 1'd0; + array_muxed26 <= 1'd0; end 1'd1: begin - builder_array_muxed26 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed26 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed26 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed27 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed27 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed27 <= 1'd0; + array_muxed27 <= 1'd0; end 1'd1: begin - builder_array_muxed27 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed27 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed27 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end -assign builder_xilinxasyncresetsynchronizerimpl0 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl1 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl2 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl3 = (~main_locked); +assign xilinxasyncresetsynchronizerimpl0 = (~locked); +assign xilinxasyncresetsynchronizerimpl1 = (~locked); +assign xilinxasyncresetsynchronizerimpl2 = (~locked); +assign xilinxasyncresetsynchronizerimpl3 = (~locked); //------------------------------------------------------------------------------ @@ -12997,1295 +13440,1295 @@ assign builder_xilinxasyncresetsynchronizerimpl3 = (~main_locked); //------------------------------------------------------------------------------ always @(posedge iodelay_clk) begin - if ((main_reset_counter != 1'd0)) begin - main_reset_counter <= (main_reset_counter - 1'd1); + if ((reset_counter != 1'd0)) begin + reset_counter <= (reset_counter - 1'd1); end else begin - main_ic_reset <= 1'd0; + ic_reset <= 1'd0; end if (iodelay_rst) begin - main_reset_counter <= 4'd15; - main_ic_reset <= 1'd1; + reset_counter <= 4'd15; + ic_reset <= 1'd1; end end always @(posedge sys_clk) begin - main_k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= main_k7ddrphy_dqs_oe_delay_tappeddelayline; - main_k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= main_k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip0_value0 <= (main_k7ddrphy_bitslip0_value0 + 1'd1); + k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= k7ddrphy_dqs_oe_delay_tappeddelayline; + k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip0_value0 <= (k7ddrphy_bitslip0_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip0_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip0_value0 <= 3'd7; end - main_k7ddrphy_bitslip0_r0 <= {main_k7ddrphy_dqspattern_o, main_k7ddrphy_bitslip0_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip1_value0 <= (main_k7ddrphy_bitslip1_value0 + 1'd1); + k7ddrphy_bitslip0_r0 <= {k7ddrphy_dqspattern_o, k7ddrphy_bitslip0_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip1_value0 <= (k7ddrphy_bitslip1_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip1_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip1_value0 <= 3'd7; end - main_k7ddrphy_bitslip1_r0 <= {main_k7ddrphy_dqspattern_o, main_k7ddrphy_bitslip1_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip2_value0 <= (main_k7ddrphy_bitslip2_value0 + 1'd1); + k7ddrphy_bitslip1_r0 <= {k7ddrphy_dqspattern_o, k7ddrphy_bitslip1_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip2_value0 <= (k7ddrphy_bitslip2_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip2_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip2_value0 <= 3'd7; end - main_k7ddrphy_bitslip2_r0 <= {main_k7ddrphy_dqspattern_o, main_k7ddrphy_bitslip2_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip3_value0 <= (main_k7ddrphy_bitslip3_value0 + 1'd1); + k7ddrphy_bitslip2_r0 <= {k7ddrphy_dqspattern_o, k7ddrphy_bitslip2_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip3_value0 <= (k7ddrphy_bitslip3_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip3_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip3_value0 <= 3'd7; end - main_k7ddrphy_bitslip3_r0 <= {main_k7ddrphy_dqspattern_o, main_k7ddrphy_bitslip3_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip0_value1 <= (main_k7ddrphy_bitslip0_value1 + 1'd1); + k7ddrphy_bitslip3_r0 <= {k7ddrphy_dqspattern_o, k7ddrphy_bitslip3_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip0_value1 <= (k7ddrphy_bitslip0_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip0_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip0_value1 <= 3'd7; end - main_k7ddrphy_bitslip0_r1 <= {{main_k7ddrphy_dfi_p3_wrdata_mask[4], main_k7ddrphy_dfi_p3_wrdata_mask[0], main_k7ddrphy_dfi_p2_wrdata_mask[4], main_k7ddrphy_dfi_p2_wrdata_mask[0], main_k7ddrphy_dfi_p1_wrdata_mask[4], main_k7ddrphy_dfi_p1_wrdata_mask[0], main_k7ddrphy_dfi_p0_wrdata_mask[4], main_k7ddrphy_dfi_p0_wrdata_mask[0]}, main_k7ddrphy_bitslip0_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip1_value1 <= (main_k7ddrphy_bitslip1_value1 + 1'd1); + k7ddrphy_bitslip0_r1 <= {{k7ddrphy_dfi_p3_wrdata_mask[4], k7ddrphy_dfi_p3_wrdata_mask[0], k7ddrphy_dfi_p2_wrdata_mask[4], k7ddrphy_dfi_p2_wrdata_mask[0], k7ddrphy_dfi_p1_wrdata_mask[4], k7ddrphy_dfi_p1_wrdata_mask[0], k7ddrphy_dfi_p0_wrdata_mask[4], k7ddrphy_dfi_p0_wrdata_mask[0]}, k7ddrphy_bitslip0_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip1_value1 <= (k7ddrphy_bitslip1_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip1_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip1_value1 <= 3'd7; end - main_k7ddrphy_bitslip1_r1 <= {{main_k7ddrphy_dfi_p3_wrdata_mask[5], main_k7ddrphy_dfi_p3_wrdata_mask[1], main_k7ddrphy_dfi_p2_wrdata_mask[5], main_k7ddrphy_dfi_p2_wrdata_mask[1], main_k7ddrphy_dfi_p1_wrdata_mask[5], main_k7ddrphy_dfi_p1_wrdata_mask[1], main_k7ddrphy_dfi_p0_wrdata_mask[5], main_k7ddrphy_dfi_p0_wrdata_mask[1]}, main_k7ddrphy_bitslip1_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip2_value1 <= (main_k7ddrphy_bitslip2_value1 + 1'd1); + k7ddrphy_bitslip1_r1 <= {{k7ddrphy_dfi_p3_wrdata_mask[5], k7ddrphy_dfi_p3_wrdata_mask[1], k7ddrphy_dfi_p2_wrdata_mask[5], k7ddrphy_dfi_p2_wrdata_mask[1], k7ddrphy_dfi_p1_wrdata_mask[5], k7ddrphy_dfi_p1_wrdata_mask[1], k7ddrphy_dfi_p0_wrdata_mask[5], k7ddrphy_dfi_p0_wrdata_mask[1]}, k7ddrphy_bitslip1_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip2_value1 <= (k7ddrphy_bitslip2_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip2_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip2_value1 <= 3'd7; end - main_k7ddrphy_bitslip2_r1 <= {{main_k7ddrphy_dfi_p3_wrdata_mask[6], main_k7ddrphy_dfi_p3_wrdata_mask[2], main_k7ddrphy_dfi_p2_wrdata_mask[6], main_k7ddrphy_dfi_p2_wrdata_mask[2], main_k7ddrphy_dfi_p1_wrdata_mask[6], main_k7ddrphy_dfi_p1_wrdata_mask[2], main_k7ddrphy_dfi_p0_wrdata_mask[6], main_k7ddrphy_dfi_p0_wrdata_mask[2]}, main_k7ddrphy_bitslip2_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip3_value1 <= (main_k7ddrphy_bitslip3_value1 + 1'd1); + k7ddrphy_bitslip2_r1 <= {{k7ddrphy_dfi_p3_wrdata_mask[6], k7ddrphy_dfi_p3_wrdata_mask[2], k7ddrphy_dfi_p2_wrdata_mask[6], k7ddrphy_dfi_p2_wrdata_mask[2], k7ddrphy_dfi_p1_wrdata_mask[6], k7ddrphy_dfi_p1_wrdata_mask[2], k7ddrphy_dfi_p0_wrdata_mask[6], k7ddrphy_dfi_p0_wrdata_mask[2]}, k7ddrphy_bitslip2_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip3_value1 <= (k7ddrphy_bitslip3_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip3_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip3_value1 <= 3'd7; end - main_k7ddrphy_bitslip3_r1 <= {{main_k7ddrphy_dfi_p3_wrdata_mask[7], main_k7ddrphy_dfi_p3_wrdata_mask[3], main_k7ddrphy_dfi_p2_wrdata_mask[7], main_k7ddrphy_dfi_p2_wrdata_mask[3], main_k7ddrphy_dfi_p1_wrdata_mask[7], main_k7ddrphy_dfi_p1_wrdata_mask[3], main_k7ddrphy_dfi_p0_wrdata_mask[7], main_k7ddrphy_dfi_p0_wrdata_mask[3]}, main_k7ddrphy_bitslip3_r1[15:8]}; - main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= main_k7ddrphy_dq_oe_delay_tappeddelayline; - main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip0_value2 <= (main_k7ddrphy_bitslip0_value2 + 1'd1); + k7ddrphy_bitslip3_r1 <= {{k7ddrphy_dfi_p3_wrdata_mask[7], k7ddrphy_dfi_p3_wrdata_mask[3], k7ddrphy_dfi_p2_wrdata_mask[7], k7ddrphy_dfi_p2_wrdata_mask[3], k7ddrphy_dfi_p1_wrdata_mask[7], k7ddrphy_dfi_p1_wrdata_mask[3], k7ddrphy_dfi_p0_wrdata_mask[7], k7ddrphy_dfi_p0_wrdata_mask[3]}, k7ddrphy_bitslip3_r1[15:8]}; + k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= k7ddrphy_dq_oe_delay_tappeddelayline; + k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip0_value2 <= (k7ddrphy_bitslip0_value2 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip0_value2 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip0_value2 <= 3'd7; end - main_k7ddrphy_bitslip0_r2 <= {{main_k7ddrphy_dfi_p3_wrdata[32], main_k7ddrphy_dfi_p3_wrdata[0], main_k7ddrphy_dfi_p2_wrdata[32], main_k7ddrphy_dfi_p2_wrdata[0], main_k7ddrphy_dfi_p1_wrdata[32], main_k7ddrphy_dfi_p1_wrdata[0], main_k7ddrphy_dfi_p0_wrdata[32], main_k7ddrphy_dfi_p0_wrdata[0]}, main_k7ddrphy_bitslip0_r2[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip0_value3 <= (main_k7ddrphy_bitslip0_value3 + 1'd1); + k7ddrphy_bitslip0_r2 <= {{k7ddrphy_dfi_p3_wrdata[32], k7ddrphy_dfi_p3_wrdata[0], k7ddrphy_dfi_p2_wrdata[32], k7ddrphy_dfi_p2_wrdata[0], k7ddrphy_dfi_p1_wrdata[32], k7ddrphy_dfi_p1_wrdata[0], k7ddrphy_dfi_p0_wrdata[32], k7ddrphy_dfi_p0_wrdata[0]}, k7ddrphy_bitslip0_r2[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip0_value3 <= (k7ddrphy_bitslip0_value3 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip0_value3 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip0_value3 <= 3'd7; end - main_k7ddrphy_bitslip0_r3 <= {main_k7ddrphy_bitslip03, main_k7ddrphy_bitslip0_r3[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip1_value2 <= (main_k7ddrphy_bitslip1_value2 + 1'd1); + k7ddrphy_bitslip0_r3 <= {k7ddrphy_bitslip03, k7ddrphy_bitslip0_r3[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip1_value2 <= (k7ddrphy_bitslip1_value2 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip1_value2 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip1_value2 <= 3'd7; end - main_k7ddrphy_bitslip1_r2 <= {{main_k7ddrphy_dfi_p3_wrdata[33], main_k7ddrphy_dfi_p3_wrdata[1], main_k7ddrphy_dfi_p2_wrdata[33], main_k7ddrphy_dfi_p2_wrdata[1], main_k7ddrphy_dfi_p1_wrdata[33], main_k7ddrphy_dfi_p1_wrdata[1], main_k7ddrphy_dfi_p0_wrdata[33], main_k7ddrphy_dfi_p0_wrdata[1]}, main_k7ddrphy_bitslip1_r2[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip1_value3 <= (main_k7ddrphy_bitslip1_value3 + 1'd1); + k7ddrphy_bitslip1_r2 <= {{k7ddrphy_dfi_p3_wrdata[33], k7ddrphy_dfi_p3_wrdata[1], k7ddrphy_dfi_p2_wrdata[33], k7ddrphy_dfi_p2_wrdata[1], k7ddrphy_dfi_p1_wrdata[33], k7ddrphy_dfi_p1_wrdata[1], k7ddrphy_dfi_p0_wrdata[33], k7ddrphy_dfi_p0_wrdata[1]}, k7ddrphy_bitslip1_r2[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip1_value3 <= (k7ddrphy_bitslip1_value3 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip1_value3 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip1_value3 <= 3'd7; end - main_k7ddrphy_bitslip1_r3 <= {main_k7ddrphy_bitslip13, main_k7ddrphy_bitslip1_r3[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip2_value2 <= (main_k7ddrphy_bitslip2_value2 + 1'd1); + k7ddrphy_bitslip1_r3 <= {k7ddrphy_bitslip13, k7ddrphy_bitslip1_r3[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip2_value2 <= (k7ddrphy_bitslip2_value2 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip2_value2 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip2_value2 <= 3'd7; end - main_k7ddrphy_bitslip2_r2 <= {{main_k7ddrphy_dfi_p3_wrdata[34], main_k7ddrphy_dfi_p3_wrdata[2], main_k7ddrphy_dfi_p2_wrdata[34], main_k7ddrphy_dfi_p2_wrdata[2], main_k7ddrphy_dfi_p1_wrdata[34], main_k7ddrphy_dfi_p1_wrdata[2], main_k7ddrphy_dfi_p0_wrdata[34], main_k7ddrphy_dfi_p0_wrdata[2]}, main_k7ddrphy_bitslip2_r2[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip2_value3 <= (main_k7ddrphy_bitslip2_value3 + 1'd1); + k7ddrphy_bitslip2_r2 <= {{k7ddrphy_dfi_p3_wrdata[34], k7ddrphy_dfi_p3_wrdata[2], k7ddrphy_dfi_p2_wrdata[34], k7ddrphy_dfi_p2_wrdata[2], k7ddrphy_dfi_p1_wrdata[34], k7ddrphy_dfi_p1_wrdata[2], k7ddrphy_dfi_p0_wrdata[34], k7ddrphy_dfi_p0_wrdata[2]}, k7ddrphy_bitslip2_r2[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip2_value3 <= (k7ddrphy_bitslip2_value3 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip2_value3 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip2_value3 <= 3'd7; end - main_k7ddrphy_bitslip2_r3 <= {main_k7ddrphy_bitslip23, main_k7ddrphy_bitslip2_r3[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip3_value2 <= (main_k7ddrphy_bitslip3_value2 + 1'd1); + k7ddrphy_bitslip2_r3 <= {k7ddrphy_bitslip23, k7ddrphy_bitslip2_r3[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip3_value2 <= (k7ddrphy_bitslip3_value2 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip3_value2 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip3_value2 <= 3'd7; end - main_k7ddrphy_bitslip3_r2 <= {{main_k7ddrphy_dfi_p3_wrdata[35], main_k7ddrphy_dfi_p3_wrdata[3], main_k7ddrphy_dfi_p2_wrdata[35], main_k7ddrphy_dfi_p2_wrdata[3], main_k7ddrphy_dfi_p1_wrdata[35], main_k7ddrphy_dfi_p1_wrdata[3], main_k7ddrphy_dfi_p0_wrdata[35], main_k7ddrphy_dfi_p0_wrdata[3]}, main_k7ddrphy_bitslip3_r2[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip3_value3 <= (main_k7ddrphy_bitslip3_value3 + 1'd1); + k7ddrphy_bitslip3_r2 <= {{k7ddrphy_dfi_p3_wrdata[35], k7ddrphy_dfi_p3_wrdata[3], k7ddrphy_dfi_p2_wrdata[35], k7ddrphy_dfi_p2_wrdata[3], k7ddrphy_dfi_p1_wrdata[35], k7ddrphy_dfi_p1_wrdata[3], k7ddrphy_dfi_p0_wrdata[35], k7ddrphy_dfi_p0_wrdata[3]}, k7ddrphy_bitslip3_r2[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip3_value3 <= (k7ddrphy_bitslip3_value3 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip3_value3 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip3_value3 <= 3'd7; end - main_k7ddrphy_bitslip3_r3 <= {main_k7ddrphy_bitslip33, main_k7ddrphy_bitslip3_r3[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip4_value0 <= (main_k7ddrphy_bitslip4_value0 + 1'd1); + k7ddrphy_bitslip3_r3 <= {k7ddrphy_bitslip33, k7ddrphy_bitslip3_r3[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip4_value0 <= (k7ddrphy_bitslip4_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip4_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip4_value0 <= 3'd7; end - main_k7ddrphy_bitslip4_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[36], main_k7ddrphy_dfi_p3_wrdata[4], main_k7ddrphy_dfi_p2_wrdata[36], main_k7ddrphy_dfi_p2_wrdata[4], main_k7ddrphy_dfi_p1_wrdata[36], main_k7ddrphy_dfi_p1_wrdata[4], main_k7ddrphy_dfi_p0_wrdata[36], main_k7ddrphy_dfi_p0_wrdata[4]}, main_k7ddrphy_bitslip4_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip4_value1 <= (main_k7ddrphy_bitslip4_value1 + 1'd1); + k7ddrphy_bitslip4_r0 <= {{k7ddrphy_dfi_p3_wrdata[36], k7ddrphy_dfi_p3_wrdata[4], k7ddrphy_dfi_p2_wrdata[36], k7ddrphy_dfi_p2_wrdata[4], k7ddrphy_dfi_p1_wrdata[36], k7ddrphy_dfi_p1_wrdata[4], k7ddrphy_dfi_p0_wrdata[36], k7ddrphy_dfi_p0_wrdata[4]}, k7ddrphy_bitslip4_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip4_value1 <= (k7ddrphy_bitslip4_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip4_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip4_value1 <= 3'd7; end - main_k7ddrphy_bitslip4_r1 <= {main_k7ddrphy_bitslip41, main_k7ddrphy_bitslip4_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip5_value0 <= (main_k7ddrphy_bitslip5_value0 + 1'd1); + k7ddrphy_bitslip4_r1 <= {k7ddrphy_bitslip41, k7ddrphy_bitslip4_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip5_value0 <= (k7ddrphy_bitslip5_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip5_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip5_value0 <= 3'd7; end - main_k7ddrphy_bitslip5_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[37], main_k7ddrphy_dfi_p3_wrdata[5], main_k7ddrphy_dfi_p2_wrdata[37], main_k7ddrphy_dfi_p2_wrdata[5], main_k7ddrphy_dfi_p1_wrdata[37], main_k7ddrphy_dfi_p1_wrdata[5], main_k7ddrphy_dfi_p0_wrdata[37], main_k7ddrphy_dfi_p0_wrdata[5]}, main_k7ddrphy_bitslip5_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip5_value1 <= (main_k7ddrphy_bitslip5_value1 + 1'd1); + k7ddrphy_bitslip5_r0 <= {{k7ddrphy_dfi_p3_wrdata[37], k7ddrphy_dfi_p3_wrdata[5], k7ddrphy_dfi_p2_wrdata[37], k7ddrphy_dfi_p2_wrdata[5], k7ddrphy_dfi_p1_wrdata[37], k7ddrphy_dfi_p1_wrdata[5], k7ddrphy_dfi_p0_wrdata[37], k7ddrphy_dfi_p0_wrdata[5]}, k7ddrphy_bitslip5_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip5_value1 <= (k7ddrphy_bitslip5_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip5_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip5_value1 <= 3'd7; end - main_k7ddrphy_bitslip5_r1 <= {main_k7ddrphy_bitslip51, main_k7ddrphy_bitslip5_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip6_value0 <= (main_k7ddrphy_bitslip6_value0 + 1'd1); + k7ddrphy_bitslip5_r1 <= {k7ddrphy_bitslip51, k7ddrphy_bitslip5_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip6_value0 <= (k7ddrphy_bitslip6_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip6_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip6_value0 <= 3'd7; end - main_k7ddrphy_bitslip6_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[38], main_k7ddrphy_dfi_p3_wrdata[6], main_k7ddrphy_dfi_p2_wrdata[38], main_k7ddrphy_dfi_p2_wrdata[6], main_k7ddrphy_dfi_p1_wrdata[38], main_k7ddrphy_dfi_p1_wrdata[6], main_k7ddrphy_dfi_p0_wrdata[38], main_k7ddrphy_dfi_p0_wrdata[6]}, main_k7ddrphy_bitslip6_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip6_value1 <= (main_k7ddrphy_bitslip6_value1 + 1'd1); + k7ddrphy_bitslip6_r0 <= {{k7ddrphy_dfi_p3_wrdata[38], k7ddrphy_dfi_p3_wrdata[6], k7ddrphy_dfi_p2_wrdata[38], k7ddrphy_dfi_p2_wrdata[6], k7ddrphy_dfi_p1_wrdata[38], k7ddrphy_dfi_p1_wrdata[6], k7ddrphy_dfi_p0_wrdata[38], k7ddrphy_dfi_p0_wrdata[6]}, k7ddrphy_bitslip6_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip6_value1 <= (k7ddrphy_bitslip6_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip6_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip6_value1 <= 3'd7; end - main_k7ddrphy_bitslip6_r1 <= {main_k7ddrphy_bitslip61, main_k7ddrphy_bitslip6_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip7_value0 <= (main_k7ddrphy_bitslip7_value0 + 1'd1); + k7ddrphy_bitslip6_r1 <= {k7ddrphy_bitslip61, k7ddrphy_bitslip6_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip7_value0 <= (k7ddrphy_bitslip7_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip7_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip7_value0 <= 3'd7; end - main_k7ddrphy_bitslip7_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[39], main_k7ddrphy_dfi_p3_wrdata[7], main_k7ddrphy_dfi_p2_wrdata[39], main_k7ddrphy_dfi_p2_wrdata[7], main_k7ddrphy_dfi_p1_wrdata[39], main_k7ddrphy_dfi_p1_wrdata[7], main_k7ddrphy_dfi_p0_wrdata[39], main_k7ddrphy_dfi_p0_wrdata[7]}, main_k7ddrphy_bitslip7_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip7_value1 <= (main_k7ddrphy_bitslip7_value1 + 1'd1); + k7ddrphy_bitslip7_r0 <= {{k7ddrphy_dfi_p3_wrdata[39], k7ddrphy_dfi_p3_wrdata[7], k7ddrphy_dfi_p2_wrdata[39], k7ddrphy_dfi_p2_wrdata[7], k7ddrphy_dfi_p1_wrdata[39], k7ddrphy_dfi_p1_wrdata[7], k7ddrphy_dfi_p0_wrdata[39], k7ddrphy_dfi_p0_wrdata[7]}, k7ddrphy_bitslip7_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip7_value1 <= (k7ddrphy_bitslip7_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip7_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip7_value1 <= 3'd7; end - main_k7ddrphy_bitslip7_r1 <= {main_k7ddrphy_bitslip71, main_k7ddrphy_bitslip7_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip8_value0 <= (main_k7ddrphy_bitslip8_value0 + 1'd1); + k7ddrphy_bitslip7_r1 <= {k7ddrphy_bitslip71, k7ddrphy_bitslip7_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip8_value0 <= (k7ddrphy_bitslip8_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip8_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip8_value0 <= 3'd7; end - main_k7ddrphy_bitslip8_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[40], main_k7ddrphy_dfi_p3_wrdata[8], main_k7ddrphy_dfi_p2_wrdata[40], main_k7ddrphy_dfi_p2_wrdata[8], main_k7ddrphy_dfi_p1_wrdata[40], main_k7ddrphy_dfi_p1_wrdata[8], main_k7ddrphy_dfi_p0_wrdata[40], main_k7ddrphy_dfi_p0_wrdata[8]}, main_k7ddrphy_bitslip8_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip8_value1 <= (main_k7ddrphy_bitslip8_value1 + 1'd1); + k7ddrphy_bitslip8_r0 <= {{k7ddrphy_dfi_p3_wrdata[40], k7ddrphy_dfi_p3_wrdata[8], k7ddrphy_dfi_p2_wrdata[40], k7ddrphy_dfi_p2_wrdata[8], k7ddrphy_dfi_p1_wrdata[40], k7ddrphy_dfi_p1_wrdata[8], k7ddrphy_dfi_p0_wrdata[40], k7ddrphy_dfi_p0_wrdata[8]}, k7ddrphy_bitslip8_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip8_value1 <= (k7ddrphy_bitslip8_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip8_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip8_value1 <= 3'd7; end - main_k7ddrphy_bitslip8_r1 <= {main_k7ddrphy_bitslip81, main_k7ddrphy_bitslip8_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip9_value0 <= (main_k7ddrphy_bitslip9_value0 + 1'd1); + k7ddrphy_bitslip8_r1 <= {k7ddrphy_bitslip81, k7ddrphy_bitslip8_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip9_value0 <= (k7ddrphy_bitslip9_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip9_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip9_value0 <= 3'd7; end - main_k7ddrphy_bitslip9_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[41], main_k7ddrphy_dfi_p3_wrdata[9], main_k7ddrphy_dfi_p2_wrdata[41], main_k7ddrphy_dfi_p2_wrdata[9], main_k7ddrphy_dfi_p1_wrdata[41], main_k7ddrphy_dfi_p1_wrdata[9], main_k7ddrphy_dfi_p0_wrdata[41], main_k7ddrphy_dfi_p0_wrdata[9]}, main_k7ddrphy_bitslip9_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip9_value1 <= (main_k7ddrphy_bitslip9_value1 + 1'd1); + k7ddrphy_bitslip9_r0 <= {{k7ddrphy_dfi_p3_wrdata[41], k7ddrphy_dfi_p3_wrdata[9], k7ddrphy_dfi_p2_wrdata[41], k7ddrphy_dfi_p2_wrdata[9], k7ddrphy_dfi_p1_wrdata[41], k7ddrphy_dfi_p1_wrdata[9], k7ddrphy_dfi_p0_wrdata[41], k7ddrphy_dfi_p0_wrdata[9]}, k7ddrphy_bitslip9_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip9_value1 <= (k7ddrphy_bitslip9_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip9_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip9_value1 <= 3'd7; end - main_k7ddrphy_bitslip9_r1 <= {main_k7ddrphy_bitslip91, main_k7ddrphy_bitslip9_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip10_value0 <= (main_k7ddrphy_bitslip10_value0 + 1'd1); + k7ddrphy_bitslip9_r1 <= {k7ddrphy_bitslip91, k7ddrphy_bitslip9_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip10_value0 <= (k7ddrphy_bitslip10_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip10_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip10_value0 <= 3'd7; end - main_k7ddrphy_bitslip10_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[42], main_k7ddrphy_dfi_p3_wrdata[10], main_k7ddrphy_dfi_p2_wrdata[42], main_k7ddrphy_dfi_p2_wrdata[10], main_k7ddrphy_dfi_p1_wrdata[42], main_k7ddrphy_dfi_p1_wrdata[10], main_k7ddrphy_dfi_p0_wrdata[42], main_k7ddrphy_dfi_p0_wrdata[10]}, main_k7ddrphy_bitslip10_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip10_value1 <= (main_k7ddrphy_bitslip10_value1 + 1'd1); + k7ddrphy_bitslip10_r0 <= {{k7ddrphy_dfi_p3_wrdata[42], k7ddrphy_dfi_p3_wrdata[10], k7ddrphy_dfi_p2_wrdata[42], k7ddrphy_dfi_p2_wrdata[10], k7ddrphy_dfi_p1_wrdata[42], k7ddrphy_dfi_p1_wrdata[10], k7ddrphy_dfi_p0_wrdata[42], k7ddrphy_dfi_p0_wrdata[10]}, k7ddrphy_bitslip10_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip10_value1 <= (k7ddrphy_bitslip10_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip10_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip10_value1 <= 3'd7; end - main_k7ddrphy_bitslip10_r1 <= {main_k7ddrphy_bitslip101, main_k7ddrphy_bitslip10_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip11_value0 <= (main_k7ddrphy_bitslip11_value0 + 1'd1); + k7ddrphy_bitslip10_r1 <= {k7ddrphy_bitslip101, k7ddrphy_bitslip10_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip11_value0 <= (k7ddrphy_bitslip11_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip11_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip11_value0 <= 3'd7; end - main_k7ddrphy_bitslip11_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[43], main_k7ddrphy_dfi_p3_wrdata[11], main_k7ddrphy_dfi_p2_wrdata[43], main_k7ddrphy_dfi_p2_wrdata[11], main_k7ddrphy_dfi_p1_wrdata[43], main_k7ddrphy_dfi_p1_wrdata[11], main_k7ddrphy_dfi_p0_wrdata[43], main_k7ddrphy_dfi_p0_wrdata[11]}, main_k7ddrphy_bitslip11_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip11_value1 <= (main_k7ddrphy_bitslip11_value1 + 1'd1); + k7ddrphy_bitslip11_r0 <= {{k7ddrphy_dfi_p3_wrdata[43], k7ddrphy_dfi_p3_wrdata[11], k7ddrphy_dfi_p2_wrdata[43], k7ddrphy_dfi_p2_wrdata[11], k7ddrphy_dfi_p1_wrdata[43], k7ddrphy_dfi_p1_wrdata[11], k7ddrphy_dfi_p0_wrdata[43], k7ddrphy_dfi_p0_wrdata[11]}, k7ddrphy_bitslip11_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip11_value1 <= (k7ddrphy_bitslip11_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip11_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip11_value1 <= 3'd7; end - main_k7ddrphy_bitslip11_r1 <= {main_k7ddrphy_bitslip111, main_k7ddrphy_bitslip11_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip12_value0 <= (main_k7ddrphy_bitslip12_value0 + 1'd1); + k7ddrphy_bitslip11_r1 <= {k7ddrphy_bitslip111, k7ddrphy_bitslip11_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip12_value0 <= (k7ddrphy_bitslip12_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip12_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip12_value0 <= 3'd7; end - main_k7ddrphy_bitslip12_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[44], main_k7ddrphy_dfi_p3_wrdata[12], main_k7ddrphy_dfi_p2_wrdata[44], main_k7ddrphy_dfi_p2_wrdata[12], main_k7ddrphy_dfi_p1_wrdata[44], main_k7ddrphy_dfi_p1_wrdata[12], main_k7ddrphy_dfi_p0_wrdata[44], main_k7ddrphy_dfi_p0_wrdata[12]}, main_k7ddrphy_bitslip12_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip12_value1 <= (main_k7ddrphy_bitslip12_value1 + 1'd1); + k7ddrphy_bitslip12_r0 <= {{k7ddrphy_dfi_p3_wrdata[44], k7ddrphy_dfi_p3_wrdata[12], k7ddrphy_dfi_p2_wrdata[44], k7ddrphy_dfi_p2_wrdata[12], k7ddrphy_dfi_p1_wrdata[44], k7ddrphy_dfi_p1_wrdata[12], k7ddrphy_dfi_p0_wrdata[44], k7ddrphy_dfi_p0_wrdata[12]}, k7ddrphy_bitslip12_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip12_value1 <= (k7ddrphy_bitslip12_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip12_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip12_value1 <= 3'd7; end - main_k7ddrphy_bitslip12_r1 <= {main_k7ddrphy_bitslip121, main_k7ddrphy_bitslip12_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip13_value0 <= (main_k7ddrphy_bitslip13_value0 + 1'd1); + k7ddrphy_bitslip12_r1 <= {k7ddrphy_bitslip121, k7ddrphy_bitslip12_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip13_value0 <= (k7ddrphy_bitslip13_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip13_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip13_value0 <= 3'd7; end - main_k7ddrphy_bitslip13_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[45], main_k7ddrphy_dfi_p3_wrdata[13], main_k7ddrphy_dfi_p2_wrdata[45], main_k7ddrphy_dfi_p2_wrdata[13], main_k7ddrphy_dfi_p1_wrdata[45], main_k7ddrphy_dfi_p1_wrdata[13], main_k7ddrphy_dfi_p0_wrdata[45], main_k7ddrphy_dfi_p0_wrdata[13]}, main_k7ddrphy_bitslip13_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip13_value1 <= (main_k7ddrphy_bitslip13_value1 + 1'd1); + k7ddrphy_bitslip13_r0 <= {{k7ddrphy_dfi_p3_wrdata[45], k7ddrphy_dfi_p3_wrdata[13], k7ddrphy_dfi_p2_wrdata[45], k7ddrphy_dfi_p2_wrdata[13], k7ddrphy_dfi_p1_wrdata[45], k7ddrphy_dfi_p1_wrdata[13], k7ddrphy_dfi_p0_wrdata[45], k7ddrphy_dfi_p0_wrdata[13]}, k7ddrphy_bitslip13_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip13_value1 <= (k7ddrphy_bitslip13_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip13_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip13_value1 <= 3'd7; end - main_k7ddrphy_bitslip13_r1 <= {main_k7ddrphy_bitslip131, main_k7ddrphy_bitslip13_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip14_value0 <= (main_k7ddrphy_bitslip14_value0 + 1'd1); + k7ddrphy_bitslip13_r1 <= {k7ddrphy_bitslip131, k7ddrphy_bitslip13_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip14_value0 <= (k7ddrphy_bitslip14_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip14_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip14_value0 <= 3'd7; end - main_k7ddrphy_bitslip14_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[46], main_k7ddrphy_dfi_p3_wrdata[14], main_k7ddrphy_dfi_p2_wrdata[46], main_k7ddrphy_dfi_p2_wrdata[14], main_k7ddrphy_dfi_p1_wrdata[46], main_k7ddrphy_dfi_p1_wrdata[14], main_k7ddrphy_dfi_p0_wrdata[46], main_k7ddrphy_dfi_p0_wrdata[14]}, main_k7ddrphy_bitslip14_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip14_value1 <= (main_k7ddrphy_bitslip14_value1 + 1'd1); + k7ddrphy_bitslip14_r0 <= {{k7ddrphy_dfi_p3_wrdata[46], k7ddrphy_dfi_p3_wrdata[14], k7ddrphy_dfi_p2_wrdata[46], k7ddrphy_dfi_p2_wrdata[14], k7ddrphy_dfi_p1_wrdata[46], k7ddrphy_dfi_p1_wrdata[14], k7ddrphy_dfi_p0_wrdata[46], k7ddrphy_dfi_p0_wrdata[14]}, k7ddrphy_bitslip14_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip14_value1 <= (k7ddrphy_bitslip14_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip14_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip14_value1 <= 3'd7; end - main_k7ddrphy_bitslip14_r1 <= {main_k7ddrphy_bitslip141, main_k7ddrphy_bitslip14_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip15_value0 <= (main_k7ddrphy_bitslip15_value0 + 1'd1); + k7ddrphy_bitslip14_r1 <= {k7ddrphy_bitslip141, k7ddrphy_bitslip14_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip15_value0 <= (k7ddrphy_bitslip15_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip15_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip15_value0 <= 3'd7; end - main_k7ddrphy_bitslip15_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[47], main_k7ddrphy_dfi_p3_wrdata[15], main_k7ddrphy_dfi_p2_wrdata[47], main_k7ddrphy_dfi_p2_wrdata[15], main_k7ddrphy_dfi_p1_wrdata[47], main_k7ddrphy_dfi_p1_wrdata[15], main_k7ddrphy_dfi_p0_wrdata[47], main_k7ddrphy_dfi_p0_wrdata[15]}, main_k7ddrphy_bitslip15_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip15_value1 <= (main_k7ddrphy_bitslip15_value1 + 1'd1); + k7ddrphy_bitslip15_r0 <= {{k7ddrphy_dfi_p3_wrdata[47], k7ddrphy_dfi_p3_wrdata[15], k7ddrphy_dfi_p2_wrdata[47], k7ddrphy_dfi_p2_wrdata[15], k7ddrphy_dfi_p1_wrdata[47], k7ddrphy_dfi_p1_wrdata[15], k7ddrphy_dfi_p0_wrdata[47], k7ddrphy_dfi_p0_wrdata[15]}, k7ddrphy_bitslip15_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip15_value1 <= (k7ddrphy_bitslip15_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip15_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip15_value1 <= 3'd7; end - main_k7ddrphy_bitslip15_r1 <= {main_k7ddrphy_bitslip151, main_k7ddrphy_bitslip15_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip16_value0 <= (main_k7ddrphy_bitslip16_value0 + 1'd1); + k7ddrphy_bitslip15_r1 <= {k7ddrphy_bitslip151, k7ddrphy_bitslip15_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip16_value0 <= (k7ddrphy_bitslip16_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip16_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip16_value0 <= 3'd7; end - main_k7ddrphy_bitslip16_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[48], main_k7ddrphy_dfi_p3_wrdata[16], main_k7ddrphy_dfi_p2_wrdata[48], main_k7ddrphy_dfi_p2_wrdata[16], main_k7ddrphy_dfi_p1_wrdata[48], main_k7ddrphy_dfi_p1_wrdata[16], main_k7ddrphy_dfi_p0_wrdata[48], main_k7ddrphy_dfi_p0_wrdata[16]}, main_k7ddrphy_bitslip16_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip16_value1 <= (main_k7ddrphy_bitslip16_value1 + 1'd1); + k7ddrphy_bitslip16_r0 <= {{k7ddrphy_dfi_p3_wrdata[48], k7ddrphy_dfi_p3_wrdata[16], k7ddrphy_dfi_p2_wrdata[48], k7ddrphy_dfi_p2_wrdata[16], k7ddrphy_dfi_p1_wrdata[48], k7ddrphy_dfi_p1_wrdata[16], k7ddrphy_dfi_p0_wrdata[48], k7ddrphy_dfi_p0_wrdata[16]}, k7ddrphy_bitslip16_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip16_value1 <= (k7ddrphy_bitslip16_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip16_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip16_value1 <= 3'd7; end - main_k7ddrphy_bitslip16_r1 <= {main_k7ddrphy_bitslip161, main_k7ddrphy_bitslip16_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip17_value0 <= (main_k7ddrphy_bitslip17_value0 + 1'd1); + k7ddrphy_bitslip16_r1 <= {k7ddrphy_bitslip161, k7ddrphy_bitslip16_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip17_value0 <= (k7ddrphy_bitslip17_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip17_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip17_value0 <= 3'd7; end - main_k7ddrphy_bitslip17_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[49], main_k7ddrphy_dfi_p3_wrdata[17], main_k7ddrphy_dfi_p2_wrdata[49], main_k7ddrphy_dfi_p2_wrdata[17], main_k7ddrphy_dfi_p1_wrdata[49], main_k7ddrphy_dfi_p1_wrdata[17], main_k7ddrphy_dfi_p0_wrdata[49], main_k7ddrphy_dfi_p0_wrdata[17]}, main_k7ddrphy_bitslip17_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip17_value1 <= (main_k7ddrphy_bitslip17_value1 + 1'd1); + k7ddrphy_bitslip17_r0 <= {{k7ddrphy_dfi_p3_wrdata[49], k7ddrphy_dfi_p3_wrdata[17], k7ddrphy_dfi_p2_wrdata[49], k7ddrphy_dfi_p2_wrdata[17], k7ddrphy_dfi_p1_wrdata[49], k7ddrphy_dfi_p1_wrdata[17], k7ddrphy_dfi_p0_wrdata[49], k7ddrphy_dfi_p0_wrdata[17]}, k7ddrphy_bitslip17_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip17_value1 <= (k7ddrphy_bitslip17_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip17_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip17_value1 <= 3'd7; end - main_k7ddrphy_bitslip17_r1 <= {main_k7ddrphy_bitslip171, main_k7ddrphy_bitslip17_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip18_value0 <= (main_k7ddrphy_bitslip18_value0 + 1'd1); + k7ddrphy_bitslip17_r1 <= {k7ddrphy_bitslip171, k7ddrphy_bitslip17_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip18_value0 <= (k7ddrphy_bitslip18_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip18_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip18_value0 <= 3'd7; end - main_k7ddrphy_bitslip18_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[50], main_k7ddrphy_dfi_p3_wrdata[18], main_k7ddrphy_dfi_p2_wrdata[50], main_k7ddrphy_dfi_p2_wrdata[18], main_k7ddrphy_dfi_p1_wrdata[50], main_k7ddrphy_dfi_p1_wrdata[18], main_k7ddrphy_dfi_p0_wrdata[50], main_k7ddrphy_dfi_p0_wrdata[18]}, main_k7ddrphy_bitslip18_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip18_value1 <= (main_k7ddrphy_bitslip18_value1 + 1'd1); + k7ddrphy_bitslip18_r0 <= {{k7ddrphy_dfi_p3_wrdata[50], k7ddrphy_dfi_p3_wrdata[18], k7ddrphy_dfi_p2_wrdata[50], k7ddrphy_dfi_p2_wrdata[18], k7ddrphy_dfi_p1_wrdata[50], k7ddrphy_dfi_p1_wrdata[18], k7ddrphy_dfi_p0_wrdata[50], k7ddrphy_dfi_p0_wrdata[18]}, k7ddrphy_bitslip18_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip18_value1 <= (k7ddrphy_bitslip18_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip18_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip18_value1 <= 3'd7; end - main_k7ddrphy_bitslip18_r1 <= {main_k7ddrphy_bitslip181, main_k7ddrphy_bitslip18_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip19_value0 <= (main_k7ddrphy_bitslip19_value0 + 1'd1); + k7ddrphy_bitslip18_r1 <= {k7ddrphy_bitslip181, k7ddrphy_bitslip18_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip19_value0 <= (k7ddrphy_bitslip19_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip19_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip19_value0 <= 3'd7; end - main_k7ddrphy_bitslip19_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[51], main_k7ddrphy_dfi_p3_wrdata[19], main_k7ddrphy_dfi_p2_wrdata[51], main_k7ddrphy_dfi_p2_wrdata[19], main_k7ddrphy_dfi_p1_wrdata[51], main_k7ddrphy_dfi_p1_wrdata[19], main_k7ddrphy_dfi_p0_wrdata[51], main_k7ddrphy_dfi_p0_wrdata[19]}, main_k7ddrphy_bitslip19_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip19_value1 <= (main_k7ddrphy_bitslip19_value1 + 1'd1); + k7ddrphy_bitslip19_r0 <= {{k7ddrphy_dfi_p3_wrdata[51], k7ddrphy_dfi_p3_wrdata[19], k7ddrphy_dfi_p2_wrdata[51], k7ddrphy_dfi_p2_wrdata[19], k7ddrphy_dfi_p1_wrdata[51], k7ddrphy_dfi_p1_wrdata[19], k7ddrphy_dfi_p0_wrdata[51], k7ddrphy_dfi_p0_wrdata[19]}, k7ddrphy_bitslip19_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip19_value1 <= (k7ddrphy_bitslip19_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip19_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip19_value1 <= 3'd7; end - main_k7ddrphy_bitslip19_r1 <= {main_k7ddrphy_bitslip191, main_k7ddrphy_bitslip19_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip20_value0 <= (main_k7ddrphy_bitslip20_value0 + 1'd1); + k7ddrphy_bitslip19_r1 <= {k7ddrphy_bitslip191, k7ddrphy_bitslip19_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip20_value0 <= (k7ddrphy_bitslip20_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip20_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip20_value0 <= 3'd7; end - main_k7ddrphy_bitslip20_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[52], main_k7ddrphy_dfi_p3_wrdata[20], main_k7ddrphy_dfi_p2_wrdata[52], main_k7ddrphy_dfi_p2_wrdata[20], main_k7ddrphy_dfi_p1_wrdata[52], main_k7ddrphy_dfi_p1_wrdata[20], main_k7ddrphy_dfi_p0_wrdata[52], main_k7ddrphy_dfi_p0_wrdata[20]}, main_k7ddrphy_bitslip20_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip20_value1 <= (main_k7ddrphy_bitslip20_value1 + 1'd1); + k7ddrphy_bitslip20_r0 <= {{k7ddrphy_dfi_p3_wrdata[52], k7ddrphy_dfi_p3_wrdata[20], k7ddrphy_dfi_p2_wrdata[52], k7ddrphy_dfi_p2_wrdata[20], k7ddrphy_dfi_p1_wrdata[52], k7ddrphy_dfi_p1_wrdata[20], k7ddrphy_dfi_p0_wrdata[52], k7ddrphy_dfi_p0_wrdata[20]}, k7ddrphy_bitslip20_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip20_value1 <= (k7ddrphy_bitslip20_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip20_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip20_value1 <= 3'd7; end - main_k7ddrphy_bitslip20_r1 <= {main_k7ddrphy_bitslip201, main_k7ddrphy_bitslip20_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip21_value0 <= (main_k7ddrphy_bitslip21_value0 + 1'd1); + k7ddrphy_bitslip20_r1 <= {k7ddrphy_bitslip201, k7ddrphy_bitslip20_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip21_value0 <= (k7ddrphy_bitslip21_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip21_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip21_value0 <= 3'd7; end - main_k7ddrphy_bitslip21_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[53], main_k7ddrphy_dfi_p3_wrdata[21], main_k7ddrphy_dfi_p2_wrdata[53], main_k7ddrphy_dfi_p2_wrdata[21], main_k7ddrphy_dfi_p1_wrdata[53], main_k7ddrphy_dfi_p1_wrdata[21], main_k7ddrphy_dfi_p0_wrdata[53], main_k7ddrphy_dfi_p0_wrdata[21]}, main_k7ddrphy_bitslip21_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip21_value1 <= (main_k7ddrphy_bitslip21_value1 + 1'd1); + k7ddrphy_bitslip21_r0 <= {{k7ddrphy_dfi_p3_wrdata[53], k7ddrphy_dfi_p3_wrdata[21], k7ddrphy_dfi_p2_wrdata[53], k7ddrphy_dfi_p2_wrdata[21], k7ddrphy_dfi_p1_wrdata[53], k7ddrphy_dfi_p1_wrdata[21], k7ddrphy_dfi_p0_wrdata[53], k7ddrphy_dfi_p0_wrdata[21]}, k7ddrphy_bitslip21_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip21_value1 <= (k7ddrphy_bitslip21_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip21_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip21_value1 <= 3'd7; end - main_k7ddrphy_bitslip21_r1 <= {main_k7ddrphy_bitslip211, main_k7ddrphy_bitslip21_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip22_value0 <= (main_k7ddrphy_bitslip22_value0 + 1'd1); + k7ddrphy_bitslip21_r1 <= {k7ddrphy_bitslip211, k7ddrphy_bitslip21_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip22_value0 <= (k7ddrphy_bitslip22_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip22_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip22_value0 <= 3'd7; end - main_k7ddrphy_bitslip22_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[54], main_k7ddrphy_dfi_p3_wrdata[22], main_k7ddrphy_dfi_p2_wrdata[54], main_k7ddrphy_dfi_p2_wrdata[22], main_k7ddrphy_dfi_p1_wrdata[54], main_k7ddrphy_dfi_p1_wrdata[22], main_k7ddrphy_dfi_p0_wrdata[54], main_k7ddrphy_dfi_p0_wrdata[22]}, main_k7ddrphy_bitslip22_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip22_value1 <= (main_k7ddrphy_bitslip22_value1 + 1'd1); + k7ddrphy_bitslip22_r0 <= {{k7ddrphy_dfi_p3_wrdata[54], k7ddrphy_dfi_p3_wrdata[22], k7ddrphy_dfi_p2_wrdata[54], k7ddrphy_dfi_p2_wrdata[22], k7ddrphy_dfi_p1_wrdata[54], k7ddrphy_dfi_p1_wrdata[22], k7ddrphy_dfi_p0_wrdata[54], k7ddrphy_dfi_p0_wrdata[22]}, k7ddrphy_bitslip22_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip22_value1 <= (k7ddrphy_bitslip22_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip22_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip22_value1 <= 3'd7; end - main_k7ddrphy_bitslip22_r1 <= {main_k7ddrphy_bitslip221, main_k7ddrphy_bitslip22_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip23_value0 <= (main_k7ddrphy_bitslip23_value0 + 1'd1); + k7ddrphy_bitslip22_r1 <= {k7ddrphy_bitslip221, k7ddrphy_bitslip22_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip23_value0 <= (k7ddrphy_bitslip23_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip23_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip23_value0 <= 3'd7; end - main_k7ddrphy_bitslip23_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[55], main_k7ddrphy_dfi_p3_wrdata[23], main_k7ddrphy_dfi_p2_wrdata[55], main_k7ddrphy_dfi_p2_wrdata[23], main_k7ddrphy_dfi_p1_wrdata[55], main_k7ddrphy_dfi_p1_wrdata[23], main_k7ddrphy_dfi_p0_wrdata[55], main_k7ddrphy_dfi_p0_wrdata[23]}, main_k7ddrphy_bitslip23_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip23_value1 <= (main_k7ddrphy_bitslip23_value1 + 1'd1); + k7ddrphy_bitslip23_r0 <= {{k7ddrphy_dfi_p3_wrdata[55], k7ddrphy_dfi_p3_wrdata[23], k7ddrphy_dfi_p2_wrdata[55], k7ddrphy_dfi_p2_wrdata[23], k7ddrphy_dfi_p1_wrdata[55], k7ddrphy_dfi_p1_wrdata[23], k7ddrphy_dfi_p0_wrdata[55], k7ddrphy_dfi_p0_wrdata[23]}, k7ddrphy_bitslip23_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip23_value1 <= (k7ddrphy_bitslip23_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip23_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip23_value1 <= 3'd7; end - main_k7ddrphy_bitslip23_r1 <= {main_k7ddrphy_bitslip231, main_k7ddrphy_bitslip23_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip24_value0 <= (main_k7ddrphy_bitslip24_value0 + 1'd1); + k7ddrphy_bitslip23_r1 <= {k7ddrphy_bitslip231, k7ddrphy_bitslip23_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip24_value0 <= (k7ddrphy_bitslip24_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip24_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip24_value0 <= 3'd7; end - main_k7ddrphy_bitslip24_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[56], main_k7ddrphy_dfi_p3_wrdata[24], main_k7ddrphy_dfi_p2_wrdata[56], main_k7ddrphy_dfi_p2_wrdata[24], main_k7ddrphy_dfi_p1_wrdata[56], main_k7ddrphy_dfi_p1_wrdata[24], main_k7ddrphy_dfi_p0_wrdata[56], main_k7ddrphy_dfi_p0_wrdata[24]}, main_k7ddrphy_bitslip24_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip24_value1 <= (main_k7ddrphy_bitslip24_value1 + 1'd1); + k7ddrphy_bitslip24_r0 <= {{k7ddrphy_dfi_p3_wrdata[56], k7ddrphy_dfi_p3_wrdata[24], k7ddrphy_dfi_p2_wrdata[56], k7ddrphy_dfi_p2_wrdata[24], k7ddrphy_dfi_p1_wrdata[56], k7ddrphy_dfi_p1_wrdata[24], k7ddrphy_dfi_p0_wrdata[56], k7ddrphy_dfi_p0_wrdata[24]}, k7ddrphy_bitslip24_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip24_value1 <= (k7ddrphy_bitslip24_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip24_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip24_value1 <= 3'd7; end - main_k7ddrphy_bitslip24_r1 <= {main_k7ddrphy_bitslip241, main_k7ddrphy_bitslip24_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip25_value0 <= (main_k7ddrphy_bitslip25_value0 + 1'd1); + k7ddrphy_bitslip24_r1 <= {k7ddrphy_bitslip241, k7ddrphy_bitslip24_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip25_value0 <= (k7ddrphy_bitslip25_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip25_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip25_value0 <= 3'd7; end - main_k7ddrphy_bitslip25_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[57], main_k7ddrphy_dfi_p3_wrdata[25], main_k7ddrphy_dfi_p2_wrdata[57], main_k7ddrphy_dfi_p2_wrdata[25], main_k7ddrphy_dfi_p1_wrdata[57], main_k7ddrphy_dfi_p1_wrdata[25], main_k7ddrphy_dfi_p0_wrdata[57], main_k7ddrphy_dfi_p0_wrdata[25]}, main_k7ddrphy_bitslip25_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip25_value1 <= (main_k7ddrphy_bitslip25_value1 + 1'd1); + k7ddrphy_bitslip25_r0 <= {{k7ddrphy_dfi_p3_wrdata[57], k7ddrphy_dfi_p3_wrdata[25], k7ddrphy_dfi_p2_wrdata[57], k7ddrphy_dfi_p2_wrdata[25], k7ddrphy_dfi_p1_wrdata[57], k7ddrphy_dfi_p1_wrdata[25], k7ddrphy_dfi_p0_wrdata[57], k7ddrphy_dfi_p0_wrdata[25]}, k7ddrphy_bitslip25_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip25_value1 <= (k7ddrphy_bitslip25_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip25_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip25_value1 <= 3'd7; end - main_k7ddrphy_bitslip25_r1 <= {main_k7ddrphy_bitslip251, main_k7ddrphy_bitslip25_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip26_value0 <= (main_k7ddrphy_bitslip26_value0 + 1'd1); + k7ddrphy_bitslip25_r1 <= {k7ddrphy_bitslip251, k7ddrphy_bitslip25_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip26_value0 <= (k7ddrphy_bitslip26_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip26_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip26_value0 <= 3'd7; end - main_k7ddrphy_bitslip26_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[58], main_k7ddrphy_dfi_p3_wrdata[26], main_k7ddrphy_dfi_p2_wrdata[58], main_k7ddrphy_dfi_p2_wrdata[26], main_k7ddrphy_dfi_p1_wrdata[58], main_k7ddrphy_dfi_p1_wrdata[26], main_k7ddrphy_dfi_p0_wrdata[58], main_k7ddrphy_dfi_p0_wrdata[26]}, main_k7ddrphy_bitslip26_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip26_value1 <= (main_k7ddrphy_bitslip26_value1 + 1'd1); + k7ddrphy_bitslip26_r0 <= {{k7ddrphy_dfi_p3_wrdata[58], k7ddrphy_dfi_p3_wrdata[26], k7ddrphy_dfi_p2_wrdata[58], k7ddrphy_dfi_p2_wrdata[26], k7ddrphy_dfi_p1_wrdata[58], k7ddrphy_dfi_p1_wrdata[26], k7ddrphy_dfi_p0_wrdata[58], k7ddrphy_dfi_p0_wrdata[26]}, k7ddrphy_bitslip26_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip26_value1 <= (k7ddrphy_bitslip26_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip26_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip26_value1 <= 3'd7; end - main_k7ddrphy_bitslip26_r1 <= {main_k7ddrphy_bitslip261, main_k7ddrphy_bitslip26_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip27_value0 <= (main_k7ddrphy_bitslip27_value0 + 1'd1); + k7ddrphy_bitslip26_r1 <= {k7ddrphy_bitslip261, k7ddrphy_bitslip26_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip27_value0 <= (k7ddrphy_bitslip27_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip27_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip27_value0 <= 3'd7; end - main_k7ddrphy_bitslip27_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[59], main_k7ddrphy_dfi_p3_wrdata[27], main_k7ddrphy_dfi_p2_wrdata[59], main_k7ddrphy_dfi_p2_wrdata[27], main_k7ddrphy_dfi_p1_wrdata[59], main_k7ddrphy_dfi_p1_wrdata[27], main_k7ddrphy_dfi_p0_wrdata[59], main_k7ddrphy_dfi_p0_wrdata[27]}, main_k7ddrphy_bitslip27_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip27_value1 <= (main_k7ddrphy_bitslip27_value1 + 1'd1); + k7ddrphy_bitslip27_r0 <= {{k7ddrphy_dfi_p3_wrdata[59], k7ddrphy_dfi_p3_wrdata[27], k7ddrphy_dfi_p2_wrdata[59], k7ddrphy_dfi_p2_wrdata[27], k7ddrphy_dfi_p1_wrdata[59], k7ddrphy_dfi_p1_wrdata[27], k7ddrphy_dfi_p0_wrdata[59], k7ddrphy_dfi_p0_wrdata[27]}, k7ddrphy_bitslip27_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip27_value1 <= (k7ddrphy_bitslip27_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip27_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip27_value1 <= 3'd7; end - main_k7ddrphy_bitslip27_r1 <= {main_k7ddrphy_bitslip271, main_k7ddrphy_bitslip27_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip28_value0 <= (main_k7ddrphy_bitslip28_value0 + 1'd1); + k7ddrphy_bitslip27_r1 <= {k7ddrphy_bitslip271, k7ddrphy_bitslip27_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip28_value0 <= (k7ddrphy_bitslip28_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip28_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip28_value0 <= 3'd7; end - main_k7ddrphy_bitslip28_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[60], main_k7ddrphy_dfi_p3_wrdata[28], main_k7ddrphy_dfi_p2_wrdata[60], main_k7ddrphy_dfi_p2_wrdata[28], main_k7ddrphy_dfi_p1_wrdata[60], main_k7ddrphy_dfi_p1_wrdata[28], main_k7ddrphy_dfi_p0_wrdata[60], main_k7ddrphy_dfi_p0_wrdata[28]}, main_k7ddrphy_bitslip28_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip28_value1 <= (main_k7ddrphy_bitslip28_value1 + 1'd1); + k7ddrphy_bitslip28_r0 <= {{k7ddrphy_dfi_p3_wrdata[60], k7ddrphy_dfi_p3_wrdata[28], k7ddrphy_dfi_p2_wrdata[60], k7ddrphy_dfi_p2_wrdata[28], k7ddrphy_dfi_p1_wrdata[60], k7ddrphy_dfi_p1_wrdata[28], k7ddrphy_dfi_p0_wrdata[60], k7ddrphy_dfi_p0_wrdata[28]}, k7ddrphy_bitslip28_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip28_value1 <= (k7ddrphy_bitslip28_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip28_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip28_value1 <= 3'd7; end - main_k7ddrphy_bitslip28_r1 <= {main_k7ddrphy_bitslip281, main_k7ddrphy_bitslip28_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip29_value0 <= (main_k7ddrphy_bitslip29_value0 + 1'd1); + k7ddrphy_bitslip28_r1 <= {k7ddrphy_bitslip281, k7ddrphy_bitslip28_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip29_value0 <= (k7ddrphy_bitslip29_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip29_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip29_value0 <= 3'd7; end - main_k7ddrphy_bitslip29_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[61], main_k7ddrphy_dfi_p3_wrdata[29], main_k7ddrphy_dfi_p2_wrdata[61], main_k7ddrphy_dfi_p2_wrdata[29], main_k7ddrphy_dfi_p1_wrdata[61], main_k7ddrphy_dfi_p1_wrdata[29], main_k7ddrphy_dfi_p0_wrdata[61], main_k7ddrphy_dfi_p0_wrdata[29]}, main_k7ddrphy_bitslip29_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip29_value1 <= (main_k7ddrphy_bitslip29_value1 + 1'd1); + k7ddrphy_bitslip29_r0 <= {{k7ddrphy_dfi_p3_wrdata[61], k7ddrphy_dfi_p3_wrdata[29], k7ddrphy_dfi_p2_wrdata[61], k7ddrphy_dfi_p2_wrdata[29], k7ddrphy_dfi_p1_wrdata[61], k7ddrphy_dfi_p1_wrdata[29], k7ddrphy_dfi_p0_wrdata[61], k7ddrphy_dfi_p0_wrdata[29]}, k7ddrphy_bitslip29_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip29_value1 <= (k7ddrphy_bitslip29_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip29_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip29_value1 <= 3'd7; end - main_k7ddrphy_bitslip29_r1 <= {main_k7ddrphy_bitslip291, main_k7ddrphy_bitslip29_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip30_value0 <= (main_k7ddrphy_bitslip30_value0 + 1'd1); + k7ddrphy_bitslip29_r1 <= {k7ddrphy_bitslip291, k7ddrphy_bitslip29_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip30_value0 <= (k7ddrphy_bitslip30_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip30_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip30_value0 <= 3'd7; end - main_k7ddrphy_bitslip30_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[62], main_k7ddrphy_dfi_p3_wrdata[30], main_k7ddrphy_dfi_p2_wrdata[62], main_k7ddrphy_dfi_p2_wrdata[30], main_k7ddrphy_dfi_p1_wrdata[62], main_k7ddrphy_dfi_p1_wrdata[30], main_k7ddrphy_dfi_p0_wrdata[62], main_k7ddrphy_dfi_p0_wrdata[30]}, main_k7ddrphy_bitslip30_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip30_value1 <= (main_k7ddrphy_bitslip30_value1 + 1'd1); + k7ddrphy_bitslip30_r0 <= {{k7ddrphy_dfi_p3_wrdata[62], k7ddrphy_dfi_p3_wrdata[30], k7ddrphy_dfi_p2_wrdata[62], k7ddrphy_dfi_p2_wrdata[30], k7ddrphy_dfi_p1_wrdata[62], k7ddrphy_dfi_p1_wrdata[30], k7ddrphy_dfi_p0_wrdata[62], k7ddrphy_dfi_p0_wrdata[30]}, k7ddrphy_bitslip30_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip30_value1 <= (k7ddrphy_bitslip30_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip30_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip30_value1 <= 3'd7; end - main_k7ddrphy_bitslip30_r1 <= {main_k7ddrphy_bitslip301, main_k7ddrphy_bitslip30_r1[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip31_value0 <= (main_k7ddrphy_bitslip31_value0 + 1'd1); + k7ddrphy_bitslip30_r1 <= {k7ddrphy_bitslip301, k7ddrphy_bitslip30_r1[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_re)) begin + k7ddrphy_bitslip31_value0 <= (k7ddrphy_bitslip31_value0 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip31_value0 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip31_value0 <= 3'd7; end - main_k7ddrphy_bitslip31_r0 <= {{main_k7ddrphy_dfi_p3_wrdata[63], main_k7ddrphy_dfi_p3_wrdata[31], main_k7ddrphy_dfi_p2_wrdata[63], main_k7ddrphy_dfi_p2_wrdata[31], main_k7ddrphy_dfi_p1_wrdata[63], main_k7ddrphy_dfi_p1_wrdata[31], main_k7ddrphy_dfi_p0_wrdata[63], main_k7ddrphy_dfi_p0_wrdata[31]}, main_k7ddrphy_bitslip31_r0[15:8]}; - if ((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_re)) begin - main_k7ddrphy_bitslip31_value1 <= (main_k7ddrphy_bitslip31_value1 + 1'd1); + k7ddrphy_bitslip31_r0 <= {{k7ddrphy_dfi_p3_wrdata[63], k7ddrphy_dfi_p3_wrdata[31], k7ddrphy_dfi_p2_wrdata[63], k7ddrphy_dfi_p2_wrdata[31], k7ddrphy_dfi_p1_wrdata[63], k7ddrphy_dfi_p1_wrdata[31], k7ddrphy_dfi_p0_wrdata[63], k7ddrphy_dfi_p0_wrdata[31]}, k7ddrphy_bitslip31_r0[15:8]}; + if ((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_re)) begin + k7ddrphy_bitslip31_value1 <= (k7ddrphy_bitslip31_value1 + 1'd1); end - if (((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_bitslip_rst_re) | main_k7ddrphy_rst_storage)) begin - main_k7ddrphy_bitslip31_value1 <= 3'd7; + if (((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_bitslip_rst_re) | k7ddrphy_rst_storage)) begin + k7ddrphy_bitslip31_value1 <= 3'd7; end - main_k7ddrphy_bitslip31_r1 <= {main_k7ddrphy_bitslip311, main_k7ddrphy_bitslip31_r1[15:8]}; - main_k7ddrphy_rddata_en_tappeddelayline0 <= (((main_k7ddrphy_dfi_p0_rddata_en | main_k7ddrphy_dfi_p1_rddata_en) | main_k7ddrphy_dfi_p2_rddata_en) | main_k7ddrphy_dfi_p3_rddata_en); - main_k7ddrphy_rddata_en_tappeddelayline1 <= main_k7ddrphy_rddata_en_tappeddelayline0; - main_k7ddrphy_rddata_en_tappeddelayline2 <= main_k7ddrphy_rddata_en_tappeddelayline1; - main_k7ddrphy_rddata_en_tappeddelayline3 <= main_k7ddrphy_rddata_en_tappeddelayline2; - main_k7ddrphy_rddata_en_tappeddelayline4 <= main_k7ddrphy_rddata_en_tappeddelayline3; - main_k7ddrphy_rddata_en_tappeddelayline5 <= main_k7ddrphy_rddata_en_tappeddelayline4; - main_k7ddrphy_rddata_en_tappeddelayline6 <= main_k7ddrphy_rddata_en_tappeddelayline5; - main_k7ddrphy_rddata_en_tappeddelayline7 <= main_k7ddrphy_rddata_en_tappeddelayline6; - main_k7ddrphy_wrdata_en_tappeddelayline0 <= (((main_k7ddrphy_dfi_p0_wrdata_en | main_k7ddrphy_dfi_p1_wrdata_en) | main_k7ddrphy_dfi_p2_wrdata_en) | main_k7ddrphy_dfi_p3_wrdata_en); - main_k7ddrphy_wrdata_en_tappeddelayline1 <= main_k7ddrphy_wrdata_en_tappeddelayline0; - main_k7ddrphy_wrdata_en_tappeddelayline2 <= main_k7ddrphy_wrdata_en_tappeddelayline1; - if (main_litedramcore_inti_p0_rddata_valid) begin - main_litedramcore_phaseinjector0_rddata_status <= main_litedramcore_inti_p0_rddata; + k7ddrphy_bitslip31_r1 <= {k7ddrphy_bitslip311, k7ddrphy_bitslip31_r1[15:8]}; + k7ddrphy_rddata_en_tappeddelayline0 <= (((k7ddrphy_dfi_p0_rddata_en | k7ddrphy_dfi_p1_rddata_en) | k7ddrphy_dfi_p2_rddata_en) | k7ddrphy_dfi_p3_rddata_en); + k7ddrphy_rddata_en_tappeddelayline1 <= k7ddrphy_rddata_en_tappeddelayline0; + k7ddrphy_rddata_en_tappeddelayline2 <= k7ddrphy_rddata_en_tappeddelayline1; + k7ddrphy_rddata_en_tappeddelayline3 <= k7ddrphy_rddata_en_tappeddelayline2; + k7ddrphy_rddata_en_tappeddelayline4 <= k7ddrphy_rddata_en_tappeddelayline3; + k7ddrphy_rddata_en_tappeddelayline5 <= k7ddrphy_rddata_en_tappeddelayline4; + k7ddrphy_rddata_en_tappeddelayline6 <= k7ddrphy_rddata_en_tappeddelayline5; + k7ddrphy_rddata_en_tappeddelayline7 <= k7ddrphy_rddata_en_tappeddelayline6; + k7ddrphy_wrdata_en_tappeddelayline0 <= (((k7ddrphy_dfi_p0_wrdata_en | k7ddrphy_dfi_p1_wrdata_en) | k7ddrphy_dfi_p2_wrdata_en) | k7ddrphy_dfi_p3_wrdata_en); + k7ddrphy_wrdata_en_tappeddelayline1 <= k7ddrphy_wrdata_en_tappeddelayline0; + k7ddrphy_wrdata_en_tappeddelayline2 <= k7ddrphy_wrdata_en_tappeddelayline1; + if (litedramcore_csr_dfi_p0_rddata_valid) begin + litedramcore_phaseinjector0_rddata_status <= litedramcore_csr_dfi_p0_rddata; end - if (main_litedramcore_inti_p1_rddata_valid) begin - main_litedramcore_phaseinjector1_rddata_status <= main_litedramcore_inti_p1_rddata; + if (litedramcore_csr_dfi_p1_rddata_valid) begin + litedramcore_phaseinjector1_rddata_status <= litedramcore_csr_dfi_p1_rddata; end - if (main_litedramcore_inti_p2_rddata_valid) begin - main_litedramcore_phaseinjector2_rddata_status <= main_litedramcore_inti_p2_rddata; + if (litedramcore_csr_dfi_p2_rddata_valid) begin + litedramcore_phaseinjector2_rddata_status <= litedramcore_csr_dfi_p2_rddata; end - if (main_litedramcore_inti_p3_rddata_valid) begin - main_litedramcore_phaseinjector3_rddata_status <= main_litedramcore_inti_p3_rddata; + if (litedramcore_csr_dfi_p3_rddata_valid) begin + litedramcore_phaseinjector3_rddata_status <= litedramcore_csr_dfi_p3_rddata; end - if ((main_litedramcore_timer_wait & (~main_litedramcore_timer_done0))) begin - main_litedramcore_timer_count1 <= (main_litedramcore_timer_count1 - 1'd1); + if ((litedramcore_timer_wait & (~litedramcore_timer_done0))) begin + litedramcore_timer_count1 <= (litedramcore_timer_count1 - 1'd1); end else begin - main_litedramcore_timer_count1 <= 10'd781; + litedramcore_timer_count1 <= 10'd781; end - main_litedramcore_postponer_req_o <= 1'd0; - if (main_litedramcore_postponer_req_i) begin - main_litedramcore_postponer_count <= (main_litedramcore_postponer_count - 1'd1); - if ((main_litedramcore_postponer_count == 1'd0)) begin - main_litedramcore_postponer_count <= 1'd0; - main_litedramcore_postponer_req_o <= 1'd1; + litedramcore_postponer_req_o <= 1'd0; + if (litedramcore_postponer_req_i) begin + litedramcore_postponer_count <= (litedramcore_postponer_count - 1'd1); + if ((litedramcore_postponer_count == 1'd0)) begin + litedramcore_postponer_count <= 1'd0; + litedramcore_postponer_req_o <= 1'd1; end end - if (main_litedramcore_sequencer_start0) begin - main_litedramcore_sequencer_count <= 1'd0; + if (litedramcore_sequencer_start0) begin + litedramcore_sequencer_count <= 1'd0; end else begin - if (main_litedramcore_sequencer_done1) begin - if ((main_litedramcore_sequencer_count != 1'd0)) begin - main_litedramcore_sequencer_count <= (main_litedramcore_sequencer_count - 1'd1); - end - end - end - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd0; - if ((main_litedramcore_sequencer_start1 & (main_litedramcore_sequencer_counter == 1'd0))) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd1; - end - if ((main_litedramcore_sequencer_counter == 2'd3)) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd1; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd0; - end - if ((main_litedramcore_sequencer_counter == 6'd55)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd1; - end - if ((main_litedramcore_sequencer_counter == 6'd55)) begin - main_litedramcore_sequencer_counter <= 1'd0; + if (litedramcore_sequencer_done1) begin + if ((litedramcore_sequencer_count != 1'd0)) begin + litedramcore_sequencer_count <= (litedramcore_sequencer_count - 1'd1); + end + end + end + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_sequencer_done1 <= 1'd0; + if ((litedramcore_sequencer_start1 & (litedramcore_sequencer_counter == 1'd0))) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd1; + end + if ((litedramcore_sequencer_counter == 2'd3)) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd1; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd0; + end + if ((litedramcore_sequencer_counter == 6'd55)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_sequencer_done1 <= 1'd1; + end + if ((litedramcore_sequencer_counter == 6'd55)) begin + litedramcore_sequencer_counter <= 1'd0; end else begin - if ((main_litedramcore_sequencer_counter != 1'd0)) begin - main_litedramcore_sequencer_counter <= (main_litedramcore_sequencer_counter + 1'd1); + if ((litedramcore_sequencer_counter != 1'd0)) begin + litedramcore_sequencer_counter <= (litedramcore_sequencer_counter + 1'd1); end else begin - if (main_litedramcore_sequencer_start1) begin - main_litedramcore_sequencer_counter <= 1'd1; + if (litedramcore_sequencer_start1) begin + litedramcore_sequencer_counter <= 1'd1; end end end - if ((main_litedramcore_zqcs_timer_wait & (~main_litedramcore_zqcs_timer_done0))) begin - main_litedramcore_zqcs_timer_count1 <= (main_litedramcore_zqcs_timer_count1 - 1'd1); + if ((litedramcore_zqcs_timer_wait & (~litedramcore_zqcs_timer_done0))) begin + litedramcore_zqcs_timer_count1 <= (litedramcore_zqcs_timer_count1 - 1'd1); end else begin - main_litedramcore_zqcs_timer_count1 <= 27'd99999999; - end - main_litedramcore_zqcs_executer_done <= 1'd0; - if ((main_litedramcore_zqcs_executer_start & (main_litedramcore_zqcs_executer_counter == 1'd0))) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd1; - end - if ((main_litedramcore_zqcs_executer_counter == 2'd3)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd1; - end - if ((main_litedramcore_zqcs_executer_counter == 5'd19)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_zqcs_executer_done <= 1'd1; - end - if ((main_litedramcore_zqcs_executer_counter == 5'd19)) begin - main_litedramcore_zqcs_executer_counter <= 1'd0; + litedramcore_zqcs_timer_count1 <= 27'd99999999; + end + litedramcore_zqcs_executer_done <= 1'd0; + if ((litedramcore_zqcs_executer_start & (litedramcore_zqcs_executer_counter == 1'd0))) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd1; + end + if ((litedramcore_zqcs_executer_counter == 2'd3)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd1; + end + if ((litedramcore_zqcs_executer_counter == 5'd19)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_zqcs_executer_done <= 1'd1; + end + if ((litedramcore_zqcs_executer_counter == 5'd19)) begin + litedramcore_zqcs_executer_counter <= 1'd0; end else begin - if ((main_litedramcore_zqcs_executer_counter != 1'd0)) begin - main_litedramcore_zqcs_executer_counter <= (main_litedramcore_zqcs_executer_counter + 1'd1); + if ((litedramcore_zqcs_executer_counter != 1'd0)) begin + litedramcore_zqcs_executer_counter <= (litedramcore_zqcs_executer_counter + 1'd1); end else begin - if (main_litedramcore_zqcs_executer_start) begin - main_litedramcore_zqcs_executer_counter <= 1'd1; + if (litedramcore_zqcs_executer_start) begin + litedramcore_zqcs_executer_counter <= 1'd1; end end end - builder_refresher_state <= builder_refresher_next_state; - if (main_litedramcore_bankmachine0_row_close) begin - main_litedramcore_bankmachine0_row_opened <= 1'd0; + litedramcore_refresher_state <= litedramcore_refresher_next_state; + if (litedramcore_bankmachine0_row_close) begin + litedramcore_bankmachine0_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine0_row_open) begin - main_litedramcore_bankmachine0_row_opened <= 1'd1; - main_litedramcore_bankmachine0_row <= main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine0_row_open) begin + litedramcore_bankmachine0_row_opened <= 1'd1; + litedramcore_bankmachine0_row <= litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine0_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine0_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine0_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (litedramcore_bankmachine0_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (litedramcore_bankmachine0_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine0_cmd_buffer_source_valid) | main_litedramcore_bankmachine0_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine0_cmd_buffer_source_valid <= main_litedramcore_bankmachine0_cmd_buffer_sink_valid; - main_litedramcore_bankmachine0_cmd_buffer_source_first <= main_litedramcore_bankmachine0_cmd_buffer_sink_first; - main_litedramcore_bankmachine0_cmd_buffer_source_last <= main_litedramcore_bankmachine0_cmd_buffer_sink_last; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready)) begin + litedramcore_bankmachine0_cmd_buffer_source_valid <= litedramcore_bankmachine0_cmd_buffer_sink_valid; + litedramcore_bankmachine0_cmd_buffer_source_first <= litedramcore_bankmachine0_cmd_buffer_sink_first; + litedramcore_bankmachine0_cmd_buffer_source_last <= litedramcore_bankmachine0_cmd_buffer_sink_last; + litedramcore_bankmachine0_cmd_buffer_source_payload_we <= litedramcore_bankmachine0_cmd_buffer_sink_payload_we; + litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine0_twtpcon_valid) begin - main_litedramcore_bankmachine0_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine0_twtpcon_valid) begin + litedramcore_bankmachine0_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd1; + litedramcore_bankmachine0_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd0; + litedramcore_bankmachine0_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_twtpcon_ready)) begin - main_litedramcore_bankmachine0_twtpcon_count <= (main_litedramcore_bankmachine0_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine0_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_twtpcon_ready)) begin + litedramcore_bankmachine0_twtpcon_count <= (litedramcore_bankmachine0_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine0_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine0_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine0_trccon_valid) begin - main_litedramcore_bankmachine0_trccon_count <= 3'd5; + if (litedramcore_bankmachine0_trccon_valid) begin + litedramcore_bankmachine0_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd1; + litedramcore_bankmachine0_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd0; + litedramcore_bankmachine0_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_trccon_ready)) begin - main_litedramcore_bankmachine0_trccon_count <= (main_litedramcore_bankmachine0_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine0_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_trccon_ready)) begin + litedramcore_bankmachine0_trccon_count <= (litedramcore_bankmachine0_trccon_count - 1'd1); + if ((litedramcore_bankmachine0_trccon_count == 1'd1)) begin + litedramcore_bankmachine0_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine0_trascon_valid) begin - main_litedramcore_bankmachine0_trascon_count <= 3'd4; + if (litedramcore_bankmachine0_trascon_valid) begin + litedramcore_bankmachine0_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd1; + litedramcore_bankmachine0_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd0; + litedramcore_bankmachine0_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_trascon_count <= (main_litedramcore_bankmachine0_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine0_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_trascon_count <= (litedramcore_bankmachine0_trascon_count - 1'd1); + if ((litedramcore_bankmachine0_trascon_count == 1'd1)) begin + litedramcore_bankmachine0_trascon_ready <= 1'd1; end end end - builder_bankmachine0_state <= builder_bankmachine0_next_state; - if (main_litedramcore_bankmachine1_row_close) begin - main_litedramcore_bankmachine1_row_opened <= 1'd0; + litedramcore_bankmachine0_state <= litedramcore_bankmachine0_next_state; + if (litedramcore_bankmachine1_row_close) begin + litedramcore_bankmachine1_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine1_row_open) begin - main_litedramcore_bankmachine1_row_opened <= 1'd1; - main_litedramcore_bankmachine1_row <= main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine1_row_open) begin + litedramcore_bankmachine1_row_opened <= 1'd1; + litedramcore_bankmachine1_row <= litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine1_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine1_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine1_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (litedramcore_bankmachine1_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (litedramcore_bankmachine1_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine1_cmd_buffer_source_valid) | main_litedramcore_bankmachine1_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine1_cmd_buffer_source_valid <= main_litedramcore_bankmachine1_cmd_buffer_sink_valid; - main_litedramcore_bankmachine1_cmd_buffer_source_first <= main_litedramcore_bankmachine1_cmd_buffer_sink_first; - main_litedramcore_bankmachine1_cmd_buffer_source_last <= main_litedramcore_bankmachine1_cmd_buffer_sink_last; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready)) begin + litedramcore_bankmachine1_cmd_buffer_source_valid <= litedramcore_bankmachine1_cmd_buffer_sink_valid; + litedramcore_bankmachine1_cmd_buffer_source_first <= litedramcore_bankmachine1_cmd_buffer_sink_first; + litedramcore_bankmachine1_cmd_buffer_source_last <= litedramcore_bankmachine1_cmd_buffer_sink_last; + litedramcore_bankmachine1_cmd_buffer_source_payload_we <= litedramcore_bankmachine1_cmd_buffer_sink_payload_we; + litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine1_twtpcon_valid) begin - main_litedramcore_bankmachine1_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine1_twtpcon_valid) begin + litedramcore_bankmachine1_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd1; + litedramcore_bankmachine1_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd0; + litedramcore_bankmachine1_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_twtpcon_ready)) begin - main_litedramcore_bankmachine1_twtpcon_count <= (main_litedramcore_bankmachine1_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine1_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_twtpcon_ready)) begin + litedramcore_bankmachine1_twtpcon_count <= (litedramcore_bankmachine1_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine1_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine1_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine1_trccon_valid) begin - main_litedramcore_bankmachine1_trccon_count <= 3'd5; + if (litedramcore_bankmachine1_trccon_valid) begin + litedramcore_bankmachine1_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd1; + litedramcore_bankmachine1_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd0; + litedramcore_bankmachine1_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_trccon_ready)) begin - main_litedramcore_bankmachine1_trccon_count <= (main_litedramcore_bankmachine1_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine1_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_trccon_ready)) begin + litedramcore_bankmachine1_trccon_count <= (litedramcore_bankmachine1_trccon_count - 1'd1); + if ((litedramcore_bankmachine1_trccon_count == 1'd1)) begin + litedramcore_bankmachine1_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine1_trascon_valid) begin - main_litedramcore_bankmachine1_trascon_count <= 3'd4; + if (litedramcore_bankmachine1_trascon_valid) begin + litedramcore_bankmachine1_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd1; + litedramcore_bankmachine1_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd0; + litedramcore_bankmachine1_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_trascon_count <= (main_litedramcore_bankmachine1_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine1_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_trascon_count <= (litedramcore_bankmachine1_trascon_count - 1'd1); + if ((litedramcore_bankmachine1_trascon_count == 1'd1)) begin + litedramcore_bankmachine1_trascon_ready <= 1'd1; end end end - builder_bankmachine1_state <= builder_bankmachine1_next_state; - if (main_litedramcore_bankmachine2_row_close) begin - main_litedramcore_bankmachine2_row_opened <= 1'd0; + litedramcore_bankmachine1_state <= litedramcore_bankmachine1_next_state; + if (litedramcore_bankmachine2_row_close) begin + litedramcore_bankmachine2_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine2_row_open) begin - main_litedramcore_bankmachine2_row_opened <= 1'd1; - main_litedramcore_bankmachine2_row <= main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine2_row_open) begin + litedramcore_bankmachine2_row_opened <= 1'd1; + litedramcore_bankmachine2_row <= litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine2_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine2_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine2_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (litedramcore_bankmachine2_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (litedramcore_bankmachine2_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine2_cmd_buffer_source_valid) | main_litedramcore_bankmachine2_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine2_cmd_buffer_source_valid <= main_litedramcore_bankmachine2_cmd_buffer_sink_valid; - main_litedramcore_bankmachine2_cmd_buffer_source_first <= main_litedramcore_bankmachine2_cmd_buffer_sink_first; - main_litedramcore_bankmachine2_cmd_buffer_source_last <= main_litedramcore_bankmachine2_cmd_buffer_sink_last; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready)) begin + litedramcore_bankmachine2_cmd_buffer_source_valid <= litedramcore_bankmachine2_cmd_buffer_sink_valid; + litedramcore_bankmachine2_cmd_buffer_source_first <= litedramcore_bankmachine2_cmd_buffer_sink_first; + litedramcore_bankmachine2_cmd_buffer_source_last <= litedramcore_bankmachine2_cmd_buffer_sink_last; + litedramcore_bankmachine2_cmd_buffer_source_payload_we <= litedramcore_bankmachine2_cmd_buffer_sink_payload_we; + litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine2_twtpcon_valid) begin - main_litedramcore_bankmachine2_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine2_twtpcon_valid) begin + litedramcore_bankmachine2_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd1; + litedramcore_bankmachine2_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd0; + litedramcore_bankmachine2_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_twtpcon_ready)) begin - main_litedramcore_bankmachine2_twtpcon_count <= (main_litedramcore_bankmachine2_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine2_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_twtpcon_ready)) begin + litedramcore_bankmachine2_twtpcon_count <= (litedramcore_bankmachine2_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine2_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine2_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine2_trccon_valid) begin - main_litedramcore_bankmachine2_trccon_count <= 3'd5; + if (litedramcore_bankmachine2_trccon_valid) begin + litedramcore_bankmachine2_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd1; + litedramcore_bankmachine2_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd0; + litedramcore_bankmachine2_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_trccon_ready)) begin - main_litedramcore_bankmachine2_trccon_count <= (main_litedramcore_bankmachine2_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine2_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_trccon_ready)) begin + litedramcore_bankmachine2_trccon_count <= (litedramcore_bankmachine2_trccon_count - 1'd1); + if ((litedramcore_bankmachine2_trccon_count == 1'd1)) begin + litedramcore_bankmachine2_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine2_trascon_valid) begin - main_litedramcore_bankmachine2_trascon_count <= 3'd4; + if (litedramcore_bankmachine2_trascon_valid) begin + litedramcore_bankmachine2_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd1; + litedramcore_bankmachine2_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd0; + litedramcore_bankmachine2_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_trascon_count <= (main_litedramcore_bankmachine2_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine2_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_trascon_count <= (litedramcore_bankmachine2_trascon_count - 1'd1); + if ((litedramcore_bankmachine2_trascon_count == 1'd1)) begin + litedramcore_bankmachine2_trascon_ready <= 1'd1; end end end - builder_bankmachine2_state <= builder_bankmachine2_next_state; - if (main_litedramcore_bankmachine3_row_close) begin - main_litedramcore_bankmachine3_row_opened <= 1'd0; + litedramcore_bankmachine2_state <= litedramcore_bankmachine2_next_state; + if (litedramcore_bankmachine3_row_close) begin + litedramcore_bankmachine3_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine3_row_open) begin - main_litedramcore_bankmachine3_row_opened <= 1'd1; - main_litedramcore_bankmachine3_row <= main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine3_row_open) begin + litedramcore_bankmachine3_row_opened <= 1'd1; + litedramcore_bankmachine3_row <= litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine3_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine3_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine3_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (litedramcore_bankmachine3_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (litedramcore_bankmachine3_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine3_cmd_buffer_source_valid) | main_litedramcore_bankmachine3_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine3_cmd_buffer_source_valid <= main_litedramcore_bankmachine3_cmd_buffer_sink_valid; - main_litedramcore_bankmachine3_cmd_buffer_source_first <= main_litedramcore_bankmachine3_cmd_buffer_sink_first; - main_litedramcore_bankmachine3_cmd_buffer_source_last <= main_litedramcore_bankmachine3_cmd_buffer_sink_last; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready)) begin + litedramcore_bankmachine3_cmd_buffer_source_valid <= litedramcore_bankmachine3_cmd_buffer_sink_valid; + litedramcore_bankmachine3_cmd_buffer_source_first <= litedramcore_bankmachine3_cmd_buffer_sink_first; + litedramcore_bankmachine3_cmd_buffer_source_last <= litedramcore_bankmachine3_cmd_buffer_sink_last; + litedramcore_bankmachine3_cmd_buffer_source_payload_we <= litedramcore_bankmachine3_cmd_buffer_sink_payload_we; + litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine3_twtpcon_valid) begin - main_litedramcore_bankmachine3_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine3_twtpcon_valid) begin + litedramcore_bankmachine3_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd1; + litedramcore_bankmachine3_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd0; + litedramcore_bankmachine3_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_twtpcon_ready)) begin - main_litedramcore_bankmachine3_twtpcon_count <= (main_litedramcore_bankmachine3_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine3_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_twtpcon_ready)) begin + litedramcore_bankmachine3_twtpcon_count <= (litedramcore_bankmachine3_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine3_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine3_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine3_trccon_valid) begin - main_litedramcore_bankmachine3_trccon_count <= 3'd5; + if (litedramcore_bankmachine3_trccon_valid) begin + litedramcore_bankmachine3_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd1; + litedramcore_bankmachine3_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd0; + litedramcore_bankmachine3_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_trccon_ready)) begin - main_litedramcore_bankmachine3_trccon_count <= (main_litedramcore_bankmachine3_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine3_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_trccon_ready)) begin + litedramcore_bankmachine3_trccon_count <= (litedramcore_bankmachine3_trccon_count - 1'd1); + if ((litedramcore_bankmachine3_trccon_count == 1'd1)) begin + litedramcore_bankmachine3_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine3_trascon_valid) begin - main_litedramcore_bankmachine3_trascon_count <= 3'd4; + if (litedramcore_bankmachine3_trascon_valid) begin + litedramcore_bankmachine3_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd1; + litedramcore_bankmachine3_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd0; + litedramcore_bankmachine3_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_trascon_count <= (main_litedramcore_bankmachine3_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine3_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_trascon_count <= (litedramcore_bankmachine3_trascon_count - 1'd1); + if ((litedramcore_bankmachine3_trascon_count == 1'd1)) begin + litedramcore_bankmachine3_trascon_ready <= 1'd1; end end end - builder_bankmachine3_state <= builder_bankmachine3_next_state; - if (main_litedramcore_bankmachine4_row_close) begin - main_litedramcore_bankmachine4_row_opened <= 1'd0; + litedramcore_bankmachine3_state <= litedramcore_bankmachine3_next_state; + if (litedramcore_bankmachine4_row_close) begin + litedramcore_bankmachine4_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine4_row_open) begin - main_litedramcore_bankmachine4_row_opened <= 1'd1; - main_litedramcore_bankmachine4_row <= main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine4_row_open) begin + litedramcore_bankmachine4_row_opened <= 1'd1; + litedramcore_bankmachine4_row <= litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine4_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine4_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine4_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (litedramcore_bankmachine4_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (litedramcore_bankmachine4_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine4_cmd_buffer_source_valid) | main_litedramcore_bankmachine4_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine4_cmd_buffer_source_valid <= main_litedramcore_bankmachine4_cmd_buffer_sink_valid; - main_litedramcore_bankmachine4_cmd_buffer_source_first <= main_litedramcore_bankmachine4_cmd_buffer_sink_first; - main_litedramcore_bankmachine4_cmd_buffer_source_last <= main_litedramcore_bankmachine4_cmd_buffer_sink_last; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready)) begin + litedramcore_bankmachine4_cmd_buffer_source_valid <= litedramcore_bankmachine4_cmd_buffer_sink_valid; + litedramcore_bankmachine4_cmd_buffer_source_first <= litedramcore_bankmachine4_cmd_buffer_sink_first; + litedramcore_bankmachine4_cmd_buffer_source_last <= litedramcore_bankmachine4_cmd_buffer_sink_last; + litedramcore_bankmachine4_cmd_buffer_source_payload_we <= litedramcore_bankmachine4_cmd_buffer_sink_payload_we; + litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine4_twtpcon_valid) begin - main_litedramcore_bankmachine4_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine4_twtpcon_valid) begin + litedramcore_bankmachine4_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd1; + litedramcore_bankmachine4_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd0; + litedramcore_bankmachine4_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_twtpcon_ready)) begin - main_litedramcore_bankmachine4_twtpcon_count <= (main_litedramcore_bankmachine4_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine4_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_twtpcon_ready)) begin + litedramcore_bankmachine4_twtpcon_count <= (litedramcore_bankmachine4_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine4_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine4_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine4_trccon_valid) begin - main_litedramcore_bankmachine4_trccon_count <= 3'd5; + if (litedramcore_bankmachine4_trccon_valid) begin + litedramcore_bankmachine4_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd1; + litedramcore_bankmachine4_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd0; + litedramcore_bankmachine4_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_trccon_ready)) begin - main_litedramcore_bankmachine4_trccon_count <= (main_litedramcore_bankmachine4_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine4_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_trccon_ready)) begin + litedramcore_bankmachine4_trccon_count <= (litedramcore_bankmachine4_trccon_count - 1'd1); + if ((litedramcore_bankmachine4_trccon_count == 1'd1)) begin + litedramcore_bankmachine4_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine4_trascon_valid) begin - main_litedramcore_bankmachine4_trascon_count <= 3'd4; + if (litedramcore_bankmachine4_trascon_valid) begin + litedramcore_bankmachine4_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd1; + litedramcore_bankmachine4_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd0; + litedramcore_bankmachine4_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_trascon_count <= (main_litedramcore_bankmachine4_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine4_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_trascon_count <= (litedramcore_bankmachine4_trascon_count - 1'd1); + if ((litedramcore_bankmachine4_trascon_count == 1'd1)) begin + litedramcore_bankmachine4_trascon_ready <= 1'd1; end end end - builder_bankmachine4_state <= builder_bankmachine4_next_state; - if (main_litedramcore_bankmachine5_row_close) begin - main_litedramcore_bankmachine5_row_opened <= 1'd0; + litedramcore_bankmachine4_state <= litedramcore_bankmachine4_next_state; + if (litedramcore_bankmachine5_row_close) begin + litedramcore_bankmachine5_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine5_row_open) begin - main_litedramcore_bankmachine5_row_opened <= 1'd1; - main_litedramcore_bankmachine5_row <= main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine5_row_open) begin + litedramcore_bankmachine5_row_opened <= 1'd1; + litedramcore_bankmachine5_row <= litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine5_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine5_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine5_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (litedramcore_bankmachine5_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (litedramcore_bankmachine5_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine5_cmd_buffer_source_valid) | main_litedramcore_bankmachine5_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine5_cmd_buffer_source_valid <= main_litedramcore_bankmachine5_cmd_buffer_sink_valid; - main_litedramcore_bankmachine5_cmd_buffer_source_first <= main_litedramcore_bankmachine5_cmd_buffer_sink_first; - main_litedramcore_bankmachine5_cmd_buffer_source_last <= main_litedramcore_bankmachine5_cmd_buffer_sink_last; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready)) begin + litedramcore_bankmachine5_cmd_buffer_source_valid <= litedramcore_bankmachine5_cmd_buffer_sink_valid; + litedramcore_bankmachine5_cmd_buffer_source_first <= litedramcore_bankmachine5_cmd_buffer_sink_first; + litedramcore_bankmachine5_cmd_buffer_source_last <= litedramcore_bankmachine5_cmd_buffer_sink_last; + litedramcore_bankmachine5_cmd_buffer_source_payload_we <= litedramcore_bankmachine5_cmd_buffer_sink_payload_we; + litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine5_twtpcon_valid) begin - main_litedramcore_bankmachine5_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine5_twtpcon_valid) begin + litedramcore_bankmachine5_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd1; + litedramcore_bankmachine5_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd0; + litedramcore_bankmachine5_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_twtpcon_ready)) begin - main_litedramcore_bankmachine5_twtpcon_count <= (main_litedramcore_bankmachine5_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine5_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_twtpcon_ready)) begin + litedramcore_bankmachine5_twtpcon_count <= (litedramcore_bankmachine5_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine5_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine5_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine5_trccon_valid) begin - main_litedramcore_bankmachine5_trccon_count <= 3'd5; + if (litedramcore_bankmachine5_trccon_valid) begin + litedramcore_bankmachine5_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd1; + litedramcore_bankmachine5_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd0; + litedramcore_bankmachine5_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_trccon_ready)) begin - main_litedramcore_bankmachine5_trccon_count <= (main_litedramcore_bankmachine5_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine5_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_trccon_ready)) begin + litedramcore_bankmachine5_trccon_count <= (litedramcore_bankmachine5_trccon_count - 1'd1); + if ((litedramcore_bankmachine5_trccon_count == 1'd1)) begin + litedramcore_bankmachine5_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine5_trascon_valid) begin - main_litedramcore_bankmachine5_trascon_count <= 3'd4; + if (litedramcore_bankmachine5_trascon_valid) begin + litedramcore_bankmachine5_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd1; + litedramcore_bankmachine5_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd0; + litedramcore_bankmachine5_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_trascon_count <= (main_litedramcore_bankmachine5_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine5_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_trascon_count <= (litedramcore_bankmachine5_trascon_count - 1'd1); + if ((litedramcore_bankmachine5_trascon_count == 1'd1)) begin + litedramcore_bankmachine5_trascon_ready <= 1'd1; end end end - builder_bankmachine5_state <= builder_bankmachine5_next_state; - if (main_litedramcore_bankmachine6_row_close) begin - main_litedramcore_bankmachine6_row_opened <= 1'd0; + litedramcore_bankmachine5_state <= litedramcore_bankmachine5_next_state; + if (litedramcore_bankmachine6_row_close) begin + litedramcore_bankmachine6_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine6_row_open) begin - main_litedramcore_bankmachine6_row_opened <= 1'd1; - main_litedramcore_bankmachine6_row <= main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine6_row_open) begin + litedramcore_bankmachine6_row_opened <= 1'd1; + litedramcore_bankmachine6_row <= litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine6_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine6_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine6_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (litedramcore_bankmachine6_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (litedramcore_bankmachine6_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine6_cmd_buffer_source_valid) | main_litedramcore_bankmachine6_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine6_cmd_buffer_source_valid <= main_litedramcore_bankmachine6_cmd_buffer_sink_valid; - main_litedramcore_bankmachine6_cmd_buffer_source_first <= main_litedramcore_bankmachine6_cmd_buffer_sink_first; - main_litedramcore_bankmachine6_cmd_buffer_source_last <= main_litedramcore_bankmachine6_cmd_buffer_sink_last; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready)) begin + litedramcore_bankmachine6_cmd_buffer_source_valid <= litedramcore_bankmachine6_cmd_buffer_sink_valid; + litedramcore_bankmachine6_cmd_buffer_source_first <= litedramcore_bankmachine6_cmd_buffer_sink_first; + litedramcore_bankmachine6_cmd_buffer_source_last <= litedramcore_bankmachine6_cmd_buffer_sink_last; + litedramcore_bankmachine6_cmd_buffer_source_payload_we <= litedramcore_bankmachine6_cmd_buffer_sink_payload_we; + litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine6_twtpcon_valid) begin - main_litedramcore_bankmachine6_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine6_twtpcon_valid) begin + litedramcore_bankmachine6_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd1; + litedramcore_bankmachine6_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd0; + litedramcore_bankmachine6_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_twtpcon_ready)) begin - main_litedramcore_bankmachine6_twtpcon_count <= (main_litedramcore_bankmachine6_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine6_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_twtpcon_ready)) begin + litedramcore_bankmachine6_twtpcon_count <= (litedramcore_bankmachine6_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine6_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine6_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine6_trccon_valid) begin - main_litedramcore_bankmachine6_trccon_count <= 3'd5; + if (litedramcore_bankmachine6_trccon_valid) begin + litedramcore_bankmachine6_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd1; + litedramcore_bankmachine6_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd0; + litedramcore_bankmachine6_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_trccon_ready)) begin - main_litedramcore_bankmachine6_trccon_count <= (main_litedramcore_bankmachine6_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine6_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_trccon_ready)) begin + litedramcore_bankmachine6_trccon_count <= (litedramcore_bankmachine6_trccon_count - 1'd1); + if ((litedramcore_bankmachine6_trccon_count == 1'd1)) begin + litedramcore_bankmachine6_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine6_trascon_valid) begin - main_litedramcore_bankmachine6_trascon_count <= 3'd4; + if (litedramcore_bankmachine6_trascon_valid) begin + litedramcore_bankmachine6_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd1; + litedramcore_bankmachine6_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd0; + litedramcore_bankmachine6_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_trascon_count <= (main_litedramcore_bankmachine6_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine6_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_trascon_count <= (litedramcore_bankmachine6_trascon_count - 1'd1); + if ((litedramcore_bankmachine6_trascon_count == 1'd1)) begin + litedramcore_bankmachine6_trascon_ready <= 1'd1; end end end - builder_bankmachine6_state <= builder_bankmachine6_next_state; - if (main_litedramcore_bankmachine7_row_close) begin - main_litedramcore_bankmachine7_row_opened <= 1'd0; + litedramcore_bankmachine6_state <= litedramcore_bankmachine6_next_state; + if (litedramcore_bankmachine7_row_close) begin + litedramcore_bankmachine7_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine7_row_open) begin - main_litedramcore_bankmachine7_row_opened <= 1'd1; - main_litedramcore_bankmachine7_row <= main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine7_row_open) begin + litedramcore_bankmachine7_row_opened <= 1'd1; + litedramcore_bankmachine7_row <= litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine7_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine7_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine7_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (litedramcore_bankmachine7_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (litedramcore_bankmachine7_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine7_cmd_buffer_source_valid) | main_litedramcore_bankmachine7_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine7_cmd_buffer_source_valid <= main_litedramcore_bankmachine7_cmd_buffer_sink_valid; - main_litedramcore_bankmachine7_cmd_buffer_source_first <= main_litedramcore_bankmachine7_cmd_buffer_sink_first; - main_litedramcore_bankmachine7_cmd_buffer_source_last <= main_litedramcore_bankmachine7_cmd_buffer_sink_last; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready)) begin + litedramcore_bankmachine7_cmd_buffer_source_valid <= litedramcore_bankmachine7_cmd_buffer_sink_valid; + litedramcore_bankmachine7_cmd_buffer_source_first <= litedramcore_bankmachine7_cmd_buffer_sink_first; + litedramcore_bankmachine7_cmd_buffer_source_last <= litedramcore_bankmachine7_cmd_buffer_sink_last; + litedramcore_bankmachine7_cmd_buffer_source_payload_we <= litedramcore_bankmachine7_cmd_buffer_sink_payload_we; + litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine7_twtpcon_valid) begin - main_litedramcore_bankmachine7_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine7_twtpcon_valid) begin + litedramcore_bankmachine7_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd1; + litedramcore_bankmachine7_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd0; + litedramcore_bankmachine7_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_twtpcon_ready)) begin - main_litedramcore_bankmachine7_twtpcon_count <= (main_litedramcore_bankmachine7_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine7_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_twtpcon_ready)) begin + litedramcore_bankmachine7_twtpcon_count <= (litedramcore_bankmachine7_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine7_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine7_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine7_trccon_valid) begin - main_litedramcore_bankmachine7_trccon_count <= 3'd5; + if (litedramcore_bankmachine7_trccon_valid) begin + litedramcore_bankmachine7_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd1; + litedramcore_bankmachine7_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd0; + litedramcore_bankmachine7_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_trccon_ready)) begin - main_litedramcore_bankmachine7_trccon_count <= (main_litedramcore_bankmachine7_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine7_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_trccon_ready)) begin + litedramcore_bankmachine7_trccon_count <= (litedramcore_bankmachine7_trccon_count - 1'd1); + if ((litedramcore_bankmachine7_trccon_count == 1'd1)) begin + litedramcore_bankmachine7_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine7_trascon_valid) begin - main_litedramcore_bankmachine7_trascon_count <= 3'd4; + if (litedramcore_bankmachine7_trascon_valid) begin + litedramcore_bankmachine7_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd1; + litedramcore_bankmachine7_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd0; + litedramcore_bankmachine7_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_trascon_count <= (main_litedramcore_bankmachine7_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine7_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_trascon_count <= (litedramcore_bankmachine7_trascon_count - 1'd1); + if ((litedramcore_bankmachine7_trascon_count == 1'd1)) begin + litedramcore_bankmachine7_trascon_ready <= 1'd1; end end end - builder_bankmachine7_state <= builder_bankmachine7_next_state; - if ((~main_litedramcore_en0)) begin - main_litedramcore_time0 <= 5'd31; + litedramcore_bankmachine7_state <= litedramcore_bankmachine7_next_state; + if ((~litedramcore_en0)) begin + litedramcore_time0 <= 5'd31; end else begin - if ((~main_litedramcore_max_time0)) begin - main_litedramcore_time0 <= (main_litedramcore_time0 - 1'd1); + if ((~litedramcore_max_time0)) begin + litedramcore_time0 <= (litedramcore_time0 - 1'd1); end end - if ((~main_litedramcore_en1)) begin - main_litedramcore_time1 <= 4'd15; + if ((~litedramcore_en1)) begin + litedramcore_time1 <= 4'd15; end else begin - if ((~main_litedramcore_max_time1)) begin - main_litedramcore_time1 <= (main_litedramcore_time1 - 1'd1); + if ((~litedramcore_max_time1)) begin + litedramcore_time1 <= (litedramcore_time1 - 1'd1); end end - if (main_litedramcore_choose_cmd_ce) begin - case (main_litedramcore_choose_cmd_grant) + if (litedramcore_choose_cmd_ce) begin + case (litedramcore_choose_cmd_grant) 1'd0: begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end end end @@ -14295,26 +14738,26 @@ always @(posedge sys_clk) begin end end 1'd1: begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end end end @@ -14324,26 +14767,26 @@ always @(posedge sys_clk) begin end end 2'd2: begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end end end @@ -14353,26 +14796,26 @@ always @(posedge sys_clk) begin end end 2'd3: begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end end end @@ -14382,26 +14825,26 @@ always @(posedge sys_clk) begin end end 3'd4: begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end end end @@ -14411,26 +14854,26 @@ always @(posedge sys_clk) begin end end 3'd5: begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end end end @@ -14440,26 +14883,26 @@ always @(posedge sys_clk) begin end end 3'd6: begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end end end @@ -14469,26 +14912,26 @@ always @(posedge sys_clk) begin end end 3'd7: begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end end end @@ -14499,29 +14942,29 @@ always @(posedge sys_clk) begin end endcase end - if (main_litedramcore_choose_req_ce) begin - case (main_litedramcore_choose_req_grant) + if (litedramcore_choose_req_ce) begin + case (litedramcore_choose_req_grant) 1'd0: begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end end end @@ -14531,26 +14974,26 @@ always @(posedge sys_clk) begin end end 1'd1: begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end end end @@ -14560,26 +15003,26 @@ always @(posedge sys_clk) begin end end 2'd2: begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end end end @@ -14589,26 +15032,26 @@ always @(posedge sys_clk) begin end end 2'd3: begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end end end @@ -14618,26 +15061,26 @@ always @(posedge sys_clk) begin end end 3'd4: begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end end end @@ -14647,26 +15090,26 @@ always @(posedge sys_clk) begin end end 3'd5: begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end end end @@ -14676,26 +15119,26 @@ always @(posedge sys_clk) begin end end 3'd6: begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end end end @@ -14705,26 +15148,26 @@ always @(posedge sys_clk) begin end end 3'd7: begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end end end @@ -14735,733 +15178,733 @@ always @(posedge sys_clk) begin end endcase end - main_litedramcore_dfi_p0_cs_n <= 1'd0; - main_litedramcore_dfi_p0_bank <= builder_array_muxed0; - main_litedramcore_dfi_p0_address <= builder_array_muxed1; - main_litedramcore_dfi_p0_cas_n <= (~builder_array_muxed2); - main_litedramcore_dfi_p0_ras_n <= (~builder_array_muxed3); - main_litedramcore_dfi_p0_we_n <= (~builder_array_muxed4); - main_litedramcore_dfi_p0_rddata_en <= builder_array_muxed5; - main_litedramcore_dfi_p0_wrdata_en <= builder_array_muxed6; - main_litedramcore_dfi_p1_cs_n <= 1'd0; - main_litedramcore_dfi_p1_bank <= builder_array_muxed7; - main_litedramcore_dfi_p1_address <= builder_array_muxed8; - main_litedramcore_dfi_p1_cas_n <= (~builder_array_muxed9); - main_litedramcore_dfi_p1_ras_n <= (~builder_array_muxed10); - main_litedramcore_dfi_p1_we_n <= (~builder_array_muxed11); - main_litedramcore_dfi_p1_rddata_en <= builder_array_muxed12; - main_litedramcore_dfi_p1_wrdata_en <= builder_array_muxed13; - main_litedramcore_dfi_p2_cs_n <= 1'd0; - main_litedramcore_dfi_p2_bank <= builder_array_muxed14; - main_litedramcore_dfi_p2_address <= builder_array_muxed15; - main_litedramcore_dfi_p2_cas_n <= (~builder_array_muxed16); - main_litedramcore_dfi_p2_ras_n <= (~builder_array_muxed17); - main_litedramcore_dfi_p2_we_n <= (~builder_array_muxed18); - main_litedramcore_dfi_p2_rddata_en <= builder_array_muxed19; - main_litedramcore_dfi_p2_wrdata_en <= builder_array_muxed20; - main_litedramcore_dfi_p3_cs_n <= 1'd0; - main_litedramcore_dfi_p3_bank <= builder_array_muxed21; - main_litedramcore_dfi_p3_address <= builder_array_muxed22; - main_litedramcore_dfi_p3_cas_n <= (~builder_array_muxed23); - main_litedramcore_dfi_p3_ras_n <= (~builder_array_muxed24); - main_litedramcore_dfi_p3_we_n <= (~builder_array_muxed25); - main_litedramcore_dfi_p3_rddata_en <= builder_array_muxed26; - main_litedramcore_dfi_p3_wrdata_en <= builder_array_muxed27; - if (main_litedramcore_trrdcon_valid) begin - main_litedramcore_trrdcon_count <= 1'd1; + litedramcore_dfi_p0_cs_n <= 1'd0; + litedramcore_dfi_p0_bank <= array_muxed0; + litedramcore_dfi_p0_address <= array_muxed1; + litedramcore_dfi_p0_cas_n <= (~array_muxed2); + litedramcore_dfi_p0_ras_n <= (~array_muxed3); + litedramcore_dfi_p0_we_n <= (~array_muxed4); + litedramcore_dfi_p0_rddata_en <= array_muxed5; + litedramcore_dfi_p0_wrdata_en <= array_muxed6; + litedramcore_dfi_p1_cs_n <= 1'd0; + litedramcore_dfi_p1_bank <= array_muxed7; + litedramcore_dfi_p1_address <= array_muxed8; + litedramcore_dfi_p1_cas_n <= (~array_muxed9); + litedramcore_dfi_p1_ras_n <= (~array_muxed10); + litedramcore_dfi_p1_we_n <= (~array_muxed11); + litedramcore_dfi_p1_rddata_en <= array_muxed12; + litedramcore_dfi_p1_wrdata_en <= array_muxed13; + litedramcore_dfi_p2_cs_n <= 1'd0; + litedramcore_dfi_p2_bank <= array_muxed14; + litedramcore_dfi_p2_address <= array_muxed15; + litedramcore_dfi_p2_cas_n <= (~array_muxed16); + litedramcore_dfi_p2_ras_n <= (~array_muxed17); + litedramcore_dfi_p2_we_n <= (~array_muxed18); + litedramcore_dfi_p2_rddata_en <= array_muxed19; + litedramcore_dfi_p2_wrdata_en <= array_muxed20; + litedramcore_dfi_p3_cs_n <= 1'd0; + litedramcore_dfi_p3_bank <= array_muxed21; + litedramcore_dfi_p3_address <= array_muxed22; + litedramcore_dfi_p3_cas_n <= (~array_muxed23); + litedramcore_dfi_p3_ras_n <= (~array_muxed24); + litedramcore_dfi_p3_we_n <= (~array_muxed25); + litedramcore_dfi_p3_rddata_en <= array_muxed26; + litedramcore_dfi_p3_wrdata_en <= array_muxed27; + if (litedramcore_trrdcon_valid) begin + litedramcore_trrdcon_count <= 1'd1; if (1'd0) begin - main_litedramcore_trrdcon_ready <= 1'd1; + litedramcore_trrdcon_ready <= 1'd1; end else begin - main_litedramcore_trrdcon_ready <= 1'd0; + litedramcore_trrdcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_trrdcon_ready)) begin - main_litedramcore_trrdcon_count <= (main_litedramcore_trrdcon_count - 1'd1); - if ((main_litedramcore_trrdcon_count == 1'd1)) begin - main_litedramcore_trrdcon_ready <= 1'd1; + if ((~litedramcore_trrdcon_ready)) begin + litedramcore_trrdcon_count <= (litedramcore_trrdcon_count - 1'd1); + if ((litedramcore_trrdcon_count == 1'd1)) begin + litedramcore_trrdcon_ready <= 1'd1; end end end - main_litedramcore_tfawcon_window <= {main_litedramcore_tfawcon_window, main_litedramcore_tfawcon_valid}; - if ((main_litedramcore_tfawcon_count < 3'd4)) begin - if ((main_litedramcore_tfawcon_count == 2'd3)) begin - main_litedramcore_tfawcon_ready <= (~main_litedramcore_tfawcon_valid); + litedramcore_tfawcon_window <= {litedramcore_tfawcon_window, litedramcore_tfawcon_valid}; + if ((litedramcore_tfawcon_count < 3'd4)) begin + if ((litedramcore_tfawcon_count == 2'd3)) begin + litedramcore_tfawcon_ready <= (~litedramcore_tfawcon_valid); end else begin - main_litedramcore_tfawcon_ready <= 1'd1; + litedramcore_tfawcon_ready <= 1'd1; end end - if (main_litedramcore_tccdcon_valid) begin - main_litedramcore_tccdcon_count <= 1'd0; + if (litedramcore_tccdcon_valid) begin + litedramcore_tccdcon_count <= 1'd0; if (1'd1) begin - main_litedramcore_tccdcon_ready <= 1'd1; + litedramcore_tccdcon_ready <= 1'd1; end else begin - main_litedramcore_tccdcon_ready <= 1'd0; + litedramcore_tccdcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_tccdcon_ready)) begin - main_litedramcore_tccdcon_count <= (main_litedramcore_tccdcon_count - 1'd1); - if ((main_litedramcore_tccdcon_count == 1'd1)) begin - main_litedramcore_tccdcon_ready <= 1'd1; + if ((~litedramcore_tccdcon_ready)) begin + litedramcore_tccdcon_count <= (litedramcore_tccdcon_count - 1'd1); + if ((litedramcore_tccdcon_count == 1'd1)) begin + litedramcore_tccdcon_ready <= 1'd1; end end end - if (main_litedramcore_twtrcon_valid) begin - main_litedramcore_twtrcon_count <= 3'd4; + if (litedramcore_twtrcon_valid) begin + litedramcore_twtrcon_count <= 3'd4; if (1'd0) begin - main_litedramcore_twtrcon_ready <= 1'd1; + litedramcore_twtrcon_ready <= 1'd1; end else begin - main_litedramcore_twtrcon_ready <= 1'd0; + litedramcore_twtrcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_twtrcon_ready)) begin - main_litedramcore_twtrcon_count <= (main_litedramcore_twtrcon_count - 1'd1); - if ((main_litedramcore_twtrcon_count == 1'd1)) begin - main_litedramcore_twtrcon_ready <= 1'd1; - end - end - end - builder_multiplexer_state <= builder_multiplexer_next_state; - builder_new_master_wdata_ready0 <= ((((((((1'd0 | ((builder_roundrobin0_grant == 1'd0) & main_litedramcore_interface_bank0_wdata_ready)) | ((builder_roundrobin1_grant == 1'd0) & main_litedramcore_interface_bank1_wdata_ready)) | ((builder_roundrobin2_grant == 1'd0) & main_litedramcore_interface_bank2_wdata_ready)) | ((builder_roundrobin3_grant == 1'd0) & main_litedramcore_interface_bank3_wdata_ready)) | ((builder_roundrobin4_grant == 1'd0) & main_litedramcore_interface_bank4_wdata_ready)) | ((builder_roundrobin5_grant == 1'd0) & main_litedramcore_interface_bank5_wdata_ready)) | ((builder_roundrobin6_grant == 1'd0) & main_litedramcore_interface_bank6_wdata_ready)) | ((builder_roundrobin7_grant == 1'd0) & main_litedramcore_interface_bank7_wdata_ready)); - builder_new_master_wdata_ready1 <= builder_new_master_wdata_ready0; - builder_new_master_rdata_valid0 <= ((((((((1'd0 | ((builder_roundrobin0_grant == 1'd0) & main_litedramcore_interface_bank0_rdata_valid)) | ((builder_roundrobin1_grant == 1'd0) & main_litedramcore_interface_bank1_rdata_valid)) | ((builder_roundrobin2_grant == 1'd0) & main_litedramcore_interface_bank2_rdata_valid)) | ((builder_roundrobin3_grant == 1'd0) & main_litedramcore_interface_bank3_rdata_valid)) | ((builder_roundrobin4_grant == 1'd0) & main_litedramcore_interface_bank4_rdata_valid)) | ((builder_roundrobin5_grant == 1'd0) & main_litedramcore_interface_bank5_rdata_valid)) | ((builder_roundrobin6_grant == 1'd0) & main_litedramcore_interface_bank6_rdata_valid)) | ((builder_roundrobin7_grant == 1'd0) & main_litedramcore_interface_bank7_rdata_valid)); - builder_new_master_rdata_valid1 <= builder_new_master_rdata_valid0; - builder_new_master_rdata_valid2 <= builder_new_master_rdata_valid1; - builder_new_master_rdata_valid3 <= builder_new_master_rdata_valid2; - builder_new_master_rdata_valid4 <= builder_new_master_rdata_valid3; - builder_new_master_rdata_valid5 <= builder_new_master_rdata_valid4; - builder_new_master_rdata_valid6 <= builder_new_master_rdata_valid5; - builder_new_master_rdata_valid7 <= builder_new_master_rdata_valid6; - builder_new_master_rdata_valid8 <= builder_new_master_rdata_valid7; - builder_state <= builder_next_state; - if (builder_litedramcore_dat_w_next_value_ce0) begin - builder_litedramcore_dat_w <= builder_litedramcore_dat_w_next_value0; - end - if (builder_litedramcore_adr_next_value_ce1) begin - builder_litedramcore_adr <= builder_litedramcore_adr_next_value1; - end - if (builder_litedramcore_we_next_value_ce2) begin - builder_litedramcore_we <= builder_litedramcore_we_next_value2; - end - builder_interface0_bank_bus_dat_r <= 1'd0; - if (builder_csrbank0_sel) begin - case (builder_interface0_bank_bus_adr[8:0]) + if ((~litedramcore_twtrcon_ready)) begin + litedramcore_twtrcon_count <= (litedramcore_twtrcon_count - 1'd1); + if ((litedramcore_twtrcon_count == 1'd1)) begin + litedramcore_twtrcon_ready <= 1'd1; + end + end + end + litedramcore_multiplexer_state <= litedramcore_multiplexer_next_state; + litedramcore_new_master_wdata_ready0 <= ((((((((1'd0 | ((litedramcore_roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_wdata_ready)) | ((litedramcore_roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_wdata_ready)) | ((litedramcore_roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_wdata_ready)) | ((litedramcore_roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_wdata_ready)) | ((litedramcore_roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_wdata_ready)) | ((litedramcore_roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_wdata_ready)) | ((litedramcore_roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_wdata_ready)) | ((litedramcore_roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_wdata_ready)); + litedramcore_new_master_wdata_ready1 <= litedramcore_new_master_wdata_ready0; + litedramcore_new_master_rdata_valid0 <= ((((((((1'd0 | ((litedramcore_roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_rdata_valid)) | ((litedramcore_roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_rdata_valid)) | ((litedramcore_roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_rdata_valid)) | ((litedramcore_roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_rdata_valid)) | ((litedramcore_roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_rdata_valid)) | ((litedramcore_roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_rdata_valid)) | ((litedramcore_roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_rdata_valid)) | ((litedramcore_roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_rdata_valid)); + litedramcore_new_master_rdata_valid1 <= litedramcore_new_master_rdata_valid0; + litedramcore_new_master_rdata_valid2 <= litedramcore_new_master_rdata_valid1; + litedramcore_new_master_rdata_valid3 <= litedramcore_new_master_rdata_valid2; + litedramcore_new_master_rdata_valid4 <= litedramcore_new_master_rdata_valid3; + litedramcore_new_master_rdata_valid5 <= litedramcore_new_master_rdata_valid4; + litedramcore_new_master_rdata_valid6 <= litedramcore_new_master_rdata_valid5; + litedramcore_new_master_rdata_valid7 <= litedramcore_new_master_rdata_valid6; + litedramcore_new_master_rdata_valid8 <= litedramcore_new_master_rdata_valid7; + litedramcore_state <= litedramcore_next_state; + if (litedramcore_dat_w_next_value_ce0) begin + litedramcore_dat_w <= litedramcore_dat_w_next_value0; + end + if (litedramcore_adr_next_value_ce1) begin + litedramcore_adr <= litedramcore_adr_next_value1; + end + if (litedramcore_we_next_value_ce2) begin + litedramcore_we <= litedramcore_we_next_value2; + end + interface0_bank_bus_dat_r <= 1'd0; + if (csrbank0_sel) begin + case (interface0_bank_bus_adr[8:0]) 1'd0: begin - builder_interface0_bank_bus_dat_r <= builder_csrbank0_init_done0_w; + interface0_bank_bus_dat_r <= csrbank0_init_done0_w; end 1'd1: begin - builder_interface0_bank_bus_dat_r <= builder_csrbank0_init_error0_w; + interface0_bank_bus_dat_r <= csrbank0_init_error0_w; end endcase end - if (builder_csrbank0_init_done0_re) begin - main_init_done_storage <= builder_csrbank0_init_done0_r; + if (csrbank0_init_done0_re) begin + init_done_storage <= csrbank0_init_done0_r; end - main_init_done_re <= builder_csrbank0_init_done0_re; - if (builder_csrbank0_init_error0_re) begin - main_init_error_storage <= builder_csrbank0_init_error0_r; + init_done_re <= csrbank0_init_done0_re; + if (csrbank0_init_error0_re) begin + init_error_storage <= csrbank0_init_error0_r; end - main_init_error_re <= builder_csrbank0_init_error0_re; - builder_interface1_bank_bus_dat_r <= 1'd0; - if (builder_csrbank1_sel) begin - case (builder_interface1_bank_bus_adr[8:0]) + init_error_re <= csrbank0_init_error0_re; + interface1_bank_bus_dat_r <= 1'd0; + if (csrbank1_sel) begin + case (interface1_bank_bus_adr[8:0]) 1'd0: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_rst0_w; + interface1_bank_bus_dat_r <= csrbank1_rst0_w; end 1'd1: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_half_sys8x_taps0_w; + interface1_bank_bus_dat_r <= csrbank1_dly_sel0_w; end 2'd2: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_wlevel_en0_w; + interface1_bank_bus_dat_r <= csrbank1_half_sys8x_taps0_w; end 2'd3: begin - builder_interface1_bank_bus_dat_r <= main_k7ddrphy_wlevel_strobe_w; + interface1_bank_bus_dat_r <= csrbank1_wlevel_en0_w; end 3'd4: begin - builder_interface1_bank_bus_dat_r <= main_k7ddrphy_cdly_rst_w; + interface1_bank_bus_dat_r <= k7ddrphy_wlevel_strobe_w; end 3'd5: begin - builder_interface1_bank_bus_dat_r <= main_k7ddrphy_cdly_inc_w; + interface1_bank_bus_dat_r <= k7ddrphy_cdly_rst_w; end 3'd6: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_dly_sel0_w; + interface1_bank_bus_dat_r <= k7ddrphy_cdly_inc_w; end 3'd7: begin - builder_interface1_bank_bus_dat_r <= main_k7ddrphy_rdly_dq_rst_w; + interface1_bank_bus_dat_r <= k7ddrphy_rdly_dq_rst_w; end 4'd8: begin - builder_interface1_bank_bus_dat_r <= main_k7ddrphy_rdly_dq_inc_w; + interface1_bank_bus_dat_r <= k7ddrphy_rdly_dq_inc_w; end 4'd9: begin - builder_interface1_bank_bus_dat_r <= main_k7ddrphy_rdly_dq_bitslip_rst_w; + interface1_bank_bus_dat_r <= k7ddrphy_rdly_dq_bitslip_rst_w; end 4'd10: begin - builder_interface1_bank_bus_dat_r <= main_k7ddrphy_rdly_dq_bitslip_w; + interface1_bank_bus_dat_r <= k7ddrphy_rdly_dq_bitslip_w; end 4'd11: begin - builder_interface1_bank_bus_dat_r <= main_k7ddrphy_wdly_dq_rst_w; + interface1_bank_bus_dat_r <= k7ddrphy_wdly_dq_rst_w; end 4'd12: begin - builder_interface1_bank_bus_dat_r <= main_k7ddrphy_wdly_dq_inc_w; + interface1_bank_bus_dat_r <= k7ddrphy_wdly_dq_inc_w; end 4'd13: begin - builder_interface1_bank_bus_dat_r <= main_k7ddrphy_wdly_dqs_rst_w; + interface1_bank_bus_dat_r <= k7ddrphy_wdly_dqs_rst_w; end 4'd14: begin - builder_interface1_bank_bus_dat_r <= main_k7ddrphy_wdly_dqs_inc_w; + interface1_bank_bus_dat_r <= k7ddrphy_wdly_dqs_inc_w; end 4'd15: begin - builder_interface1_bank_bus_dat_r <= main_k7ddrphy_wdly_dq_bitslip_rst_w; + interface1_bank_bus_dat_r <= k7ddrphy_wdly_dq_bitslip_rst_w; end 5'd16: begin - builder_interface1_bank_bus_dat_r <= main_k7ddrphy_wdly_dq_bitslip_w; + interface1_bank_bus_dat_r <= k7ddrphy_wdly_dq_bitslip_w; end 5'd17: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_rdphase0_w; + interface1_bank_bus_dat_r <= csrbank1_rdphase0_w; end 5'd18: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_wrphase0_w; + interface1_bank_bus_dat_r <= csrbank1_wrphase0_w; end endcase end - if (builder_csrbank1_rst0_re) begin - main_k7ddrphy_rst_storage <= builder_csrbank1_rst0_r; + if (csrbank1_rst0_re) begin + k7ddrphy_rst_storage <= csrbank1_rst0_r; end - main_k7ddrphy_rst_re <= builder_csrbank1_rst0_re; - if (builder_csrbank1_half_sys8x_taps0_re) begin - main_k7ddrphy_half_sys8x_taps_storage[4:0] <= builder_csrbank1_half_sys8x_taps0_r; + k7ddrphy_rst_re <= csrbank1_rst0_re; + if (csrbank1_dly_sel0_re) begin + k7ddrphy_dly_sel_storage[3:0] <= csrbank1_dly_sel0_r; end - main_k7ddrphy_half_sys8x_taps_re <= builder_csrbank1_half_sys8x_taps0_re; - if (builder_csrbank1_wlevel_en0_re) begin - main_k7ddrphy_wlevel_en_storage <= builder_csrbank1_wlevel_en0_r; + k7ddrphy_dly_sel_re <= csrbank1_dly_sel0_re; + if (csrbank1_half_sys8x_taps0_re) begin + k7ddrphy_half_sys8x_taps_storage[4:0] <= csrbank1_half_sys8x_taps0_r; end - main_k7ddrphy_wlevel_en_re <= builder_csrbank1_wlevel_en0_re; - if (builder_csrbank1_dly_sel0_re) begin - main_k7ddrphy_dly_sel_storage[3:0] <= builder_csrbank1_dly_sel0_r; + k7ddrphy_half_sys8x_taps_re <= csrbank1_half_sys8x_taps0_re; + if (csrbank1_wlevel_en0_re) begin + k7ddrphy_wlevel_en_storage <= csrbank1_wlevel_en0_r; end - main_k7ddrphy_dly_sel_re <= builder_csrbank1_dly_sel0_re; - if (builder_csrbank1_rdphase0_re) begin - main_k7ddrphy_rdphase_storage[1:0] <= builder_csrbank1_rdphase0_r; + k7ddrphy_wlevel_en_re <= csrbank1_wlevel_en0_re; + if (csrbank1_rdphase0_re) begin + k7ddrphy_rdphase_storage[1:0] <= csrbank1_rdphase0_r; end - main_k7ddrphy_rdphase_re <= builder_csrbank1_rdphase0_re; - if (builder_csrbank1_wrphase0_re) begin - main_k7ddrphy_wrphase_storage[1:0] <= builder_csrbank1_wrphase0_r; + k7ddrphy_rdphase_re <= csrbank1_rdphase0_re; + if (csrbank1_wrphase0_re) begin + k7ddrphy_wrphase_storage[1:0] <= csrbank1_wrphase0_r; end - main_k7ddrphy_wrphase_re <= builder_csrbank1_wrphase0_re; - builder_interface2_bank_bus_dat_r <= 1'd0; - if (builder_csrbank2_sel) begin - case (builder_interface2_bank_bus_adr[8:0]) + k7ddrphy_wrphase_re <= csrbank1_wrphase0_re; + interface2_bank_bus_dat_r <= 1'd0; + if (csrbank2_sel) begin + case (interface2_bank_bus_adr[8:0]) 1'd0: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_control0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_control0_w; end 1'd1: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_command0_w; end 2'd2: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector0_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector0_command_issue_w; end 2'd3: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_address0_w; end 3'd4: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_baddress0_w; end 3'd5: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_wrdata1_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_wrdata1_w; end 3'd6: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_wrdata0_w; end 3'd7: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_rddata1_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_rddata1_w; end 4'd8: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_rddata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_rddata0_w; end 4'd9: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_command0_w; end 4'd10: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector1_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector1_command_issue_w; end 4'd11: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_address0_w; end 4'd12: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_baddress0_w; end 4'd13: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_wrdata1_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_wrdata1_w; end 4'd14: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_wrdata0_w; end 4'd15: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_rddata1_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_rddata1_w; end 5'd16: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_rddata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_rddata0_w; end 5'd17: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_command0_w; end 5'd18: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector2_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector2_command_issue_w; end 5'd19: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_address0_w; end 5'd20: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_baddress0_w; end 5'd21: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_wrdata1_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_wrdata1_w; end 5'd22: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_wrdata0_w; end 5'd23: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_rddata1_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_rddata1_w; end 5'd24: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_rddata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_rddata0_w; end 5'd25: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_command0_w; end 5'd26: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector3_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector3_command_issue_w; end 5'd27: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_address0_w; end 5'd28: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_baddress0_w; end 5'd29: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_wrdata1_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_wrdata1_w; end 5'd30: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_wrdata0_w; end 5'd31: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_rddata1_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_rddata1_w; end 6'd32: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_rddata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_rddata0_w; end endcase end - if (builder_csrbank2_dfii_control0_re) begin - main_litedramcore_storage[3:0] <= builder_csrbank2_dfii_control0_r; + if (csrbank2_dfii_control0_re) begin + litedramcore_storage[3:0] <= csrbank2_dfii_control0_r; end - main_litedramcore_re <= builder_csrbank2_dfii_control0_re; - if (builder_csrbank2_dfii_pi0_command0_re) begin - main_litedramcore_phaseinjector0_command_storage[5:0] <= builder_csrbank2_dfii_pi0_command0_r; + litedramcore_re <= csrbank2_dfii_control0_re; + if (csrbank2_dfii_pi0_command0_re) begin + litedramcore_phaseinjector0_command_storage[5:0] <= csrbank2_dfii_pi0_command0_r; end - main_litedramcore_phaseinjector0_command_re <= builder_csrbank2_dfii_pi0_command0_re; - if (builder_csrbank2_dfii_pi0_address0_re) begin - main_litedramcore_phaseinjector0_address_storage[14:0] <= builder_csrbank2_dfii_pi0_address0_r; + litedramcore_phaseinjector0_command_re <= csrbank2_dfii_pi0_command0_re; + if (csrbank2_dfii_pi0_address0_re) begin + litedramcore_phaseinjector0_address_storage[14:0] <= csrbank2_dfii_pi0_address0_r; end - main_litedramcore_phaseinjector0_address_re <= builder_csrbank2_dfii_pi0_address0_re; - if (builder_csrbank2_dfii_pi0_baddress0_re) begin - main_litedramcore_phaseinjector0_baddress_storage[2:0] <= builder_csrbank2_dfii_pi0_baddress0_r; + litedramcore_phaseinjector0_address_re <= csrbank2_dfii_pi0_address0_re; + if (csrbank2_dfii_pi0_baddress0_re) begin + litedramcore_phaseinjector0_baddress_storage[2:0] <= csrbank2_dfii_pi0_baddress0_r; end - main_litedramcore_phaseinjector0_baddress_re <= builder_csrbank2_dfii_pi0_baddress0_re; - if (builder_csrbank2_dfii_pi0_wrdata1_re) begin - main_litedramcore_phaseinjector0_wrdata_storage[63:32] <= builder_csrbank2_dfii_pi0_wrdata1_r; + litedramcore_phaseinjector0_baddress_re <= csrbank2_dfii_pi0_baddress0_re; + if (csrbank2_dfii_pi0_wrdata1_re) begin + litedramcore_phaseinjector0_wrdata_storage[63:32] <= csrbank2_dfii_pi0_wrdata1_r; end - if (builder_csrbank2_dfii_pi0_wrdata0_re) begin - main_litedramcore_phaseinjector0_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi0_wrdata0_r; + if (csrbank2_dfii_pi0_wrdata0_re) begin + litedramcore_phaseinjector0_wrdata_storage[31:0] <= csrbank2_dfii_pi0_wrdata0_r; end - main_litedramcore_phaseinjector0_wrdata_re <= builder_csrbank2_dfii_pi0_wrdata0_re; - main_litedramcore_phaseinjector0_rddata_re <= builder_csrbank2_dfii_pi0_rddata0_re; - if (builder_csrbank2_dfii_pi1_command0_re) begin - main_litedramcore_phaseinjector1_command_storage[5:0] <= builder_csrbank2_dfii_pi1_command0_r; + litedramcore_phaseinjector0_wrdata_re <= csrbank2_dfii_pi0_wrdata0_re; + litedramcore_phaseinjector0_rddata_re <= csrbank2_dfii_pi0_rddata0_re; + if (csrbank2_dfii_pi1_command0_re) begin + litedramcore_phaseinjector1_command_storage[5:0] <= csrbank2_dfii_pi1_command0_r; end - main_litedramcore_phaseinjector1_command_re <= builder_csrbank2_dfii_pi1_command0_re; - if (builder_csrbank2_dfii_pi1_address0_re) begin - main_litedramcore_phaseinjector1_address_storage[14:0] <= builder_csrbank2_dfii_pi1_address0_r; + litedramcore_phaseinjector1_command_re <= csrbank2_dfii_pi1_command0_re; + if (csrbank2_dfii_pi1_address0_re) begin + litedramcore_phaseinjector1_address_storage[14:0] <= csrbank2_dfii_pi1_address0_r; end - main_litedramcore_phaseinjector1_address_re <= builder_csrbank2_dfii_pi1_address0_re; - if (builder_csrbank2_dfii_pi1_baddress0_re) begin - main_litedramcore_phaseinjector1_baddress_storage[2:0] <= builder_csrbank2_dfii_pi1_baddress0_r; + litedramcore_phaseinjector1_address_re <= csrbank2_dfii_pi1_address0_re; + if (csrbank2_dfii_pi1_baddress0_re) begin + litedramcore_phaseinjector1_baddress_storage[2:0] <= csrbank2_dfii_pi1_baddress0_r; end - main_litedramcore_phaseinjector1_baddress_re <= builder_csrbank2_dfii_pi1_baddress0_re; - if (builder_csrbank2_dfii_pi1_wrdata1_re) begin - main_litedramcore_phaseinjector1_wrdata_storage[63:32] <= builder_csrbank2_dfii_pi1_wrdata1_r; + litedramcore_phaseinjector1_baddress_re <= csrbank2_dfii_pi1_baddress0_re; + if (csrbank2_dfii_pi1_wrdata1_re) begin + litedramcore_phaseinjector1_wrdata_storage[63:32] <= csrbank2_dfii_pi1_wrdata1_r; end - if (builder_csrbank2_dfii_pi1_wrdata0_re) begin - main_litedramcore_phaseinjector1_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi1_wrdata0_r; + if (csrbank2_dfii_pi1_wrdata0_re) begin + litedramcore_phaseinjector1_wrdata_storage[31:0] <= csrbank2_dfii_pi1_wrdata0_r; end - main_litedramcore_phaseinjector1_wrdata_re <= builder_csrbank2_dfii_pi1_wrdata0_re; - main_litedramcore_phaseinjector1_rddata_re <= builder_csrbank2_dfii_pi1_rddata0_re; - if (builder_csrbank2_dfii_pi2_command0_re) begin - main_litedramcore_phaseinjector2_command_storage[5:0] <= builder_csrbank2_dfii_pi2_command0_r; + litedramcore_phaseinjector1_wrdata_re <= csrbank2_dfii_pi1_wrdata0_re; + litedramcore_phaseinjector1_rddata_re <= csrbank2_dfii_pi1_rddata0_re; + if (csrbank2_dfii_pi2_command0_re) begin + litedramcore_phaseinjector2_command_storage[5:0] <= csrbank2_dfii_pi2_command0_r; end - main_litedramcore_phaseinjector2_command_re <= builder_csrbank2_dfii_pi2_command0_re; - if (builder_csrbank2_dfii_pi2_address0_re) begin - main_litedramcore_phaseinjector2_address_storage[14:0] <= builder_csrbank2_dfii_pi2_address0_r; + litedramcore_phaseinjector2_command_re <= csrbank2_dfii_pi2_command0_re; + if (csrbank2_dfii_pi2_address0_re) begin + litedramcore_phaseinjector2_address_storage[14:0] <= csrbank2_dfii_pi2_address0_r; end - main_litedramcore_phaseinjector2_address_re <= builder_csrbank2_dfii_pi2_address0_re; - if (builder_csrbank2_dfii_pi2_baddress0_re) begin - main_litedramcore_phaseinjector2_baddress_storage[2:0] <= builder_csrbank2_dfii_pi2_baddress0_r; + litedramcore_phaseinjector2_address_re <= csrbank2_dfii_pi2_address0_re; + if (csrbank2_dfii_pi2_baddress0_re) begin + litedramcore_phaseinjector2_baddress_storage[2:0] <= csrbank2_dfii_pi2_baddress0_r; end - main_litedramcore_phaseinjector2_baddress_re <= builder_csrbank2_dfii_pi2_baddress0_re; - if (builder_csrbank2_dfii_pi2_wrdata1_re) begin - main_litedramcore_phaseinjector2_wrdata_storage[63:32] <= builder_csrbank2_dfii_pi2_wrdata1_r; + litedramcore_phaseinjector2_baddress_re <= csrbank2_dfii_pi2_baddress0_re; + if (csrbank2_dfii_pi2_wrdata1_re) begin + litedramcore_phaseinjector2_wrdata_storage[63:32] <= csrbank2_dfii_pi2_wrdata1_r; end - if (builder_csrbank2_dfii_pi2_wrdata0_re) begin - main_litedramcore_phaseinjector2_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi2_wrdata0_r; + if (csrbank2_dfii_pi2_wrdata0_re) begin + litedramcore_phaseinjector2_wrdata_storage[31:0] <= csrbank2_dfii_pi2_wrdata0_r; end - main_litedramcore_phaseinjector2_wrdata_re <= builder_csrbank2_dfii_pi2_wrdata0_re; - main_litedramcore_phaseinjector2_rddata_re <= builder_csrbank2_dfii_pi2_rddata0_re; - if (builder_csrbank2_dfii_pi3_command0_re) begin - main_litedramcore_phaseinjector3_command_storage[5:0] <= builder_csrbank2_dfii_pi3_command0_r; + litedramcore_phaseinjector2_wrdata_re <= csrbank2_dfii_pi2_wrdata0_re; + litedramcore_phaseinjector2_rddata_re <= csrbank2_dfii_pi2_rddata0_re; + if (csrbank2_dfii_pi3_command0_re) begin + litedramcore_phaseinjector3_command_storage[5:0] <= csrbank2_dfii_pi3_command0_r; end - main_litedramcore_phaseinjector3_command_re <= builder_csrbank2_dfii_pi3_command0_re; - if (builder_csrbank2_dfii_pi3_address0_re) begin - main_litedramcore_phaseinjector3_address_storage[14:0] <= builder_csrbank2_dfii_pi3_address0_r; + litedramcore_phaseinjector3_command_re <= csrbank2_dfii_pi3_command0_re; + if (csrbank2_dfii_pi3_address0_re) begin + litedramcore_phaseinjector3_address_storage[14:0] <= csrbank2_dfii_pi3_address0_r; end - main_litedramcore_phaseinjector3_address_re <= builder_csrbank2_dfii_pi3_address0_re; - if (builder_csrbank2_dfii_pi3_baddress0_re) begin - main_litedramcore_phaseinjector3_baddress_storage[2:0] <= builder_csrbank2_dfii_pi3_baddress0_r; + litedramcore_phaseinjector3_address_re <= csrbank2_dfii_pi3_address0_re; + if (csrbank2_dfii_pi3_baddress0_re) begin + litedramcore_phaseinjector3_baddress_storage[2:0] <= csrbank2_dfii_pi3_baddress0_r; end - main_litedramcore_phaseinjector3_baddress_re <= builder_csrbank2_dfii_pi3_baddress0_re; - if (builder_csrbank2_dfii_pi3_wrdata1_re) begin - main_litedramcore_phaseinjector3_wrdata_storage[63:32] <= builder_csrbank2_dfii_pi3_wrdata1_r; + litedramcore_phaseinjector3_baddress_re <= csrbank2_dfii_pi3_baddress0_re; + if (csrbank2_dfii_pi3_wrdata1_re) begin + litedramcore_phaseinjector3_wrdata_storage[63:32] <= csrbank2_dfii_pi3_wrdata1_r; end - if (builder_csrbank2_dfii_pi3_wrdata0_re) begin - main_litedramcore_phaseinjector3_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi3_wrdata0_r; + if (csrbank2_dfii_pi3_wrdata0_re) begin + litedramcore_phaseinjector3_wrdata_storage[31:0] <= csrbank2_dfii_pi3_wrdata0_r; end - main_litedramcore_phaseinjector3_wrdata_re <= builder_csrbank2_dfii_pi3_wrdata0_re; - main_litedramcore_phaseinjector3_rddata_re <= builder_csrbank2_dfii_pi3_rddata0_re; + litedramcore_phaseinjector3_wrdata_re <= csrbank2_dfii_pi3_wrdata0_re; + litedramcore_phaseinjector3_rddata_re <= csrbank2_dfii_pi3_rddata0_re; if (sys_rst) begin - main_k7ddrphy_rst_storage <= 1'd0; - main_k7ddrphy_rst_re <= 1'd0; - main_k7ddrphy_half_sys8x_taps_storage <= 5'd8; - main_k7ddrphy_half_sys8x_taps_re <= 1'd0; - main_k7ddrphy_wlevel_en_storage <= 1'd0; - main_k7ddrphy_wlevel_en_re <= 1'd0; - main_k7ddrphy_dly_sel_storage <= 4'd0; - main_k7ddrphy_dly_sel_re <= 1'd0; - main_k7ddrphy_rdphase_storage <= 2'd1; - main_k7ddrphy_rdphase_re <= 1'd0; - main_k7ddrphy_wrphase_storage <= 2'd2; - main_k7ddrphy_wrphase_re <= 1'd0; - main_k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; - main_k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; - main_k7ddrphy_bitslip0_value0 <= 3'd7; - main_k7ddrphy_bitslip1_value0 <= 3'd7; - main_k7ddrphy_bitslip2_value0 <= 3'd7; - main_k7ddrphy_bitslip3_value0 <= 3'd7; - main_k7ddrphy_bitslip0_value1 <= 3'd7; - main_k7ddrphy_bitslip1_value1 <= 3'd7; - main_k7ddrphy_bitslip2_value1 <= 3'd7; - main_k7ddrphy_bitslip3_value1 <= 3'd7; - main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; - main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; - main_k7ddrphy_bitslip0_value2 <= 3'd7; - main_k7ddrphy_bitslip0_value3 <= 3'd7; - main_k7ddrphy_bitslip1_value2 <= 3'd7; - main_k7ddrphy_bitslip1_value3 <= 3'd7; - main_k7ddrphy_bitslip2_value2 <= 3'd7; - main_k7ddrphy_bitslip2_value3 <= 3'd7; - main_k7ddrphy_bitslip3_value2 <= 3'd7; - main_k7ddrphy_bitslip3_value3 <= 3'd7; - main_k7ddrphy_bitslip4_value0 <= 3'd7; - main_k7ddrphy_bitslip4_value1 <= 3'd7; - main_k7ddrphy_bitslip5_value0 <= 3'd7; - main_k7ddrphy_bitslip5_value1 <= 3'd7; - main_k7ddrphy_bitslip6_value0 <= 3'd7; - main_k7ddrphy_bitslip6_value1 <= 3'd7; - main_k7ddrphy_bitslip7_value0 <= 3'd7; - main_k7ddrphy_bitslip7_value1 <= 3'd7; - main_k7ddrphy_bitslip8_value0 <= 3'd7; - main_k7ddrphy_bitslip8_value1 <= 3'd7; - main_k7ddrphy_bitslip9_value0 <= 3'd7; - main_k7ddrphy_bitslip9_value1 <= 3'd7; - main_k7ddrphy_bitslip10_value0 <= 3'd7; - main_k7ddrphy_bitslip10_value1 <= 3'd7; - main_k7ddrphy_bitslip11_value0 <= 3'd7; - main_k7ddrphy_bitslip11_value1 <= 3'd7; - main_k7ddrphy_bitslip12_value0 <= 3'd7; - main_k7ddrphy_bitslip12_value1 <= 3'd7; - main_k7ddrphy_bitslip13_value0 <= 3'd7; - main_k7ddrphy_bitslip13_value1 <= 3'd7; - main_k7ddrphy_bitslip14_value0 <= 3'd7; - main_k7ddrphy_bitslip14_value1 <= 3'd7; - main_k7ddrphy_bitslip15_value0 <= 3'd7; - main_k7ddrphy_bitslip15_value1 <= 3'd7; - main_k7ddrphy_bitslip16_value0 <= 3'd7; - main_k7ddrphy_bitslip16_value1 <= 3'd7; - main_k7ddrphy_bitslip17_value0 <= 3'd7; - main_k7ddrphy_bitslip17_value1 <= 3'd7; - main_k7ddrphy_bitslip18_value0 <= 3'd7; - main_k7ddrphy_bitslip18_value1 <= 3'd7; - main_k7ddrphy_bitslip19_value0 <= 3'd7; - main_k7ddrphy_bitslip19_value1 <= 3'd7; - main_k7ddrphy_bitslip20_value0 <= 3'd7; - main_k7ddrphy_bitslip20_value1 <= 3'd7; - main_k7ddrphy_bitslip21_value0 <= 3'd7; - main_k7ddrphy_bitslip21_value1 <= 3'd7; - main_k7ddrphy_bitslip22_value0 <= 3'd7; - main_k7ddrphy_bitslip22_value1 <= 3'd7; - main_k7ddrphy_bitslip23_value0 <= 3'd7; - main_k7ddrphy_bitslip23_value1 <= 3'd7; - main_k7ddrphy_bitslip24_value0 <= 3'd7; - main_k7ddrphy_bitslip24_value1 <= 3'd7; - main_k7ddrphy_bitslip25_value0 <= 3'd7; - main_k7ddrphy_bitslip25_value1 <= 3'd7; - main_k7ddrphy_bitslip26_value0 <= 3'd7; - main_k7ddrphy_bitslip26_value1 <= 3'd7; - main_k7ddrphy_bitslip27_value0 <= 3'd7; - main_k7ddrphy_bitslip27_value1 <= 3'd7; - main_k7ddrphy_bitslip28_value0 <= 3'd7; - main_k7ddrphy_bitslip28_value1 <= 3'd7; - main_k7ddrphy_bitslip29_value0 <= 3'd7; - main_k7ddrphy_bitslip29_value1 <= 3'd7; - main_k7ddrphy_bitslip30_value0 <= 3'd7; - main_k7ddrphy_bitslip30_value1 <= 3'd7; - main_k7ddrphy_bitslip31_value0 <= 3'd7; - main_k7ddrphy_bitslip31_value1 <= 3'd7; - main_k7ddrphy_rddata_en_tappeddelayline0 <= 1'd0; - main_k7ddrphy_rddata_en_tappeddelayline1 <= 1'd0; - main_k7ddrphy_rddata_en_tappeddelayline2 <= 1'd0; - main_k7ddrphy_rddata_en_tappeddelayline3 <= 1'd0; - main_k7ddrphy_rddata_en_tappeddelayline4 <= 1'd0; - main_k7ddrphy_rddata_en_tappeddelayline5 <= 1'd0; - main_k7ddrphy_rddata_en_tappeddelayline6 <= 1'd0; - main_k7ddrphy_rddata_en_tappeddelayline7 <= 1'd0; - main_k7ddrphy_wrdata_en_tappeddelayline0 <= 1'd0; - main_k7ddrphy_wrdata_en_tappeddelayline1 <= 1'd0; - main_k7ddrphy_wrdata_en_tappeddelayline2 <= 1'd0; - main_litedramcore_storage <= 4'd1; - main_litedramcore_re <= 1'd0; - main_litedramcore_phaseinjector0_command_storage <= 6'd0; - main_litedramcore_phaseinjector0_command_re <= 1'd0; - main_litedramcore_phaseinjector0_address_re <= 1'd0; - main_litedramcore_phaseinjector0_baddress_re <= 1'd0; - main_litedramcore_phaseinjector0_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector0_rddata_status <= 64'd0; - main_litedramcore_phaseinjector0_rddata_re <= 1'd0; - main_litedramcore_phaseinjector1_command_storage <= 6'd0; - main_litedramcore_phaseinjector1_command_re <= 1'd0; - main_litedramcore_phaseinjector1_address_re <= 1'd0; - main_litedramcore_phaseinjector1_baddress_re <= 1'd0; - main_litedramcore_phaseinjector1_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector1_rddata_status <= 64'd0; - main_litedramcore_phaseinjector1_rddata_re <= 1'd0; - main_litedramcore_phaseinjector2_command_storage <= 6'd0; - main_litedramcore_phaseinjector2_command_re <= 1'd0; - main_litedramcore_phaseinjector2_address_re <= 1'd0; - main_litedramcore_phaseinjector2_baddress_re <= 1'd0; - main_litedramcore_phaseinjector2_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector2_rddata_status <= 64'd0; - main_litedramcore_phaseinjector2_rddata_re <= 1'd0; - main_litedramcore_phaseinjector3_command_storage <= 6'd0; - main_litedramcore_phaseinjector3_command_re <= 1'd0; - main_litedramcore_phaseinjector3_address_re <= 1'd0; - main_litedramcore_phaseinjector3_baddress_re <= 1'd0; - main_litedramcore_phaseinjector3_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector3_rddata_status <= 64'd0; - main_litedramcore_phaseinjector3_rddata_re <= 1'd0; - main_litedramcore_dfi_p0_address <= 15'd0; - main_litedramcore_dfi_p0_bank <= 3'd0; - main_litedramcore_dfi_p0_cas_n <= 1'd1; - main_litedramcore_dfi_p0_cs_n <= 1'd1; - main_litedramcore_dfi_p0_ras_n <= 1'd1; - main_litedramcore_dfi_p0_we_n <= 1'd1; - main_litedramcore_dfi_p0_wrdata_en <= 1'd0; - main_litedramcore_dfi_p0_rddata_en <= 1'd0; - main_litedramcore_dfi_p1_address <= 15'd0; - main_litedramcore_dfi_p1_bank <= 3'd0; - main_litedramcore_dfi_p1_cas_n <= 1'd1; - main_litedramcore_dfi_p1_cs_n <= 1'd1; - main_litedramcore_dfi_p1_ras_n <= 1'd1; - main_litedramcore_dfi_p1_we_n <= 1'd1; - main_litedramcore_dfi_p1_wrdata_en <= 1'd0; - main_litedramcore_dfi_p1_rddata_en <= 1'd0; - main_litedramcore_dfi_p2_address <= 15'd0; - main_litedramcore_dfi_p2_bank <= 3'd0; - main_litedramcore_dfi_p2_cas_n <= 1'd1; - main_litedramcore_dfi_p2_cs_n <= 1'd1; - main_litedramcore_dfi_p2_ras_n <= 1'd1; - main_litedramcore_dfi_p2_we_n <= 1'd1; - main_litedramcore_dfi_p2_wrdata_en <= 1'd0; - main_litedramcore_dfi_p2_rddata_en <= 1'd0; - main_litedramcore_dfi_p3_address <= 15'd0; - main_litedramcore_dfi_p3_bank <= 3'd0; - main_litedramcore_dfi_p3_cas_n <= 1'd1; - main_litedramcore_dfi_p3_cs_n <= 1'd1; - main_litedramcore_dfi_p3_ras_n <= 1'd1; - main_litedramcore_dfi_p3_we_n <= 1'd1; - main_litedramcore_dfi_p3_wrdata_en <= 1'd0; - main_litedramcore_dfi_p3_rddata_en <= 1'd0; - main_litedramcore_cmd_payload_a <= 15'd0; - main_litedramcore_cmd_payload_ba <= 3'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_timer_count1 <= 10'd781; - main_litedramcore_postponer_req_o <= 1'd0; - main_litedramcore_postponer_count <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd0; - main_litedramcore_sequencer_counter <= 6'd0; - main_litedramcore_sequencer_count <= 1'd0; - main_litedramcore_zqcs_timer_count1 <= 27'd99999999; - main_litedramcore_zqcs_executer_done <= 1'd0; - main_litedramcore_zqcs_executer_counter <= 5'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine0_row <= 15'd0; - main_litedramcore_bankmachine0_row_opened <= 1'd0; - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine0_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine0_trccon_ready <= 1'd0; - main_litedramcore_bankmachine0_trccon_count <= 3'd0; - main_litedramcore_bankmachine0_trascon_ready <= 1'd0; - main_litedramcore_bankmachine0_trascon_count <= 3'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine1_row <= 15'd0; - main_litedramcore_bankmachine1_row_opened <= 1'd0; - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine1_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine1_trccon_ready <= 1'd0; - main_litedramcore_bankmachine1_trccon_count <= 3'd0; - main_litedramcore_bankmachine1_trascon_ready <= 1'd0; - main_litedramcore_bankmachine1_trascon_count <= 3'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine2_row <= 15'd0; - main_litedramcore_bankmachine2_row_opened <= 1'd0; - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine2_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine2_trccon_ready <= 1'd0; - main_litedramcore_bankmachine2_trccon_count <= 3'd0; - main_litedramcore_bankmachine2_trascon_ready <= 1'd0; - main_litedramcore_bankmachine2_trascon_count <= 3'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine3_row <= 15'd0; - main_litedramcore_bankmachine3_row_opened <= 1'd0; - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine3_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine3_trccon_ready <= 1'd0; - main_litedramcore_bankmachine3_trccon_count <= 3'd0; - main_litedramcore_bankmachine3_trascon_ready <= 1'd0; - main_litedramcore_bankmachine3_trascon_count <= 3'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine4_row <= 15'd0; - main_litedramcore_bankmachine4_row_opened <= 1'd0; - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine4_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine4_trccon_ready <= 1'd0; - main_litedramcore_bankmachine4_trccon_count <= 3'd0; - main_litedramcore_bankmachine4_trascon_ready <= 1'd0; - main_litedramcore_bankmachine4_trascon_count <= 3'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine5_row <= 15'd0; - main_litedramcore_bankmachine5_row_opened <= 1'd0; - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine5_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine5_trccon_ready <= 1'd0; - main_litedramcore_bankmachine5_trccon_count <= 3'd0; - main_litedramcore_bankmachine5_trascon_ready <= 1'd0; - main_litedramcore_bankmachine5_trascon_count <= 3'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine6_row <= 15'd0; - main_litedramcore_bankmachine6_row_opened <= 1'd0; - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine6_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine6_trccon_ready <= 1'd0; - main_litedramcore_bankmachine6_trccon_count <= 3'd0; - main_litedramcore_bankmachine6_trascon_ready <= 1'd0; - main_litedramcore_bankmachine6_trascon_count <= 3'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine7_row <= 15'd0; - main_litedramcore_bankmachine7_row_opened <= 1'd0; - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine7_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine7_trccon_ready <= 1'd0; - main_litedramcore_bankmachine7_trccon_count <= 3'd0; - main_litedramcore_bankmachine7_trascon_ready <= 1'd0; - main_litedramcore_bankmachine7_trascon_count <= 3'd0; - main_litedramcore_choose_cmd_grant <= 3'd0; - main_litedramcore_choose_req_grant <= 3'd0; - main_litedramcore_trrdcon_ready <= 1'd0; - main_litedramcore_trrdcon_count <= 1'd0; - main_litedramcore_tfawcon_ready <= 1'd1; - main_litedramcore_tfawcon_window <= 5'd0; - main_litedramcore_tccdcon_ready <= 1'd0; - main_litedramcore_tccdcon_count <= 1'd0; - main_litedramcore_twtrcon_ready <= 1'd0; - main_litedramcore_twtrcon_count <= 3'd0; - main_litedramcore_time0 <= 5'd0; - main_litedramcore_time1 <= 4'd0; - main_init_done_storage <= 1'd0; - main_init_done_re <= 1'd0; - main_init_error_storage <= 1'd0; - main_init_error_re <= 1'd0; - builder_refresher_state <= 2'd0; - builder_bankmachine0_state <= 4'd0; - builder_bankmachine1_state <= 4'd0; - builder_bankmachine2_state <= 4'd0; - builder_bankmachine3_state <= 4'd0; - builder_bankmachine4_state <= 4'd0; - builder_bankmachine5_state <= 4'd0; - builder_bankmachine6_state <= 4'd0; - builder_bankmachine7_state <= 4'd0; - builder_multiplexer_state <= 4'd0; - builder_new_master_wdata_ready0 <= 1'd0; - builder_new_master_wdata_ready1 <= 1'd0; - builder_new_master_rdata_valid0 <= 1'd0; - builder_new_master_rdata_valid1 <= 1'd0; - builder_new_master_rdata_valid2 <= 1'd0; - builder_new_master_rdata_valid3 <= 1'd0; - builder_new_master_rdata_valid4 <= 1'd0; - builder_new_master_rdata_valid5 <= 1'd0; - builder_new_master_rdata_valid6 <= 1'd0; - builder_new_master_rdata_valid7 <= 1'd0; - builder_new_master_rdata_valid8 <= 1'd0; - builder_litedramcore_we <= 1'd0; - builder_state <= 2'd0; + k7ddrphy_rst_storage <= 1'd0; + k7ddrphy_rst_re <= 1'd0; + k7ddrphy_dly_sel_storage <= 4'd0; + k7ddrphy_dly_sel_re <= 1'd0; + k7ddrphy_half_sys8x_taps_storage <= 5'd8; + k7ddrphy_half_sys8x_taps_re <= 1'd0; + k7ddrphy_wlevel_en_storage <= 1'd0; + k7ddrphy_wlevel_en_re <= 1'd0; + k7ddrphy_rdphase_storage <= 2'd1; + k7ddrphy_rdphase_re <= 1'd0; + k7ddrphy_wrphase_storage <= 2'd2; + k7ddrphy_wrphase_re <= 1'd0; + k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; + k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; + k7ddrphy_bitslip0_value0 <= 3'd7; + k7ddrphy_bitslip1_value0 <= 3'd7; + k7ddrphy_bitslip2_value0 <= 3'd7; + k7ddrphy_bitslip3_value0 <= 3'd7; + k7ddrphy_bitslip0_value1 <= 3'd7; + k7ddrphy_bitslip1_value1 <= 3'd7; + k7ddrphy_bitslip2_value1 <= 3'd7; + k7ddrphy_bitslip3_value1 <= 3'd7; + k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; + k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; + k7ddrphy_bitslip0_value2 <= 3'd7; + k7ddrphy_bitslip0_value3 <= 3'd7; + k7ddrphy_bitslip1_value2 <= 3'd7; + k7ddrphy_bitslip1_value3 <= 3'd7; + k7ddrphy_bitslip2_value2 <= 3'd7; + k7ddrphy_bitslip2_value3 <= 3'd7; + k7ddrphy_bitslip3_value2 <= 3'd7; + k7ddrphy_bitslip3_value3 <= 3'd7; + k7ddrphy_bitslip4_value0 <= 3'd7; + k7ddrphy_bitslip4_value1 <= 3'd7; + k7ddrphy_bitslip5_value0 <= 3'd7; + k7ddrphy_bitslip5_value1 <= 3'd7; + k7ddrphy_bitslip6_value0 <= 3'd7; + k7ddrphy_bitslip6_value1 <= 3'd7; + k7ddrphy_bitslip7_value0 <= 3'd7; + k7ddrphy_bitslip7_value1 <= 3'd7; + k7ddrphy_bitslip8_value0 <= 3'd7; + k7ddrphy_bitslip8_value1 <= 3'd7; + k7ddrphy_bitslip9_value0 <= 3'd7; + k7ddrphy_bitslip9_value1 <= 3'd7; + k7ddrphy_bitslip10_value0 <= 3'd7; + k7ddrphy_bitslip10_value1 <= 3'd7; + k7ddrphy_bitslip11_value0 <= 3'd7; + k7ddrphy_bitslip11_value1 <= 3'd7; + k7ddrphy_bitslip12_value0 <= 3'd7; + k7ddrphy_bitslip12_value1 <= 3'd7; + k7ddrphy_bitslip13_value0 <= 3'd7; + k7ddrphy_bitslip13_value1 <= 3'd7; + k7ddrphy_bitslip14_value0 <= 3'd7; + k7ddrphy_bitslip14_value1 <= 3'd7; + k7ddrphy_bitslip15_value0 <= 3'd7; + k7ddrphy_bitslip15_value1 <= 3'd7; + k7ddrphy_bitslip16_value0 <= 3'd7; + k7ddrphy_bitslip16_value1 <= 3'd7; + k7ddrphy_bitslip17_value0 <= 3'd7; + k7ddrphy_bitslip17_value1 <= 3'd7; + k7ddrphy_bitslip18_value0 <= 3'd7; + k7ddrphy_bitslip18_value1 <= 3'd7; + k7ddrphy_bitslip19_value0 <= 3'd7; + k7ddrphy_bitslip19_value1 <= 3'd7; + k7ddrphy_bitslip20_value0 <= 3'd7; + k7ddrphy_bitslip20_value1 <= 3'd7; + k7ddrphy_bitslip21_value0 <= 3'd7; + k7ddrphy_bitslip21_value1 <= 3'd7; + k7ddrphy_bitslip22_value0 <= 3'd7; + k7ddrphy_bitslip22_value1 <= 3'd7; + k7ddrphy_bitslip23_value0 <= 3'd7; + k7ddrphy_bitslip23_value1 <= 3'd7; + k7ddrphy_bitslip24_value0 <= 3'd7; + k7ddrphy_bitslip24_value1 <= 3'd7; + k7ddrphy_bitslip25_value0 <= 3'd7; + k7ddrphy_bitslip25_value1 <= 3'd7; + k7ddrphy_bitslip26_value0 <= 3'd7; + k7ddrphy_bitslip26_value1 <= 3'd7; + k7ddrphy_bitslip27_value0 <= 3'd7; + k7ddrphy_bitslip27_value1 <= 3'd7; + k7ddrphy_bitslip28_value0 <= 3'd7; + k7ddrphy_bitslip28_value1 <= 3'd7; + k7ddrphy_bitslip29_value0 <= 3'd7; + k7ddrphy_bitslip29_value1 <= 3'd7; + k7ddrphy_bitslip30_value0 <= 3'd7; + k7ddrphy_bitslip30_value1 <= 3'd7; + k7ddrphy_bitslip31_value0 <= 3'd7; + k7ddrphy_bitslip31_value1 <= 3'd7; + k7ddrphy_rddata_en_tappeddelayline0 <= 1'd0; + k7ddrphy_rddata_en_tappeddelayline1 <= 1'd0; + k7ddrphy_rddata_en_tappeddelayline2 <= 1'd0; + k7ddrphy_rddata_en_tappeddelayline3 <= 1'd0; + k7ddrphy_rddata_en_tappeddelayline4 <= 1'd0; + k7ddrphy_rddata_en_tappeddelayline5 <= 1'd0; + k7ddrphy_rddata_en_tappeddelayline6 <= 1'd0; + k7ddrphy_rddata_en_tappeddelayline7 <= 1'd0; + k7ddrphy_wrdata_en_tappeddelayline0 <= 1'd0; + k7ddrphy_wrdata_en_tappeddelayline1 <= 1'd0; + k7ddrphy_wrdata_en_tappeddelayline2 <= 1'd0; + litedramcore_storage <= 4'd1; + litedramcore_re <= 1'd0; + litedramcore_phaseinjector0_command_storage <= 6'd0; + litedramcore_phaseinjector0_command_re <= 1'd0; + litedramcore_phaseinjector0_address_re <= 1'd0; + litedramcore_phaseinjector0_baddress_re <= 1'd0; + litedramcore_phaseinjector0_wrdata_re <= 1'd0; + litedramcore_phaseinjector0_rddata_status <= 64'd0; + litedramcore_phaseinjector0_rddata_re <= 1'd0; + litedramcore_phaseinjector1_command_storage <= 6'd0; + litedramcore_phaseinjector1_command_re <= 1'd0; + litedramcore_phaseinjector1_address_re <= 1'd0; + litedramcore_phaseinjector1_baddress_re <= 1'd0; + litedramcore_phaseinjector1_wrdata_re <= 1'd0; + litedramcore_phaseinjector1_rddata_status <= 64'd0; + litedramcore_phaseinjector1_rddata_re <= 1'd0; + litedramcore_phaseinjector2_command_storage <= 6'd0; + litedramcore_phaseinjector2_command_re <= 1'd0; + litedramcore_phaseinjector2_address_re <= 1'd0; + litedramcore_phaseinjector2_baddress_re <= 1'd0; + litedramcore_phaseinjector2_wrdata_re <= 1'd0; + litedramcore_phaseinjector2_rddata_status <= 64'd0; + litedramcore_phaseinjector2_rddata_re <= 1'd0; + litedramcore_phaseinjector3_command_storage <= 6'd0; + litedramcore_phaseinjector3_command_re <= 1'd0; + litedramcore_phaseinjector3_address_re <= 1'd0; + litedramcore_phaseinjector3_baddress_re <= 1'd0; + litedramcore_phaseinjector3_wrdata_re <= 1'd0; + litedramcore_phaseinjector3_rddata_status <= 64'd0; + litedramcore_phaseinjector3_rddata_re <= 1'd0; + litedramcore_dfi_p0_address <= 15'd0; + litedramcore_dfi_p0_bank <= 3'd0; + litedramcore_dfi_p0_cas_n <= 1'd1; + litedramcore_dfi_p0_cs_n <= 1'd1; + litedramcore_dfi_p0_ras_n <= 1'd1; + litedramcore_dfi_p0_we_n <= 1'd1; + litedramcore_dfi_p0_wrdata_en <= 1'd0; + litedramcore_dfi_p0_rddata_en <= 1'd0; + litedramcore_dfi_p1_address <= 15'd0; + litedramcore_dfi_p1_bank <= 3'd0; + litedramcore_dfi_p1_cas_n <= 1'd1; + litedramcore_dfi_p1_cs_n <= 1'd1; + litedramcore_dfi_p1_ras_n <= 1'd1; + litedramcore_dfi_p1_we_n <= 1'd1; + litedramcore_dfi_p1_wrdata_en <= 1'd0; + litedramcore_dfi_p1_rddata_en <= 1'd0; + litedramcore_dfi_p2_address <= 15'd0; + litedramcore_dfi_p2_bank <= 3'd0; + litedramcore_dfi_p2_cas_n <= 1'd1; + litedramcore_dfi_p2_cs_n <= 1'd1; + litedramcore_dfi_p2_ras_n <= 1'd1; + litedramcore_dfi_p2_we_n <= 1'd1; + litedramcore_dfi_p2_wrdata_en <= 1'd0; + litedramcore_dfi_p2_rddata_en <= 1'd0; + litedramcore_dfi_p3_address <= 15'd0; + litedramcore_dfi_p3_bank <= 3'd0; + litedramcore_dfi_p3_cas_n <= 1'd1; + litedramcore_dfi_p3_cs_n <= 1'd1; + litedramcore_dfi_p3_ras_n <= 1'd1; + litedramcore_dfi_p3_we_n <= 1'd1; + litedramcore_dfi_p3_wrdata_en <= 1'd0; + litedramcore_dfi_p3_rddata_en <= 1'd0; + litedramcore_cmd_payload_a <= 15'd0; + litedramcore_cmd_payload_ba <= 3'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_timer_count1 <= 10'd781; + litedramcore_postponer_req_o <= 1'd0; + litedramcore_postponer_count <= 1'd0; + litedramcore_sequencer_done1 <= 1'd0; + litedramcore_sequencer_counter <= 6'd0; + litedramcore_sequencer_count <= 1'd0; + litedramcore_zqcs_timer_count1 <= 27'd99999999; + litedramcore_zqcs_executer_done <= 1'd0; + litedramcore_zqcs_executer_counter <= 5'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine0_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine0_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine0_row <= 15'd0; + litedramcore_bankmachine0_row_opened <= 1'd0; + litedramcore_bankmachine0_twtpcon_ready <= 1'd0; + litedramcore_bankmachine0_twtpcon_count <= 3'd0; + litedramcore_bankmachine0_trccon_ready <= 1'd0; + litedramcore_bankmachine0_trccon_count <= 3'd0; + litedramcore_bankmachine0_trascon_ready <= 1'd0; + litedramcore_bankmachine0_trascon_count <= 3'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine1_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine1_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine1_row <= 15'd0; + litedramcore_bankmachine1_row_opened <= 1'd0; + litedramcore_bankmachine1_twtpcon_ready <= 1'd0; + litedramcore_bankmachine1_twtpcon_count <= 3'd0; + litedramcore_bankmachine1_trccon_ready <= 1'd0; + litedramcore_bankmachine1_trccon_count <= 3'd0; + litedramcore_bankmachine1_trascon_ready <= 1'd0; + litedramcore_bankmachine1_trascon_count <= 3'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine2_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine2_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine2_row <= 15'd0; + litedramcore_bankmachine2_row_opened <= 1'd0; + litedramcore_bankmachine2_twtpcon_ready <= 1'd0; + litedramcore_bankmachine2_twtpcon_count <= 3'd0; + litedramcore_bankmachine2_trccon_ready <= 1'd0; + litedramcore_bankmachine2_trccon_count <= 3'd0; + litedramcore_bankmachine2_trascon_ready <= 1'd0; + litedramcore_bankmachine2_trascon_count <= 3'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine3_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine3_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine3_row <= 15'd0; + litedramcore_bankmachine3_row_opened <= 1'd0; + litedramcore_bankmachine3_twtpcon_ready <= 1'd0; + litedramcore_bankmachine3_twtpcon_count <= 3'd0; + litedramcore_bankmachine3_trccon_ready <= 1'd0; + litedramcore_bankmachine3_trccon_count <= 3'd0; + litedramcore_bankmachine3_trascon_ready <= 1'd0; + litedramcore_bankmachine3_trascon_count <= 3'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine4_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine4_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine4_row <= 15'd0; + litedramcore_bankmachine4_row_opened <= 1'd0; + litedramcore_bankmachine4_twtpcon_ready <= 1'd0; + litedramcore_bankmachine4_twtpcon_count <= 3'd0; + litedramcore_bankmachine4_trccon_ready <= 1'd0; + litedramcore_bankmachine4_trccon_count <= 3'd0; + litedramcore_bankmachine4_trascon_ready <= 1'd0; + litedramcore_bankmachine4_trascon_count <= 3'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine5_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine5_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine5_row <= 15'd0; + litedramcore_bankmachine5_row_opened <= 1'd0; + litedramcore_bankmachine5_twtpcon_ready <= 1'd0; + litedramcore_bankmachine5_twtpcon_count <= 3'd0; + litedramcore_bankmachine5_trccon_ready <= 1'd0; + litedramcore_bankmachine5_trccon_count <= 3'd0; + litedramcore_bankmachine5_trascon_ready <= 1'd0; + litedramcore_bankmachine5_trascon_count <= 3'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine6_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine6_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine6_row <= 15'd0; + litedramcore_bankmachine6_row_opened <= 1'd0; + litedramcore_bankmachine6_twtpcon_ready <= 1'd0; + litedramcore_bankmachine6_twtpcon_count <= 3'd0; + litedramcore_bankmachine6_trccon_ready <= 1'd0; + litedramcore_bankmachine6_trccon_count <= 3'd0; + litedramcore_bankmachine6_trascon_ready <= 1'd0; + litedramcore_bankmachine6_trascon_count <= 3'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine7_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine7_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine7_row <= 15'd0; + litedramcore_bankmachine7_row_opened <= 1'd0; + litedramcore_bankmachine7_twtpcon_ready <= 1'd0; + litedramcore_bankmachine7_twtpcon_count <= 3'd0; + litedramcore_bankmachine7_trccon_ready <= 1'd0; + litedramcore_bankmachine7_trccon_count <= 3'd0; + litedramcore_bankmachine7_trascon_ready <= 1'd0; + litedramcore_bankmachine7_trascon_count <= 3'd0; + litedramcore_choose_cmd_grant <= 3'd0; + litedramcore_choose_req_grant <= 3'd0; + litedramcore_trrdcon_ready <= 1'd0; + litedramcore_trrdcon_count <= 1'd0; + litedramcore_tfawcon_ready <= 1'd1; + litedramcore_tfawcon_window <= 5'd0; + litedramcore_tccdcon_ready <= 1'd0; + litedramcore_tccdcon_count <= 1'd0; + litedramcore_twtrcon_ready <= 1'd0; + litedramcore_twtrcon_count <= 3'd0; + litedramcore_time0 <= 5'd0; + litedramcore_time1 <= 4'd0; + init_done_storage <= 1'd0; + init_done_re <= 1'd0; + init_error_storage <= 1'd0; + init_error_re <= 1'd0; + litedramcore_we <= 1'd0; + litedramcore_refresher_state <= 2'd0; + litedramcore_bankmachine0_state <= 4'd0; + litedramcore_bankmachine1_state <= 4'd0; + litedramcore_bankmachine2_state <= 4'd0; + litedramcore_bankmachine3_state <= 4'd0; + litedramcore_bankmachine4_state <= 4'd0; + litedramcore_bankmachine5_state <= 4'd0; + litedramcore_bankmachine6_state <= 4'd0; + litedramcore_bankmachine7_state <= 4'd0; + litedramcore_multiplexer_state <= 4'd0; + litedramcore_new_master_wdata_ready0 <= 1'd0; + litedramcore_new_master_wdata_ready1 <= 1'd0; + litedramcore_new_master_rdata_valid0 <= 1'd0; + litedramcore_new_master_rdata_valid1 <= 1'd0; + litedramcore_new_master_rdata_valid2 <= 1'd0; + litedramcore_new_master_rdata_valid3 <= 1'd0; + litedramcore_new_master_rdata_valid4 <= 1'd0; + litedramcore_new_master_rdata_valid5 <= 1'd0; + litedramcore_new_master_rdata_valid6 <= 1'd0; + litedramcore_new_master_rdata_valid7 <= 1'd0; + litedramcore_new_master_rdata_valid8 <= 1'd0; + litedramcore_state <= 2'd0; end end @@ -15471,28 +15914,28 @@ end //------------------------------------------------------------------------------ BUFG BUFG( - .I(main_clkout0), - .O(main_clkout_buf0) + .I(clkout0), + .O(clkout_buf0) ); BUFG BUFG_1( - .I(main_clkout1), - .O(main_clkout_buf1) + .I(clkout1), + .O(clkout_buf1) ); BUFG BUFG_2( - .I(main_clkout2), - .O(main_clkout_buf2) + .I(clkout2), + .O(clkout_buf2) ); BUFG BUFG_3( - .I(main_clkout3), - .O(main_clkout_buf3) + .I(clkout3), + .O(clkout_buf3) ); IDELAYCTRL IDELAYCTRL( .REFCLK(iodelay_clk), - .RST(main_ic_reset) + .RST(ic_reset) ); OSERDESE2 #( @@ -15513,8 +15956,8 @@ OSERDESE2 #( .D7(1'd0), .D8(1'd1), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_sd_clk_se_nodelay) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_sd_clk_se_nodelay) ); ODELAYE2 #( @@ -15528,16 +15971,16 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_sd_clk_se_delayed), - .ODATAIN(main_k7ddrphy_sd_clk_se_nodelay) + .DATAOUT(k7ddrphy_sd_clk_se_delayed), + .ODATAIN(k7ddrphy_sd_clk_se_nodelay) ); OBUFDS OBUFDS( - .I(main_k7ddrphy_sd_clk_se_delayed), + .I(k7ddrphy_sd_clk_se_delayed), .O(ddram_clk_p), .OB(ddram_clk_n) ); @@ -15551,17 +15994,17 @@ OSERDESE2 #( ) OSERDESE2_1 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_reset_n), - .D2(main_k7ddrphy_dfi_p0_reset_n), - .D3(main_k7ddrphy_dfi_p1_reset_n), - .D4(main_k7ddrphy_dfi_p1_reset_n), - .D5(main_k7ddrphy_dfi_p2_reset_n), - .D6(main_k7ddrphy_dfi_p2_reset_n), - .D7(main_k7ddrphy_dfi_p3_reset_n), - .D8(main_k7ddrphy_dfi_p3_reset_n), + .D1(k7ddrphy_dfi_p0_reset_n), + .D2(k7ddrphy_dfi_p0_reset_n), + .D3(k7ddrphy_dfi_p1_reset_n), + .D4(k7ddrphy_dfi_p1_reset_n), + .D5(k7ddrphy_dfi_p2_reset_n), + .D6(k7ddrphy_dfi_p2_reset_n), + .D7(k7ddrphy_dfi_p3_reset_n), + .D8(k7ddrphy_dfi_p3_reset_n), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq0) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq0) ); ODELAYE2 #( @@ -15575,12 +16018,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_1 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_reset_n), - .ODATAIN(main_k7ddrphy_oq0) + .ODATAIN(k7ddrphy_oq0) ); OSERDESE2 #( @@ -15592,17 +16035,17 @@ OSERDESE2 #( ) OSERDESE2_2 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_cs_n), - .D2(main_k7ddrphy_dfi_p0_cs_n), - .D3(main_k7ddrphy_dfi_p1_cs_n), - .D4(main_k7ddrphy_dfi_p1_cs_n), - .D5(main_k7ddrphy_dfi_p2_cs_n), - .D6(main_k7ddrphy_dfi_p2_cs_n), - .D7(main_k7ddrphy_dfi_p3_cs_n), - .D8(main_k7ddrphy_dfi_p3_cs_n), + .D1(k7ddrphy_dfi_p0_cs_n), + .D2(k7ddrphy_dfi_p0_cs_n), + .D3(k7ddrphy_dfi_p1_cs_n), + .D4(k7ddrphy_dfi_p1_cs_n), + .D5(k7ddrphy_dfi_p2_cs_n), + .D6(k7ddrphy_dfi_p2_cs_n), + .D7(k7ddrphy_dfi_p3_cs_n), + .D8(k7ddrphy_dfi_p3_cs_n), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq1) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq1) ); ODELAYE2 #( @@ -15616,12 +16059,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_2 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_cs_n), - .ODATAIN(main_k7ddrphy_oq1) + .ODATAIN(k7ddrphy_oq1) ); OSERDESE2 #( @@ -15633,17 +16076,17 @@ OSERDESE2 #( ) OSERDESE2_3 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[0]), - .D2(main_k7ddrphy_dfi_p0_address[0]), - .D3(main_k7ddrphy_dfi_p1_address[0]), - .D4(main_k7ddrphy_dfi_p1_address[0]), - .D5(main_k7ddrphy_dfi_p2_address[0]), - .D6(main_k7ddrphy_dfi_p2_address[0]), - .D7(main_k7ddrphy_dfi_p3_address[0]), - .D8(main_k7ddrphy_dfi_p3_address[0]), + .D1(k7ddrphy_dfi_p0_address[0]), + .D2(k7ddrphy_dfi_p0_address[0]), + .D3(k7ddrphy_dfi_p1_address[0]), + .D4(k7ddrphy_dfi_p1_address[0]), + .D5(k7ddrphy_dfi_p2_address[0]), + .D6(k7ddrphy_dfi_p2_address[0]), + .D7(k7ddrphy_dfi_p3_address[0]), + .D8(k7ddrphy_dfi_p3_address[0]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq2) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq2) ); ODELAYE2 #( @@ -15657,12 +16100,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_3 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[0]), - .ODATAIN(main_k7ddrphy_oq2) + .ODATAIN(k7ddrphy_oq2) ); OSERDESE2 #( @@ -15674,17 +16117,17 @@ OSERDESE2 #( ) OSERDESE2_4 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[1]), - .D2(main_k7ddrphy_dfi_p0_address[1]), - .D3(main_k7ddrphy_dfi_p1_address[1]), - .D4(main_k7ddrphy_dfi_p1_address[1]), - .D5(main_k7ddrphy_dfi_p2_address[1]), - .D6(main_k7ddrphy_dfi_p2_address[1]), - .D7(main_k7ddrphy_dfi_p3_address[1]), - .D8(main_k7ddrphy_dfi_p3_address[1]), + .D1(k7ddrphy_dfi_p0_address[1]), + .D2(k7ddrphy_dfi_p0_address[1]), + .D3(k7ddrphy_dfi_p1_address[1]), + .D4(k7ddrphy_dfi_p1_address[1]), + .D5(k7ddrphy_dfi_p2_address[1]), + .D6(k7ddrphy_dfi_p2_address[1]), + .D7(k7ddrphy_dfi_p3_address[1]), + .D8(k7ddrphy_dfi_p3_address[1]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq3) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq3) ); ODELAYE2 #( @@ -15698,12 +16141,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_4 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[1]), - .ODATAIN(main_k7ddrphy_oq3) + .ODATAIN(k7ddrphy_oq3) ); OSERDESE2 #( @@ -15715,17 +16158,17 @@ OSERDESE2 #( ) OSERDESE2_5 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[2]), - .D2(main_k7ddrphy_dfi_p0_address[2]), - .D3(main_k7ddrphy_dfi_p1_address[2]), - .D4(main_k7ddrphy_dfi_p1_address[2]), - .D5(main_k7ddrphy_dfi_p2_address[2]), - .D6(main_k7ddrphy_dfi_p2_address[2]), - .D7(main_k7ddrphy_dfi_p3_address[2]), - .D8(main_k7ddrphy_dfi_p3_address[2]), + .D1(k7ddrphy_dfi_p0_address[2]), + .D2(k7ddrphy_dfi_p0_address[2]), + .D3(k7ddrphy_dfi_p1_address[2]), + .D4(k7ddrphy_dfi_p1_address[2]), + .D5(k7ddrphy_dfi_p2_address[2]), + .D6(k7ddrphy_dfi_p2_address[2]), + .D7(k7ddrphy_dfi_p3_address[2]), + .D8(k7ddrphy_dfi_p3_address[2]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq4) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq4) ); ODELAYE2 #( @@ -15739,12 +16182,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_5 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[2]), - .ODATAIN(main_k7ddrphy_oq4) + .ODATAIN(k7ddrphy_oq4) ); OSERDESE2 #( @@ -15756,17 +16199,17 @@ OSERDESE2 #( ) OSERDESE2_6 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[3]), - .D2(main_k7ddrphy_dfi_p0_address[3]), - .D3(main_k7ddrphy_dfi_p1_address[3]), - .D4(main_k7ddrphy_dfi_p1_address[3]), - .D5(main_k7ddrphy_dfi_p2_address[3]), - .D6(main_k7ddrphy_dfi_p2_address[3]), - .D7(main_k7ddrphy_dfi_p3_address[3]), - .D8(main_k7ddrphy_dfi_p3_address[3]), + .D1(k7ddrphy_dfi_p0_address[3]), + .D2(k7ddrphy_dfi_p0_address[3]), + .D3(k7ddrphy_dfi_p1_address[3]), + .D4(k7ddrphy_dfi_p1_address[3]), + .D5(k7ddrphy_dfi_p2_address[3]), + .D6(k7ddrphy_dfi_p2_address[3]), + .D7(k7ddrphy_dfi_p3_address[3]), + .D8(k7ddrphy_dfi_p3_address[3]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq5) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq5) ); ODELAYE2 #( @@ -15780,12 +16223,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_6 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[3]), - .ODATAIN(main_k7ddrphy_oq5) + .ODATAIN(k7ddrphy_oq5) ); OSERDESE2 #( @@ -15797,17 +16240,17 @@ OSERDESE2 #( ) OSERDESE2_7 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[4]), - .D2(main_k7ddrphy_dfi_p0_address[4]), - .D3(main_k7ddrphy_dfi_p1_address[4]), - .D4(main_k7ddrphy_dfi_p1_address[4]), - .D5(main_k7ddrphy_dfi_p2_address[4]), - .D6(main_k7ddrphy_dfi_p2_address[4]), - .D7(main_k7ddrphy_dfi_p3_address[4]), - .D8(main_k7ddrphy_dfi_p3_address[4]), + .D1(k7ddrphy_dfi_p0_address[4]), + .D2(k7ddrphy_dfi_p0_address[4]), + .D3(k7ddrphy_dfi_p1_address[4]), + .D4(k7ddrphy_dfi_p1_address[4]), + .D5(k7ddrphy_dfi_p2_address[4]), + .D6(k7ddrphy_dfi_p2_address[4]), + .D7(k7ddrphy_dfi_p3_address[4]), + .D8(k7ddrphy_dfi_p3_address[4]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq6) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq6) ); ODELAYE2 #( @@ -15821,12 +16264,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_7 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[4]), - .ODATAIN(main_k7ddrphy_oq6) + .ODATAIN(k7ddrphy_oq6) ); OSERDESE2 #( @@ -15838,17 +16281,17 @@ OSERDESE2 #( ) OSERDESE2_8 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[5]), - .D2(main_k7ddrphy_dfi_p0_address[5]), - .D3(main_k7ddrphy_dfi_p1_address[5]), - .D4(main_k7ddrphy_dfi_p1_address[5]), - .D5(main_k7ddrphy_dfi_p2_address[5]), - .D6(main_k7ddrphy_dfi_p2_address[5]), - .D7(main_k7ddrphy_dfi_p3_address[5]), - .D8(main_k7ddrphy_dfi_p3_address[5]), + .D1(k7ddrphy_dfi_p0_address[5]), + .D2(k7ddrphy_dfi_p0_address[5]), + .D3(k7ddrphy_dfi_p1_address[5]), + .D4(k7ddrphy_dfi_p1_address[5]), + .D5(k7ddrphy_dfi_p2_address[5]), + .D6(k7ddrphy_dfi_p2_address[5]), + .D7(k7ddrphy_dfi_p3_address[5]), + .D8(k7ddrphy_dfi_p3_address[5]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq7) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq7) ); ODELAYE2 #( @@ -15862,12 +16305,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_8 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[5]), - .ODATAIN(main_k7ddrphy_oq7) + .ODATAIN(k7ddrphy_oq7) ); OSERDESE2 #( @@ -15879,17 +16322,17 @@ OSERDESE2 #( ) OSERDESE2_9 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[6]), - .D2(main_k7ddrphy_dfi_p0_address[6]), - .D3(main_k7ddrphy_dfi_p1_address[6]), - .D4(main_k7ddrphy_dfi_p1_address[6]), - .D5(main_k7ddrphy_dfi_p2_address[6]), - .D6(main_k7ddrphy_dfi_p2_address[6]), - .D7(main_k7ddrphy_dfi_p3_address[6]), - .D8(main_k7ddrphy_dfi_p3_address[6]), + .D1(k7ddrphy_dfi_p0_address[6]), + .D2(k7ddrphy_dfi_p0_address[6]), + .D3(k7ddrphy_dfi_p1_address[6]), + .D4(k7ddrphy_dfi_p1_address[6]), + .D5(k7ddrphy_dfi_p2_address[6]), + .D6(k7ddrphy_dfi_p2_address[6]), + .D7(k7ddrphy_dfi_p3_address[6]), + .D8(k7ddrphy_dfi_p3_address[6]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq8) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq8) ); ODELAYE2 #( @@ -15903,12 +16346,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_9 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[6]), - .ODATAIN(main_k7ddrphy_oq8) + .ODATAIN(k7ddrphy_oq8) ); OSERDESE2 #( @@ -15920,17 +16363,17 @@ OSERDESE2 #( ) OSERDESE2_10 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[7]), - .D2(main_k7ddrphy_dfi_p0_address[7]), - .D3(main_k7ddrphy_dfi_p1_address[7]), - .D4(main_k7ddrphy_dfi_p1_address[7]), - .D5(main_k7ddrphy_dfi_p2_address[7]), - .D6(main_k7ddrphy_dfi_p2_address[7]), - .D7(main_k7ddrphy_dfi_p3_address[7]), - .D8(main_k7ddrphy_dfi_p3_address[7]), + .D1(k7ddrphy_dfi_p0_address[7]), + .D2(k7ddrphy_dfi_p0_address[7]), + .D3(k7ddrphy_dfi_p1_address[7]), + .D4(k7ddrphy_dfi_p1_address[7]), + .D5(k7ddrphy_dfi_p2_address[7]), + .D6(k7ddrphy_dfi_p2_address[7]), + .D7(k7ddrphy_dfi_p3_address[7]), + .D8(k7ddrphy_dfi_p3_address[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq9) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq9) ); ODELAYE2 #( @@ -15944,12 +16387,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_10 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[7]), - .ODATAIN(main_k7ddrphy_oq9) + .ODATAIN(k7ddrphy_oq9) ); OSERDESE2 #( @@ -15961,17 +16404,17 @@ OSERDESE2 #( ) OSERDESE2_11 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[8]), - .D2(main_k7ddrphy_dfi_p0_address[8]), - .D3(main_k7ddrphy_dfi_p1_address[8]), - .D4(main_k7ddrphy_dfi_p1_address[8]), - .D5(main_k7ddrphy_dfi_p2_address[8]), - .D6(main_k7ddrphy_dfi_p2_address[8]), - .D7(main_k7ddrphy_dfi_p3_address[8]), - .D8(main_k7ddrphy_dfi_p3_address[8]), + .D1(k7ddrphy_dfi_p0_address[8]), + .D2(k7ddrphy_dfi_p0_address[8]), + .D3(k7ddrphy_dfi_p1_address[8]), + .D4(k7ddrphy_dfi_p1_address[8]), + .D5(k7ddrphy_dfi_p2_address[8]), + .D6(k7ddrphy_dfi_p2_address[8]), + .D7(k7ddrphy_dfi_p3_address[8]), + .D8(k7ddrphy_dfi_p3_address[8]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq10) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq10) ); ODELAYE2 #( @@ -15985,12 +16428,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_11 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[8]), - .ODATAIN(main_k7ddrphy_oq10) + .ODATAIN(k7ddrphy_oq10) ); OSERDESE2 #( @@ -16002,17 +16445,17 @@ OSERDESE2 #( ) OSERDESE2_12 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[9]), - .D2(main_k7ddrphy_dfi_p0_address[9]), - .D3(main_k7ddrphy_dfi_p1_address[9]), - .D4(main_k7ddrphy_dfi_p1_address[9]), - .D5(main_k7ddrphy_dfi_p2_address[9]), - .D6(main_k7ddrphy_dfi_p2_address[9]), - .D7(main_k7ddrphy_dfi_p3_address[9]), - .D8(main_k7ddrphy_dfi_p3_address[9]), + .D1(k7ddrphy_dfi_p0_address[9]), + .D2(k7ddrphy_dfi_p0_address[9]), + .D3(k7ddrphy_dfi_p1_address[9]), + .D4(k7ddrphy_dfi_p1_address[9]), + .D5(k7ddrphy_dfi_p2_address[9]), + .D6(k7ddrphy_dfi_p2_address[9]), + .D7(k7ddrphy_dfi_p3_address[9]), + .D8(k7ddrphy_dfi_p3_address[9]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq11) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq11) ); ODELAYE2 #( @@ -16026,12 +16469,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_12 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[9]), - .ODATAIN(main_k7ddrphy_oq11) + .ODATAIN(k7ddrphy_oq11) ); OSERDESE2 #( @@ -16043,17 +16486,17 @@ OSERDESE2 #( ) OSERDESE2_13 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[10]), - .D2(main_k7ddrphy_dfi_p0_address[10]), - .D3(main_k7ddrphy_dfi_p1_address[10]), - .D4(main_k7ddrphy_dfi_p1_address[10]), - .D5(main_k7ddrphy_dfi_p2_address[10]), - .D6(main_k7ddrphy_dfi_p2_address[10]), - .D7(main_k7ddrphy_dfi_p3_address[10]), - .D8(main_k7ddrphy_dfi_p3_address[10]), + .D1(k7ddrphy_dfi_p0_address[10]), + .D2(k7ddrphy_dfi_p0_address[10]), + .D3(k7ddrphy_dfi_p1_address[10]), + .D4(k7ddrphy_dfi_p1_address[10]), + .D5(k7ddrphy_dfi_p2_address[10]), + .D6(k7ddrphy_dfi_p2_address[10]), + .D7(k7ddrphy_dfi_p3_address[10]), + .D8(k7ddrphy_dfi_p3_address[10]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq12) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq12) ); ODELAYE2 #( @@ -16067,12 +16510,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_13 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[10]), - .ODATAIN(main_k7ddrphy_oq12) + .ODATAIN(k7ddrphy_oq12) ); OSERDESE2 #( @@ -16084,17 +16527,17 @@ OSERDESE2 #( ) OSERDESE2_14 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[11]), - .D2(main_k7ddrphy_dfi_p0_address[11]), - .D3(main_k7ddrphy_dfi_p1_address[11]), - .D4(main_k7ddrphy_dfi_p1_address[11]), - .D5(main_k7ddrphy_dfi_p2_address[11]), - .D6(main_k7ddrphy_dfi_p2_address[11]), - .D7(main_k7ddrphy_dfi_p3_address[11]), - .D8(main_k7ddrphy_dfi_p3_address[11]), + .D1(k7ddrphy_dfi_p0_address[11]), + .D2(k7ddrphy_dfi_p0_address[11]), + .D3(k7ddrphy_dfi_p1_address[11]), + .D4(k7ddrphy_dfi_p1_address[11]), + .D5(k7ddrphy_dfi_p2_address[11]), + .D6(k7ddrphy_dfi_p2_address[11]), + .D7(k7ddrphy_dfi_p3_address[11]), + .D8(k7ddrphy_dfi_p3_address[11]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq13) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq13) ); ODELAYE2 #( @@ -16108,12 +16551,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_14 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[11]), - .ODATAIN(main_k7ddrphy_oq13) + .ODATAIN(k7ddrphy_oq13) ); OSERDESE2 #( @@ -16125,17 +16568,17 @@ OSERDESE2 #( ) OSERDESE2_15 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[12]), - .D2(main_k7ddrphy_dfi_p0_address[12]), - .D3(main_k7ddrphy_dfi_p1_address[12]), - .D4(main_k7ddrphy_dfi_p1_address[12]), - .D5(main_k7ddrphy_dfi_p2_address[12]), - .D6(main_k7ddrphy_dfi_p2_address[12]), - .D7(main_k7ddrphy_dfi_p3_address[12]), - .D8(main_k7ddrphy_dfi_p3_address[12]), + .D1(k7ddrphy_dfi_p0_address[12]), + .D2(k7ddrphy_dfi_p0_address[12]), + .D3(k7ddrphy_dfi_p1_address[12]), + .D4(k7ddrphy_dfi_p1_address[12]), + .D5(k7ddrphy_dfi_p2_address[12]), + .D6(k7ddrphy_dfi_p2_address[12]), + .D7(k7ddrphy_dfi_p3_address[12]), + .D8(k7ddrphy_dfi_p3_address[12]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq14) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq14) ); ODELAYE2 #( @@ -16149,12 +16592,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_15 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[12]), - .ODATAIN(main_k7ddrphy_oq14) + .ODATAIN(k7ddrphy_oq14) ); OSERDESE2 #( @@ -16166,17 +16609,17 @@ OSERDESE2 #( ) OSERDESE2_16 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[13]), - .D2(main_k7ddrphy_dfi_p0_address[13]), - .D3(main_k7ddrphy_dfi_p1_address[13]), - .D4(main_k7ddrphy_dfi_p1_address[13]), - .D5(main_k7ddrphy_dfi_p2_address[13]), - .D6(main_k7ddrphy_dfi_p2_address[13]), - .D7(main_k7ddrphy_dfi_p3_address[13]), - .D8(main_k7ddrphy_dfi_p3_address[13]), + .D1(k7ddrphy_dfi_p0_address[13]), + .D2(k7ddrphy_dfi_p0_address[13]), + .D3(k7ddrphy_dfi_p1_address[13]), + .D4(k7ddrphy_dfi_p1_address[13]), + .D5(k7ddrphy_dfi_p2_address[13]), + .D6(k7ddrphy_dfi_p2_address[13]), + .D7(k7ddrphy_dfi_p3_address[13]), + .D8(k7ddrphy_dfi_p3_address[13]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq15) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq15) ); ODELAYE2 #( @@ -16190,12 +16633,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_16 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[13]), - .ODATAIN(main_k7ddrphy_oq15) + .ODATAIN(k7ddrphy_oq15) ); OSERDESE2 #( @@ -16207,17 +16650,17 @@ OSERDESE2 #( ) OSERDESE2_17 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_address[14]), - .D2(main_k7ddrphy_dfi_p0_address[14]), - .D3(main_k7ddrphy_dfi_p1_address[14]), - .D4(main_k7ddrphy_dfi_p1_address[14]), - .D5(main_k7ddrphy_dfi_p2_address[14]), - .D6(main_k7ddrphy_dfi_p2_address[14]), - .D7(main_k7ddrphy_dfi_p3_address[14]), - .D8(main_k7ddrphy_dfi_p3_address[14]), + .D1(k7ddrphy_dfi_p0_address[14]), + .D2(k7ddrphy_dfi_p0_address[14]), + .D3(k7ddrphy_dfi_p1_address[14]), + .D4(k7ddrphy_dfi_p1_address[14]), + .D5(k7ddrphy_dfi_p2_address[14]), + .D6(k7ddrphy_dfi_p2_address[14]), + .D7(k7ddrphy_dfi_p3_address[14]), + .D8(k7ddrphy_dfi_p3_address[14]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq16) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq16) ); ODELAYE2 #( @@ -16231,12 +16674,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_17 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_a[14]), - .ODATAIN(main_k7ddrphy_oq16) + .ODATAIN(k7ddrphy_oq16) ); OSERDESE2 #( @@ -16248,17 +16691,17 @@ OSERDESE2 #( ) OSERDESE2_18 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_bank[0]), - .D2(main_k7ddrphy_dfi_p0_bank[0]), - .D3(main_k7ddrphy_dfi_p1_bank[0]), - .D4(main_k7ddrphy_dfi_p1_bank[0]), - .D5(main_k7ddrphy_dfi_p2_bank[0]), - .D6(main_k7ddrphy_dfi_p2_bank[0]), - .D7(main_k7ddrphy_dfi_p3_bank[0]), - .D8(main_k7ddrphy_dfi_p3_bank[0]), + .D1(k7ddrphy_dfi_p0_bank[0]), + .D2(k7ddrphy_dfi_p0_bank[0]), + .D3(k7ddrphy_dfi_p1_bank[0]), + .D4(k7ddrphy_dfi_p1_bank[0]), + .D5(k7ddrphy_dfi_p2_bank[0]), + .D6(k7ddrphy_dfi_p2_bank[0]), + .D7(k7ddrphy_dfi_p3_bank[0]), + .D8(k7ddrphy_dfi_p3_bank[0]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq17) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq17) ); ODELAYE2 #( @@ -16272,12 +16715,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_18 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(ddram_ba[0]), - .ODATAIN(main_k7ddrphy_oq17) + .DATAOUT(k7ddrphy_pads_ba[0]), + .ODATAIN(k7ddrphy_oq17) ); OSERDESE2 #( @@ -16289,17 +16732,17 @@ OSERDESE2 #( ) OSERDESE2_19 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_bank[1]), - .D2(main_k7ddrphy_dfi_p0_bank[1]), - .D3(main_k7ddrphy_dfi_p1_bank[1]), - .D4(main_k7ddrphy_dfi_p1_bank[1]), - .D5(main_k7ddrphy_dfi_p2_bank[1]), - .D6(main_k7ddrphy_dfi_p2_bank[1]), - .D7(main_k7ddrphy_dfi_p3_bank[1]), - .D8(main_k7ddrphy_dfi_p3_bank[1]), + .D1(k7ddrphy_dfi_p0_bank[1]), + .D2(k7ddrphy_dfi_p0_bank[1]), + .D3(k7ddrphy_dfi_p1_bank[1]), + .D4(k7ddrphy_dfi_p1_bank[1]), + .D5(k7ddrphy_dfi_p2_bank[1]), + .D6(k7ddrphy_dfi_p2_bank[1]), + .D7(k7ddrphy_dfi_p3_bank[1]), + .D8(k7ddrphy_dfi_p3_bank[1]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq18) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq18) ); ODELAYE2 #( @@ -16313,12 +16756,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_19 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(ddram_ba[1]), - .ODATAIN(main_k7ddrphy_oq18) + .DATAOUT(k7ddrphy_pads_ba[1]), + .ODATAIN(k7ddrphy_oq18) ); OSERDESE2 #( @@ -16330,17 +16773,17 @@ OSERDESE2 #( ) OSERDESE2_20 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_bank[2]), - .D2(main_k7ddrphy_dfi_p0_bank[2]), - .D3(main_k7ddrphy_dfi_p1_bank[2]), - .D4(main_k7ddrphy_dfi_p1_bank[2]), - .D5(main_k7ddrphy_dfi_p2_bank[2]), - .D6(main_k7ddrphy_dfi_p2_bank[2]), - .D7(main_k7ddrphy_dfi_p3_bank[2]), - .D8(main_k7ddrphy_dfi_p3_bank[2]), + .D1(k7ddrphy_dfi_p0_bank[2]), + .D2(k7ddrphy_dfi_p0_bank[2]), + .D3(k7ddrphy_dfi_p1_bank[2]), + .D4(k7ddrphy_dfi_p1_bank[2]), + .D5(k7ddrphy_dfi_p2_bank[2]), + .D6(k7ddrphy_dfi_p2_bank[2]), + .D7(k7ddrphy_dfi_p3_bank[2]), + .D8(k7ddrphy_dfi_p3_bank[2]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq19) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq19) ); ODELAYE2 #( @@ -16354,12 +16797,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_20 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(ddram_ba[2]), - .ODATAIN(main_k7ddrphy_oq19) + .DATAOUT(k7ddrphy_pads_ba[2]), + .ODATAIN(k7ddrphy_oq19) ); OSERDESE2 #( @@ -16371,17 +16814,17 @@ OSERDESE2 #( ) OSERDESE2_21 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_ras_n), - .D2(main_k7ddrphy_dfi_p0_ras_n), - .D3(main_k7ddrphy_dfi_p1_ras_n), - .D4(main_k7ddrphy_dfi_p1_ras_n), - .D5(main_k7ddrphy_dfi_p2_ras_n), - .D6(main_k7ddrphy_dfi_p2_ras_n), - .D7(main_k7ddrphy_dfi_p3_ras_n), - .D8(main_k7ddrphy_dfi_p3_ras_n), + .D1(k7ddrphy_dfi_p0_ras_n), + .D2(k7ddrphy_dfi_p0_ras_n), + .D3(k7ddrphy_dfi_p1_ras_n), + .D4(k7ddrphy_dfi_p1_ras_n), + .D5(k7ddrphy_dfi_p2_ras_n), + .D6(k7ddrphy_dfi_p2_ras_n), + .D7(k7ddrphy_dfi_p3_ras_n), + .D8(k7ddrphy_dfi_p3_ras_n), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq20) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq20) ); ODELAYE2 #( @@ -16395,12 +16838,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_21 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_ras_n), - .ODATAIN(main_k7ddrphy_oq20) + .ODATAIN(k7ddrphy_oq20) ); OSERDESE2 #( @@ -16412,17 +16855,17 @@ OSERDESE2 #( ) OSERDESE2_22 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_cas_n), - .D2(main_k7ddrphy_dfi_p0_cas_n), - .D3(main_k7ddrphy_dfi_p1_cas_n), - .D4(main_k7ddrphy_dfi_p1_cas_n), - .D5(main_k7ddrphy_dfi_p2_cas_n), - .D6(main_k7ddrphy_dfi_p2_cas_n), - .D7(main_k7ddrphy_dfi_p3_cas_n), - .D8(main_k7ddrphy_dfi_p3_cas_n), + .D1(k7ddrphy_dfi_p0_cas_n), + .D2(k7ddrphy_dfi_p0_cas_n), + .D3(k7ddrphy_dfi_p1_cas_n), + .D4(k7ddrphy_dfi_p1_cas_n), + .D5(k7ddrphy_dfi_p2_cas_n), + .D6(k7ddrphy_dfi_p2_cas_n), + .D7(k7ddrphy_dfi_p3_cas_n), + .D8(k7ddrphy_dfi_p3_cas_n), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq21) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq21) ); ODELAYE2 #( @@ -16436,12 +16879,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_22 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_cas_n), - .ODATAIN(main_k7ddrphy_oq21) + .ODATAIN(k7ddrphy_oq21) ); OSERDESE2 #( @@ -16453,17 +16896,17 @@ OSERDESE2 #( ) OSERDESE2_23 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_we_n), - .D2(main_k7ddrphy_dfi_p0_we_n), - .D3(main_k7ddrphy_dfi_p1_we_n), - .D4(main_k7ddrphy_dfi_p1_we_n), - .D5(main_k7ddrphy_dfi_p2_we_n), - .D6(main_k7ddrphy_dfi_p2_we_n), - .D7(main_k7ddrphy_dfi_p3_we_n), - .D8(main_k7ddrphy_dfi_p3_we_n), + .D1(k7ddrphy_dfi_p0_we_n), + .D2(k7ddrphy_dfi_p0_we_n), + .D3(k7ddrphy_dfi_p1_we_n), + .D4(k7ddrphy_dfi_p1_we_n), + .D5(k7ddrphy_dfi_p2_we_n), + .D6(k7ddrphy_dfi_p2_we_n), + .D7(k7ddrphy_dfi_p3_we_n), + .D8(k7ddrphy_dfi_p3_we_n), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq22) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq22) ); ODELAYE2 #( @@ -16477,12 +16920,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_23 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_we_n), - .ODATAIN(main_k7ddrphy_oq22) + .ODATAIN(k7ddrphy_oq22) ); OSERDESE2 #( @@ -16494,17 +16937,17 @@ OSERDESE2 #( ) OSERDESE2_24 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_cke), - .D2(main_k7ddrphy_dfi_p0_cke), - .D3(main_k7ddrphy_dfi_p1_cke), - .D4(main_k7ddrphy_dfi_p1_cke), - .D5(main_k7ddrphy_dfi_p2_cke), - .D6(main_k7ddrphy_dfi_p2_cke), - .D7(main_k7ddrphy_dfi_p3_cke), - .D8(main_k7ddrphy_dfi_p3_cke), + .D1(k7ddrphy_dfi_p0_cke), + .D2(k7ddrphy_dfi_p0_cke), + .D3(k7ddrphy_dfi_p1_cke), + .D4(k7ddrphy_dfi_p1_cke), + .D5(k7ddrphy_dfi_p2_cke), + .D6(k7ddrphy_dfi_p2_cke), + .D7(k7ddrphy_dfi_p3_cke), + .D8(k7ddrphy_dfi_p3_cke), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq23) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq23) ); ODELAYE2 #( @@ -16518,12 +16961,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_24 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_cke), - .ODATAIN(main_k7ddrphy_oq23) + .ODATAIN(k7ddrphy_oq23) ); OSERDESE2 #( @@ -16535,17 +16978,17 @@ OSERDESE2 #( ) OSERDESE2_25 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_dfi_p0_odt), - .D2(main_k7ddrphy_dfi_p0_odt), - .D3(main_k7ddrphy_dfi_p1_odt), - .D4(main_k7ddrphy_dfi_p1_odt), - .D5(main_k7ddrphy_dfi_p2_odt), - .D6(main_k7ddrphy_dfi_p2_odt), - .D7(main_k7ddrphy_dfi_p3_odt), - .D8(main_k7ddrphy_dfi_p3_odt), + .D1(k7ddrphy_dfi_p0_odt), + .D2(k7ddrphy_dfi_p0_odt), + .D3(k7ddrphy_dfi_p1_odt), + .D4(k7ddrphy_dfi_p1_odt), + .D5(k7ddrphy_dfi_p2_odt), + .D6(k7ddrphy_dfi_p2_odt), + .D7(k7ddrphy_dfi_p3_odt), + .D8(k7ddrphy_dfi_p3_odt), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_oq24) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_oq24) ); ODELAYE2 #( @@ -16559,12 +17002,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_25 ( .C(sys_clk), - .CE(main_k7ddrphy_cdly_inc_re), + .CE(k7ddrphy_cdly_inc_re), .INC(1'd1), - .LD(((main_k7ddrphy_cdly_rst_re | main_k7ddrphy_rst_storage) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_cdly_rst_re | k7ddrphy_rst_storage) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_odt), - .ODATAIN(main_k7ddrphy_oq24) + .ODATAIN(k7ddrphy_oq24) ); OSERDESE2 #( @@ -16576,21 +17019,21 @@ OSERDESE2 #( ) OSERDESE2_26 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip00[0]), - .D2(main_k7ddrphy_bitslip00[1]), - .D3(main_k7ddrphy_bitslip00[2]), - .D4(main_k7ddrphy_bitslip00[3]), - .D5(main_k7ddrphy_bitslip00[4]), - .D6(main_k7ddrphy_bitslip00[5]), - .D7(main_k7ddrphy_bitslip00[6]), - .D8(main_k7ddrphy_bitslip00[7]), + .D1(k7ddrphy_bitslip00[0]), + .D2(k7ddrphy_bitslip00[1]), + .D3(k7ddrphy_bitslip00[2]), + .D4(k7ddrphy_bitslip00[3]), + .D5(k7ddrphy_bitslip00[4]), + .D6(k7ddrphy_bitslip00[5]), + .D7(k7ddrphy_bitslip00[6]), + .D8(k7ddrphy_bitslip00[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OFB(main_k7ddrphy_dqs_o_no_delay0), - .OQ(main_k7ddrphy0), - .TQ(main_k7ddrphy_dqs_t0) + .OFB(k7ddrphy_dqs_o_no_delay0), + .OQ(k7ddrphy0), + .TQ(k7ddrphy_dqs_t0) ); ODELAYE2 #( @@ -16604,17 +17047,17 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_26 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dqs_inc_re)), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dqs_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dqs_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dqs_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dqs_o_delayed0), - .ODATAIN(main_k7ddrphy_dqs_o_no_delay0) + .DATAOUT(k7ddrphy_dqs_o_delayed0), + .ODATAIN(k7ddrphy_dqs_o_no_delay0) ); IOBUFDS IOBUFDS( - .I(main_k7ddrphy_dqs_o_delayed0), - .T(main_k7ddrphy_dqs_t0), + .I(k7ddrphy_dqs_o_delayed0), + .T(k7ddrphy_dqs_t0), .IO(ddram_dqs_p[0]), .IOB(ddram_dqs_n[0]) ); @@ -16628,21 +17071,21 @@ OSERDESE2 #( ) OSERDESE2_27 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip10[0]), - .D2(main_k7ddrphy_bitslip10[1]), - .D3(main_k7ddrphy_bitslip10[2]), - .D4(main_k7ddrphy_bitslip10[3]), - .D5(main_k7ddrphy_bitslip10[4]), - .D6(main_k7ddrphy_bitslip10[5]), - .D7(main_k7ddrphy_bitslip10[6]), - .D8(main_k7ddrphy_bitslip10[7]), + .D1(k7ddrphy_bitslip10[0]), + .D2(k7ddrphy_bitslip10[1]), + .D3(k7ddrphy_bitslip10[2]), + .D4(k7ddrphy_bitslip10[3]), + .D5(k7ddrphy_bitslip10[4]), + .D6(k7ddrphy_bitslip10[5]), + .D7(k7ddrphy_bitslip10[6]), + .D8(k7ddrphy_bitslip10[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OFB(main_k7ddrphy_dqs_o_no_delay1), - .OQ(main_k7ddrphy1), - .TQ(main_k7ddrphy_dqs_t1) + .OFB(k7ddrphy_dqs_o_no_delay1), + .OQ(k7ddrphy1), + .TQ(k7ddrphy_dqs_t1) ); ODELAYE2 #( @@ -16656,17 +17099,17 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_27 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dqs_inc_re)), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dqs_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dqs_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dqs_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dqs_o_delayed1), - .ODATAIN(main_k7ddrphy_dqs_o_no_delay1) + .DATAOUT(k7ddrphy_dqs_o_delayed1), + .ODATAIN(k7ddrphy_dqs_o_no_delay1) ); IOBUFDS IOBUFDS_1( - .I(main_k7ddrphy_dqs_o_delayed1), - .T(main_k7ddrphy_dqs_t1), + .I(k7ddrphy_dqs_o_delayed1), + .T(k7ddrphy_dqs_t1), .IO(ddram_dqs_p[1]), .IOB(ddram_dqs_n[1]) ); @@ -16680,21 +17123,21 @@ OSERDESE2 #( ) OSERDESE2_28 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip20[0]), - .D2(main_k7ddrphy_bitslip20[1]), - .D3(main_k7ddrphy_bitslip20[2]), - .D4(main_k7ddrphy_bitslip20[3]), - .D5(main_k7ddrphy_bitslip20[4]), - .D6(main_k7ddrphy_bitslip20[5]), - .D7(main_k7ddrphy_bitslip20[6]), - .D8(main_k7ddrphy_bitslip20[7]), + .D1(k7ddrphy_bitslip20[0]), + .D2(k7ddrphy_bitslip20[1]), + .D3(k7ddrphy_bitslip20[2]), + .D4(k7ddrphy_bitslip20[3]), + .D5(k7ddrphy_bitslip20[4]), + .D6(k7ddrphy_bitslip20[5]), + .D7(k7ddrphy_bitslip20[6]), + .D8(k7ddrphy_bitslip20[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OFB(main_k7ddrphy_dqs_o_no_delay2), - .OQ(main_k7ddrphy2), - .TQ(main_k7ddrphy_dqs_t2) + .OFB(k7ddrphy_dqs_o_no_delay2), + .OQ(k7ddrphy2), + .TQ(k7ddrphy_dqs_t2) ); ODELAYE2 #( @@ -16708,17 +17151,17 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_28 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dqs_inc_re)), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dqs_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dqs_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dqs_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dqs_o_delayed2), - .ODATAIN(main_k7ddrphy_dqs_o_no_delay2) + .DATAOUT(k7ddrphy_dqs_o_delayed2), + .ODATAIN(k7ddrphy_dqs_o_no_delay2) ); IOBUFDS IOBUFDS_2( - .I(main_k7ddrphy_dqs_o_delayed2), - .T(main_k7ddrphy_dqs_t2), + .I(k7ddrphy_dqs_o_delayed2), + .T(k7ddrphy_dqs_t2), .IO(ddram_dqs_p[2]), .IOB(ddram_dqs_n[2]) ); @@ -16732,21 +17175,21 @@ OSERDESE2 #( ) OSERDESE2_29 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip30[0]), - .D2(main_k7ddrphy_bitslip30[1]), - .D3(main_k7ddrphy_bitslip30[2]), - .D4(main_k7ddrphy_bitslip30[3]), - .D5(main_k7ddrphy_bitslip30[4]), - .D6(main_k7ddrphy_bitslip30[5]), - .D7(main_k7ddrphy_bitslip30[6]), - .D8(main_k7ddrphy_bitslip30[7]), + .D1(k7ddrphy_bitslip30[0]), + .D2(k7ddrphy_bitslip30[1]), + .D3(k7ddrphy_bitslip30[2]), + .D4(k7ddrphy_bitslip30[3]), + .D5(k7ddrphy_bitslip30[4]), + .D6(k7ddrphy_bitslip30[5]), + .D7(k7ddrphy_bitslip30[6]), + .D8(k7ddrphy_bitslip30[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OFB(main_k7ddrphy_dqs_o_no_delay3), - .OQ(main_k7ddrphy3), - .TQ(main_k7ddrphy_dqs_t3) + .OFB(k7ddrphy_dqs_o_no_delay3), + .OQ(k7ddrphy3), + .TQ(k7ddrphy_dqs_t3) ); ODELAYE2 #( @@ -16760,17 +17203,17 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_29 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dqs_inc_re)), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dqs_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dqs_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dqs_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dqs_o_delayed3), - .ODATAIN(main_k7ddrphy_dqs_o_no_delay3) + .DATAOUT(k7ddrphy_dqs_o_delayed3), + .ODATAIN(k7ddrphy_dqs_o_no_delay3) ); IOBUFDS IOBUFDS_3( - .I(main_k7ddrphy_dqs_o_delayed3), - .T(main_k7ddrphy_dqs_t3), + .I(k7ddrphy_dqs_o_delayed3), + .T(k7ddrphy_dqs_t3), .IO(ddram_dqs_p[3]), .IOB(ddram_dqs_n[3]) ); @@ -16784,17 +17227,17 @@ OSERDESE2 #( ) OSERDESE2_30 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip01[0]), - .D2(main_k7ddrphy_bitslip01[1]), - .D3(main_k7ddrphy_bitslip01[2]), - .D4(main_k7ddrphy_bitslip01[3]), - .D5(main_k7ddrphy_bitslip01[4]), - .D6(main_k7ddrphy_bitslip01[5]), - .D7(main_k7ddrphy_bitslip01[6]), - .D8(main_k7ddrphy_bitslip01[7]), + .D1(k7ddrphy_bitslip01[0]), + .D2(k7ddrphy_bitslip01[1]), + .D3(k7ddrphy_bitslip01[2]), + .D4(k7ddrphy_bitslip01[3]), + .D5(k7ddrphy_bitslip01[4]), + .D6(k7ddrphy_bitslip01[5]), + .D7(k7ddrphy_bitslip01[6]), + .D8(k7ddrphy_bitslip01[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_dm_o_nodelay0) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_dm_o_nodelay0) ); ODELAYE2 #( @@ -16808,12 +17251,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_30 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_dm[0]), - .ODATAIN(main_k7ddrphy_dm_o_nodelay0) + .ODATAIN(k7ddrphy_dm_o_nodelay0) ); OSERDESE2 #( @@ -16825,17 +17268,17 @@ OSERDESE2 #( ) OSERDESE2_31 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip11[0]), - .D2(main_k7ddrphy_bitslip11[1]), - .D3(main_k7ddrphy_bitslip11[2]), - .D4(main_k7ddrphy_bitslip11[3]), - .D5(main_k7ddrphy_bitslip11[4]), - .D6(main_k7ddrphy_bitslip11[5]), - .D7(main_k7ddrphy_bitslip11[6]), - .D8(main_k7ddrphy_bitslip11[7]), + .D1(k7ddrphy_bitslip11[0]), + .D2(k7ddrphy_bitslip11[1]), + .D3(k7ddrphy_bitslip11[2]), + .D4(k7ddrphy_bitslip11[3]), + .D5(k7ddrphy_bitslip11[4]), + .D6(k7ddrphy_bitslip11[5]), + .D7(k7ddrphy_bitslip11[6]), + .D8(k7ddrphy_bitslip11[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_dm_o_nodelay1) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_dm_o_nodelay1) ); ODELAYE2 #( @@ -16849,12 +17292,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_31 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_dm[1]), - .ODATAIN(main_k7ddrphy_dm_o_nodelay1) + .ODATAIN(k7ddrphy_dm_o_nodelay1) ); OSERDESE2 #( @@ -16866,17 +17309,17 @@ OSERDESE2 #( ) OSERDESE2_32 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip21[0]), - .D2(main_k7ddrphy_bitslip21[1]), - .D3(main_k7ddrphy_bitslip21[2]), - .D4(main_k7ddrphy_bitslip21[3]), - .D5(main_k7ddrphy_bitslip21[4]), - .D6(main_k7ddrphy_bitslip21[5]), - .D7(main_k7ddrphy_bitslip21[6]), - .D8(main_k7ddrphy_bitslip21[7]), + .D1(k7ddrphy_bitslip21[0]), + .D2(k7ddrphy_bitslip21[1]), + .D3(k7ddrphy_bitslip21[2]), + .D4(k7ddrphy_bitslip21[3]), + .D5(k7ddrphy_bitslip21[4]), + .D6(k7ddrphy_bitslip21[5]), + .D7(k7ddrphy_bitslip21[6]), + .D8(k7ddrphy_bitslip21[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_dm_o_nodelay2) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_dm_o_nodelay2) ); ODELAYE2 #( @@ -16890,12 +17333,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_32 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_dm[2]), - .ODATAIN(main_k7ddrphy_dm_o_nodelay2) + .ODATAIN(k7ddrphy_dm_o_nodelay2) ); OSERDESE2 #( @@ -16907,17 +17350,17 @@ OSERDESE2 #( ) OSERDESE2_33 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip31[0]), - .D2(main_k7ddrphy_bitslip31[1]), - .D3(main_k7ddrphy_bitslip31[2]), - .D4(main_k7ddrphy_bitslip31[3]), - .D5(main_k7ddrphy_bitslip31[4]), - .D6(main_k7ddrphy_bitslip31[5]), - .D7(main_k7ddrphy_bitslip31[6]), - .D8(main_k7ddrphy_bitslip31[7]), + .D1(k7ddrphy_bitslip31[0]), + .D2(k7ddrphy_bitslip31[1]), + .D3(k7ddrphy_bitslip31[2]), + .D4(k7ddrphy_bitslip31[3]), + .D5(k7ddrphy_bitslip31[4]), + .D6(k7ddrphy_bitslip31[5]), + .D7(k7ddrphy_bitslip31[6]), + .D8(k7ddrphy_bitslip31[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .OQ(main_k7ddrphy_dm_o_nodelay3) + .RST((sys_rst | k7ddrphy_rst_storage)), + .OQ(k7ddrphy_dm_o_nodelay3) ); ODELAYE2 #( @@ -16931,12 +17374,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_33 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), .DATAOUT(ddram_dm[3]), - .ODATAIN(main_k7ddrphy_dm_o_nodelay3) + .ODATAIN(k7ddrphy_dm_o_nodelay3) ); OSERDESE2 #( @@ -16948,20 +17391,20 @@ OSERDESE2 #( ) OSERDESE2_34 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip02[0]), - .D2(main_k7ddrphy_bitslip02[1]), - .D3(main_k7ddrphy_bitslip02[2]), - .D4(main_k7ddrphy_bitslip02[3]), - .D5(main_k7ddrphy_bitslip02[4]), - .D6(main_k7ddrphy_bitslip02[5]), - .D7(main_k7ddrphy_bitslip02[6]), - .D8(main_k7ddrphy_bitslip02[7]), + .D1(k7ddrphy_bitslip02[0]), + .D2(k7ddrphy_bitslip02[1]), + .D3(k7ddrphy_bitslip02[2]), + .D4(k7ddrphy_bitslip02[3]), + .D5(k7ddrphy_bitslip02[4]), + .D6(k7ddrphy_bitslip02[5]), + .D7(k7ddrphy_bitslip02[6]), + .D8(k7ddrphy_bitslip02[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay0), - .TQ(main_k7ddrphy_dq_t0) + .OQ(k7ddrphy_dq_o_nodelay0), + .TQ(k7ddrphy_dq_t0) ); ISERDESE2 #( @@ -16977,16 +17420,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed0), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip03[7]), - .Q2(main_k7ddrphy_bitslip03[6]), - .Q3(main_k7ddrphy_bitslip03[5]), - .Q4(main_k7ddrphy_bitslip03[4]), - .Q5(main_k7ddrphy_bitslip03[3]), - .Q6(main_k7ddrphy_bitslip03[2]), - .Q7(main_k7ddrphy_bitslip03[1]), - .Q8(main_k7ddrphy_bitslip03[0]) + .DDLY(k7ddrphy_dq_i_delayed0), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip03[7]), + .Q2(k7ddrphy_bitslip03[6]), + .Q3(k7ddrphy_bitslip03[5]), + .Q4(k7ddrphy_bitslip03[4]), + .Q5(k7ddrphy_bitslip03[3]), + .Q6(k7ddrphy_bitslip03[2]), + .Q7(k7ddrphy_bitslip03[1]), + .Q8(k7ddrphy_bitslip03[0]) ); ODELAYE2 #( @@ -17000,12 +17443,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_34 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed0), - .ODATAIN(main_k7ddrphy_dq_o_nodelay0) + .DATAOUT(k7ddrphy_dq_o_delayed0), + .ODATAIN(k7ddrphy_dq_o_nodelay0) ); IDELAYE2 #( @@ -17019,19 +17462,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay0), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay0), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed0) + .DATAOUT(k7ddrphy_dq_i_delayed0) ); IOBUF IOBUF( - .I(main_k7ddrphy_dq_o_delayed0), - .T(main_k7ddrphy_dq_t0), + .I(k7ddrphy_dq_o_delayed0), + .T(k7ddrphy_dq_t0), .IO(ddram_dq[0]), - .O(main_k7ddrphy_dq_i_nodelay0) + .O(k7ddrphy_dq_i_nodelay0) ); OSERDESE2 #( @@ -17043,20 +17486,20 @@ OSERDESE2 #( ) OSERDESE2_35 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip12[0]), - .D2(main_k7ddrphy_bitslip12[1]), - .D3(main_k7ddrphy_bitslip12[2]), - .D4(main_k7ddrphy_bitslip12[3]), - .D5(main_k7ddrphy_bitslip12[4]), - .D6(main_k7ddrphy_bitslip12[5]), - .D7(main_k7ddrphy_bitslip12[6]), - .D8(main_k7ddrphy_bitslip12[7]), + .D1(k7ddrphy_bitslip12[0]), + .D2(k7ddrphy_bitslip12[1]), + .D3(k7ddrphy_bitslip12[2]), + .D4(k7ddrphy_bitslip12[3]), + .D5(k7ddrphy_bitslip12[4]), + .D6(k7ddrphy_bitslip12[5]), + .D7(k7ddrphy_bitslip12[6]), + .D8(k7ddrphy_bitslip12[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay1), - .TQ(main_k7ddrphy_dq_t1) + .OQ(k7ddrphy_dq_o_nodelay1), + .TQ(k7ddrphy_dq_t1) ); ISERDESE2 #( @@ -17072,16 +17515,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip13[7]), - .Q2(main_k7ddrphy_bitslip13[6]), - .Q3(main_k7ddrphy_bitslip13[5]), - .Q4(main_k7ddrphy_bitslip13[4]), - .Q5(main_k7ddrphy_bitslip13[3]), - .Q6(main_k7ddrphy_bitslip13[2]), - .Q7(main_k7ddrphy_bitslip13[1]), - .Q8(main_k7ddrphy_bitslip13[0]) + .DDLY(k7ddrphy_dq_i_delayed1), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip13[7]), + .Q2(k7ddrphy_bitslip13[6]), + .Q3(k7ddrphy_bitslip13[5]), + .Q4(k7ddrphy_bitslip13[4]), + .Q5(k7ddrphy_bitslip13[3]), + .Q6(k7ddrphy_bitslip13[2]), + .Q7(k7ddrphy_bitslip13[1]), + .Q8(k7ddrphy_bitslip13[0]) ); ODELAYE2 #( @@ -17095,12 +17538,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_35 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed1), - .ODATAIN(main_k7ddrphy_dq_o_nodelay1) + .DATAOUT(k7ddrphy_dq_o_delayed1), + .ODATAIN(k7ddrphy_dq_o_nodelay1) ); IDELAYE2 #( @@ -17114,19 +17557,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_1 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay1), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay1), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed1) + .DATAOUT(k7ddrphy_dq_i_delayed1) ); IOBUF IOBUF_1( - .I(main_k7ddrphy_dq_o_delayed1), - .T(main_k7ddrphy_dq_t1), + .I(k7ddrphy_dq_o_delayed1), + .T(k7ddrphy_dq_t1), .IO(ddram_dq[1]), - .O(main_k7ddrphy_dq_i_nodelay1) + .O(k7ddrphy_dq_i_nodelay1) ); OSERDESE2 #( @@ -17138,20 +17581,20 @@ OSERDESE2 #( ) OSERDESE2_36 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip22[0]), - .D2(main_k7ddrphy_bitslip22[1]), - .D3(main_k7ddrphy_bitslip22[2]), - .D4(main_k7ddrphy_bitslip22[3]), - .D5(main_k7ddrphy_bitslip22[4]), - .D6(main_k7ddrphy_bitslip22[5]), - .D7(main_k7ddrphy_bitslip22[6]), - .D8(main_k7ddrphy_bitslip22[7]), + .D1(k7ddrphy_bitslip22[0]), + .D2(k7ddrphy_bitslip22[1]), + .D3(k7ddrphy_bitslip22[2]), + .D4(k7ddrphy_bitslip22[3]), + .D5(k7ddrphy_bitslip22[4]), + .D6(k7ddrphy_bitslip22[5]), + .D7(k7ddrphy_bitslip22[6]), + .D8(k7ddrphy_bitslip22[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay2), - .TQ(main_k7ddrphy_dq_t2) + .OQ(k7ddrphy_dq_o_nodelay2), + .TQ(k7ddrphy_dq_t2) ); ISERDESE2 #( @@ -17167,16 +17610,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed2), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip23[7]), - .Q2(main_k7ddrphy_bitslip23[6]), - .Q3(main_k7ddrphy_bitslip23[5]), - .Q4(main_k7ddrphy_bitslip23[4]), - .Q5(main_k7ddrphy_bitslip23[3]), - .Q6(main_k7ddrphy_bitslip23[2]), - .Q7(main_k7ddrphy_bitslip23[1]), - .Q8(main_k7ddrphy_bitslip23[0]) + .DDLY(k7ddrphy_dq_i_delayed2), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip23[7]), + .Q2(k7ddrphy_bitslip23[6]), + .Q3(k7ddrphy_bitslip23[5]), + .Q4(k7ddrphy_bitslip23[4]), + .Q5(k7ddrphy_bitslip23[3]), + .Q6(k7ddrphy_bitslip23[2]), + .Q7(k7ddrphy_bitslip23[1]), + .Q8(k7ddrphy_bitslip23[0]) ); ODELAYE2 #( @@ -17190,12 +17633,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_36 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed2), - .ODATAIN(main_k7ddrphy_dq_o_nodelay2) + .DATAOUT(k7ddrphy_dq_o_delayed2), + .ODATAIN(k7ddrphy_dq_o_nodelay2) ); IDELAYE2 #( @@ -17209,19 +17652,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_2 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay2), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay2), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed2) + .DATAOUT(k7ddrphy_dq_i_delayed2) ); IOBUF IOBUF_2( - .I(main_k7ddrphy_dq_o_delayed2), - .T(main_k7ddrphy_dq_t2), + .I(k7ddrphy_dq_o_delayed2), + .T(k7ddrphy_dq_t2), .IO(ddram_dq[2]), - .O(main_k7ddrphy_dq_i_nodelay2) + .O(k7ddrphy_dq_i_nodelay2) ); OSERDESE2 #( @@ -17233,20 +17676,20 @@ OSERDESE2 #( ) OSERDESE2_37 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip32[0]), - .D2(main_k7ddrphy_bitslip32[1]), - .D3(main_k7ddrphy_bitslip32[2]), - .D4(main_k7ddrphy_bitslip32[3]), - .D5(main_k7ddrphy_bitslip32[4]), - .D6(main_k7ddrphy_bitslip32[5]), - .D7(main_k7ddrphy_bitslip32[6]), - .D8(main_k7ddrphy_bitslip32[7]), + .D1(k7ddrphy_bitslip32[0]), + .D2(k7ddrphy_bitslip32[1]), + .D3(k7ddrphy_bitslip32[2]), + .D4(k7ddrphy_bitslip32[3]), + .D5(k7ddrphy_bitslip32[4]), + .D6(k7ddrphy_bitslip32[5]), + .D7(k7ddrphy_bitslip32[6]), + .D8(k7ddrphy_bitslip32[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay3), - .TQ(main_k7ddrphy_dq_t3) + .OQ(k7ddrphy_dq_o_nodelay3), + .TQ(k7ddrphy_dq_t3) ); ISERDESE2 #( @@ -17262,16 +17705,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed3), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip33[7]), - .Q2(main_k7ddrphy_bitslip33[6]), - .Q3(main_k7ddrphy_bitslip33[5]), - .Q4(main_k7ddrphy_bitslip33[4]), - .Q5(main_k7ddrphy_bitslip33[3]), - .Q6(main_k7ddrphy_bitslip33[2]), - .Q7(main_k7ddrphy_bitslip33[1]), - .Q8(main_k7ddrphy_bitslip33[0]) + .DDLY(k7ddrphy_dq_i_delayed3), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip33[7]), + .Q2(k7ddrphy_bitslip33[6]), + .Q3(k7ddrphy_bitslip33[5]), + .Q4(k7ddrphy_bitslip33[4]), + .Q5(k7ddrphy_bitslip33[3]), + .Q6(k7ddrphy_bitslip33[2]), + .Q7(k7ddrphy_bitslip33[1]), + .Q8(k7ddrphy_bitslip33[0]) ); ODELAYE2 #( @@ -17285,12 +17728,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_37 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed3), - .ODATAIN(main_k7ddrphy_dq_o_nodelay3) + .DATAOUT(k7ddrphy_dq_o_delayed3), + .ODATAIN(k7ddrphy_dq_o_nodelay3) ); IDELAYE2 #( @@ -17304,19 +17747,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_3 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay3), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay3), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed3) + .DATAOUT(k7ddrphy_dq_i_delayed3) ); IOBUF IOBUF_3( - .I(main_k7ddrphy_dq_o_delayed3), - .T(main_k7ddrphy_dq_t3), + .I(k7ddrphy_dq_o_delayed3), + .T(k7ddrphy_dq_t3), .IO(ddram_dq[3]), - .O(main_k7ddrphy_dq_i_nodelay3) + .O(k7ddrphy_dq_i_nodelay3) ); OSERDESE2 #( @@ -17328,20 +17771,20 @@ OSERDESE2 #( ) OSERDESE2_38 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip40[0]), - .D2(main_k7ddrphy_bitslip40[1]), - .D3(main_k7ddrphy_bitslip40[2]), - .D4(main_k7ddrphy_bitslip40[3]), - .D5(main_k7ddrphy_bitslip40[4]), - .D6(main_k7ddrphy_bitslip40[5]), - .D7(main_k7ddrphy_bitslip40[6]), - .D8(main_k7ddrphy_bitslip40[7]), + .D1(k7ddrphy_bitslip40[0]), + .D2(k7ddrphy_bitslip40[1]), + .D3(k7ddrphy_bitslip40[2]), + .D4(k7ddrphy_bitslip40[3]), + .D5(k7ddrphy_bitslip40[4]), + .D6(k7ddrphy_bitslip40[5]), + .D7(k7ddrphy_bitslip40[6]), + .D8(k7ddrphy_bitslip40[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay4), - .TQ(main_k7ddrphy_dq_t4) + .OQ(k7ddrphy_dq_o_nodelay4), + .TQ(k7ddrphy_dq_t4) ); ISERDESE2 #( @@ -17357,16 +17800,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed4), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip41[7]), - .Q2(main_k7ddrphy_bitslip41[6]), - .Q3(main_k7ddrphy_bitslip41[5]), - .Q4(main_k7ddrphy_bitslip41[4]), - .Q5(main_k7ddrphy_bitslip41[3]), - .Q6(main_k7ddrphy_bitslip41[2]), - .Q7(main_k7ddrphy_bitslip41[1]), - .Q8(main_k7ddrphy_bitslip41[0]) + .DDLY(k7ddrphy_dq_i_delayed4), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip41[7]), + .Q2(k7ddrphy_bitslip41[6]), + .Q3(k7ddrphy_bitslip41[5]), + .Q4(k7ddrphy_bitslip41[4]), + .Q5(k7ddrphy_bitslip41[3]), + .Q6(k7ddrphy_bitslip41[2]), + .Q7(k7ddrphy_bitslip41[1]), + .Q8(k7ddrphy_bitslip41[0]) ); ODELAYE2 #( @@ -17380,12 +17823,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_38 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed4), - .ODATAIN(main_k7ddrphy_dq_o_nodelay4) + .DATAOUT(k7ddrphy_dq_o_delayed4), + .ODATAIN(k7ddrphy_dq_o_nodelay4) ); IDELAYE2 #( @@ -17399,19 +17842,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_4 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay4), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay4), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed4) + .DATAOUT(k7ddrphy_dq_i_delayed4) ); IOBUF IOBUF_4( - .I(main_k7ddrphy_dq_o_delayed4), - .T(main_k7ddrphy_dq_t4), + .I(k7ddrphy_dq_o_delayed4), + .T(k7ddrphy_dq_t4), .IO(ddram_dq[4]), - .O(main_k7ddrphy_dq_i_nodelay4) + .O(k7ddrphy_dq_i_nodelay4) ); OSERDESE2 #( @@ -17423,20 +17866,20 @@ OSERDESE2 #( ) OSERDESE2_39 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip50[0]), - .D2(main_k7ddrphy_bitslip50[1]), - .D3(main_k7ddrphy_bitslip50[2]), - .D4(main_k7ddrphy_bitslip50[3]), - .D5(main_k7ddrphy_bitslip50[4]), - .D6(main_k7ddrphy_bitslip50[5]), - .D7(main_k7ddrphy_bitslip50[6]), - .D8(main_k7ddrphy_bitslip50[7]), + .D1(k7ddrphy_bitslip50[0]), + .D2(k7ddrphy_bitslip50[1]), + .D3(k7ddrphy_bitslip50[2]), + .D4(k7ddrphy_bitslip50[3]), + .D5(k7ddrphy_bitslip50[4]), + .D6(k7ddrphy_bitslip50[5]), + .D7(k7ddrphy_bitslip50[6]), + .D8(k7ddrphy_bitslip50[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay5), - .TQ(main_k7ddrphy_dq_t5) + .OQ(k7ddrphy_dq_o_nodelay5), + .TQ(k7ddrphy_dq_t5) ); ISERDESE2 #( @@ -17452,16 +17895,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed5), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip51[7]), - .Q2(main_k7ddrphy_bitslip51[6]), - .Q3(main_k7ddrphy_bitslip51[5]), - .Q4(main_k7ddrphy_bitslip51[4]), - .Q5(main_k7ddrphy_bitslip51[3]), - .Q6(main_k7ddrphy_bitslip51[2]), - .Q7(main_k7ddrphy_bitslip51[1]), - .Q8(main_k7ddrphy_bitslip51[0]) + .DDLY(k7ddrphy_dq_i_delayed5), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip51[7]), + .Q2(k7ddrphy_bitslip51[6]), + .Q3(k7ddrphy_bitslip51[5]), + .Q4(k7ddrphy_bitslip51[4]), + .Q5(k7ddrphy_bitslip51[3]), + .Q6(k7ddrphy_bitslip51[2]), + .Q7(k7ddrphy_bitslip51[1]), + .Q8(k7ddrphy_bitslip51[0]) ); ODELAYE2 #( @@ -17475,12 +17918,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_39 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed5), - .ODATAIN(main_k7ddrphy_dq_o_nodelay5) + .DATAOUT(k7ddrphy_dq_o_delayed5), + .ODATAIN(k7ddrphy_dq_o_nodelay5) ); IDELAYE2 #( @@ -17494,19 +17937,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_5 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay5), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay5), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed5) + .DATAOUT(k7ddrphy_dq_i_delayed5) ); IOBUF IOBUF_5( - .I(main_k7ddrphy_dq_o_delayed5), - .T(main_k7ddrphy_dq_t5), + .I(k7ddrphy_dq_o_delayed5), + .T(k7ddrphy_dq_t5), .IO(ddram_dq[5]), - .O(main_k7ddrphy_dq_i_nodelay5) + .O(k7ddrphy_dq_i_nodelay5) ); OSERDESE2 #( @@ -17518,20 +17961,20 @@ OSERDESE2 #( ) OSERDESE2_40 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip60[0]), - .D2(main_k7ddrphy_bitslip60[1]), - .D3(main_k7ddrphy_bitslip60[2]), - .D4(main_k7ddrphy_bitslip60[3]), - .D5(main_k7ddrphy_bitslip60[4]), - .D6(main_k7ddrphy_bitslip60[5]), - .D7(main_k7ddrphy_bitslip60[6]), - .D8(main_k7ddrphy_bitslip60[7]), + .D1(k7ddrphy_bitslip60[0]), + .D2(k7ddrphy_bitslip60[1]), + .D3(k7ddrphy_bitslip60[2]), + .D4(k7ddrphy_bitslip60[3]), + .D5(k7ddrphy_bitslip60[4]), + .D6(k7ddrphy_bitslip60[5]), + .D7(k7ddrphy_bitslip60[6]), + .D8(k7ddrphy_bitslip60[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay6), - .TQ(main_k7ddrphy_dq_t6) + .OQ(k7ddrphy_dq_o_nodelay6), + .TQ(k7ddrphy_dq_t6) ); ISERDESE2 #( @@ -17547,16 +17990,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed6), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip61[7]), - .Q2(main_k7ddrphy_bitslip61[6]), - .Q3(main_k7ddrphy_bitslip61[5]), - .Q4(main_k7ddrphy_bitslip61[4]), - .Q5(main_k7ddrphy_bitslip61[3]), - .Q6(main_k7ddrphy_bitslip61[2]), - .Q7(main_k7ddrphy_bitslip61[1]), - .Q8(main_k7ddrphy_bitslip61[0]) + .DDLY(k7ddrphy_dq_i_delayed6), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip61[7]), + .Q2(k7ddrphy_bitslip61[6]), + .Q3(k7ddrphy_bitslip61[5]), + .Q4(k7ddrphy_bitslip61[4]), + .Q5(k7ddrphy_bitslip61[3]), + .Q6(k7ddrphy_bitslip61[2]), + .Q7(k7ddrphy_bitslip61[1]), + .Q8(k7ddrphy_bitslip61[0]) ); ODELAYE2 #( @@ -17570,12 +18013,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_40 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed6), - .ODATAIN(main_k7ddrphy_dq_o_nodelay6) + .DATAOUT(k7ddrphy_dq_o_delayed6), + .ODATAIN(k7ddrphy_dq_o_nodelay6) ); IDELAYE2 #( @@ -17589,19 +18032,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_6 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay6), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay6), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed6) + .DATAOUT(k7ddrphy_dq_i_delayed6) ); IOBUF IOBUF_6( - .I(main_k7ddrphy_dq_o_delayed6), - .T(main_k7ddrphy_dq_t6), + .I(k7ddrphy_dq_o_delayed6), + .T(k7ddrphy_dq_t6), .IO(ddram_dq[6]), - .O(main_k7ddrphy_dq_i_nodelay6) + .O(k7ddrphy_dq_i_nodelay6) ); OSERDESE2 #( @@ -17613,20 +18056,20 @@ OSERDESE2 #( ) OSERDESE2_41 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip70[0]), - .D2(main_k7ddrphy_bitslip70[1]), - .D3(main_k7ddrphy_bitslip70[2]), - .D4(main_k7ddrphy_bitslip70[3]), - .D5(main_k7ddrphy_bitslip70[4]), - .D6(main_k7ddrphy_bitslip70[5]), - .D7(main_k7ddrphy_bitslip70[6]), - .D8(main_k7ddrphy_bitslip70[7]), + .D1(k7ddrphy_bitslip70[0]), + .D2(k7ddrphy_bitslip70[1]), + .D3(k7ddrphy_bitslip70[2]), + .D4(k7ddrphy_bitslip70[3]), + .D5(k7ddrphy_bitslip70[4]), + .D6(k7ddrphy_bitslip70[5]), + .D7(k7ddrphy_bitslip70[6]), + .D8(k7ddrphy_bitslip70[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay7), - .TQ(main_k7ddrphy_dq_t7) + .OQ(k7ddrphy_dq_o_nodelay7), + .TQ(k7ddrphy_dq_t7) ); ISERDESE2 #( @@ -17642,16 +18085,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed7), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip71[7]), - .Q2(main_k7ddrphy_bitslip71[6]), - .Q3(main_k7ddrphy_bitslip71[5]), - .Q4(main_k7ddrphy_bitslip71[4]), - .Q5(main_k7ddrphy_bitslip71[3]), - .Q6(main_k7ddrphy_bitslip71[2]), - .Q7(main_k7ddrphy_bitslip71[1]), - .Q8(main_k7ddrphy_bitslip71[0]) + .DDLY(k7ddrphy_dq_i_delayed7), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip71[7]), + .Q2(k7ddrphy_bitslip71[6]), + .Q3(k7ddrphy_bitslip71[5]), + .Q4(k7ddrphy_bitslip71[4]), + .Q5(k7ddrphy_bitslip71[3]), + .Q6(k7ddrphy_bitslip71[2]), + .Q7(k7ddrphy_bitslip71[1]), + .Q8(k7ddrphy_bitslip71[0]) ); ODELAYE2 #( @@ -17665,12 +18108,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_41 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed7), - .ODATAIN(main_k7ddrphy_dq_o_nodelay7) + .DATAOUT(k7ddrphy_dq_o_delayed7), + .ODATAIN(k7ddrphy_dq_o_nodelay7) ); IDELAYE2 #( @@ -17684,19 +18127,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_7 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay7), + .CE((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay7), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[0] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[0] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed7) + .DATAOUT(k7ddrphy_dq_i_delayed7) ); IOBUF IOBUF_7( - .I(main_k7ddrphy_dq_o_delayed7), - .T(main_k7ddrphy_dq_t7), + .I(k7ddrphy_dq_o_delayed7), + .T(k7ddrphy_dq_t7), .IO(ddram_dq[7]), - .O(main_k7ddrphy_dq_i_nodelay7) + .O(k7ddrphy_dq_i_nodelay7) ); OSERDESE2 #( @@ -17708,20 +18151,20 @@ OSERDESE2 #( ) OSERDESE2_42 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip80[0]), - .D2(main_k7ddrphy_bitslip80[1]), - .D3(main_k7ddrphy_bitslip80[2]), - .D4(main_k7ddrphy_bitslip80[3]), - .D5(main_k7ddrphy_bitslip80[4]), - .D6(main_k7ddrphy_bitslip80[5]), - .D7(main_k7ddrphy_bitslip80[6]), - .D8(main_k7ddrphy_bitslip80[7]), + .D1(k7ddrphy_bitslip80[0]), + .D2(k7ddrphy_bitslip80[1]), + .D3(k7ddrphy_bitslip80[2]), + .D4(k7ddrphy_bitslip80[3]), + .D5(k7ddrphy_bitslip80[4]), + .D6(k7ddrphy_bitslip80[5]), + .D7(k7ddrphy_bitslip80[6]), + .D8(k7ddrphy_bitslip80[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay8), - .TQ(main_k7ddrphy_dq_t8) + .OQ(k7ddrphy_dq_o_nodelay8), + .TQ(k7ddrphy_dq_t8) ); ISERDESE2 #( @@ -17737,16 +18180,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed8), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip81[7]), - .Q2(main_k7ddrphy_bitslip81[6]), - .Q3(main_k7ddrphy_bitslip81[5]), - .Q4(main_k7ddrphy_bitslip81[4]), - .Q5(main_k7ddrphy_bitslip81[3]), - .Q6(main_k7ddrphy_bitslip81[2]), - .Q7(main_k7ddrphy_bitslip81[1]), - .Q8(main_k7ddrphy_bitslip81[0]) + .DDLY(k7ddrphy_dq_i_delayed8), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip81[7]), + .Q2(k7ddrphy_bitslip81[6]), + .Q3(k7ddrphy_bitslip81[5]), + .Q4(k7ddrphy_bitslip81[4]), + .Q5(k7ddrphy_bitslip81[3]), + .Q6(k7ddrphy_bitslip81[2]), + .Q7(k7ddrphy_bitslip81[1]), + .Q8(k7ddrphy_bitslip81[0]) ); ODELAYE2 #( @@ -17760,12 +18203,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_42 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed8), - .ODATAIN(main_k7ddrphy_dq_o_nodelay8) + .DATAOUT(k7ddrphy_dq_o_delayed8), + .ODATAIN(k7ddrphy_dq_o_nodelay8) ); IDELAYE2 #( @@ -17779,19 +18222,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_8 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay8), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay8), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed8) + .DATAOUT(k7ddrphy_dq_i_delayed8) ); IOBUF IOBUF_8( - .I(main_k7ddrphy_dq_o_delayed8), - .T(main_k7ddrphy_dq_t8), + .I(k7ddrphy_dq_o_delayed8), + .T(k7ddrphy_dq_t8), .IO(ddram_dq[8]), - .O(main_k7ddrphy_dq_i_nodelay8) + .O(k7ddrphy_dq_i_nodelay8) ); OSERDESE2 #( @@ -17803,20 +18246,20 @@ OSERDESE2 #( ) OSERDESE2_43 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip90[0]), - .D2(main_k7ddrphy_bitslip90[1]), - .D3(main_k7ddrphy_bitslip90[2]), - .D4(main_k7ddrphy_bitslip90[3]), - .D5(main_k7ddrphy_bitslip90[4]), - .D6(main_k7ddrphy_bitslip90[5]), - .D7(main_k7ddrphy_bitslip90[6]), - .D8(main_k7ddrphy_bitslip90[7]), + .D1(k7ddrphy_bitslip90[0]), + .D2(k7ddrphy_bitslip90[1]), + .D3(k7ddrphy_bitslip90[2]), + .D4(k7ddrphy_bitslip90[3]), + .D5(k7ddrphy_bitslip90[4]), + .D6(k7ddrphy_bitslip90[5]), + .D7(k7ddrphy_bitslip90[6]), + .D8(k7ddrphy_bitslip90[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay9), - .TQ(main_k7ddrphy_dq_t9) + .OQ(k7ddrphy_dq_o_nodelay9), + .TQ(k7ddrphy_dq_t9) ); ISERDESE2 #( @@ -17832,16 +18275,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed9), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip91[7]), - .Q2(main_k7ddrphy_bitslip91[6]), - .Q3(main_k7ddrphy_bitslip91[5]), - .Q4(main_k7ddrphy_bitslip91[4]), - .Q5(main_k7ddrphy_bitslip91[3]), - .Q6(main_k7ddrphy_bitslip91[2]), - .Q7(main_k7ddrphy_bitslip91[1]), - .Q8(main_k7ddrphy_bitslip91[0]) + .DDLY(k7ddrphy_dq_i_delayed9), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip91[7]), + .Q2(k7ddrphy_bitslip91[6]), + .Q3(k7ddrphy_bitslip91[5]), + .Q4(k7ddrphy_bitslip91[4]), + .Q5(k7ddrphy_bitslip91[3]), + .Q6(k7ddrphy_bitslip91[2]), + .Q7(k7ddrphy_bitslip91[1]), + .Q8(k7ddrphy_bitslip91[0]) ); ODELAYE2 #( @@ -17855,12 +18298,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_43 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed9), - .ODATAIN(main_k7ddrphy_dq_o_nodelay9) + .DATAOUT(k7ddrphy_dq_o_delayed9), + .ODATAIN(k7ddrphy_dq_o_nodelay9) ); IDELAYE2 #( @@ -17874,19 +18317,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_9 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay9), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay9), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed9) + .DATAOUT(k7ddrphy_dq_i_delayed9) ); IOBUF IOBUF_9( - .I(main_k7ddrphy_dq_o_delayed9), - .T(main_k7ddrphy_dq_t9), + .I(k7ddrphy_dq_o_delayed9), + .T(k7ddrphy_dq_t9), .IO(ddram_dq[9]), - .O(main_k7ddrphy_dq_i_nodelay9) + .O(k7ddrphy_dq_i_nodelay9) ); OSERDESE2 #( @@ -17898,20 +18341,20 @@ OSERDESE2 #( ) OSERDESE2_44 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip100[0]), - .D2(main_k7ddrphy_bitslip100[1]), - .D3(main_k7ddrphy_bitslip100[2]), - .D4(main_k7ddrphy_bitslip100[3]), - .D5(main_k7ddrphy_bitslip100[4]), - .D6(main_k7ddrphy_bitslip100[5]), - .D7(main_k7ddrphy_bitslip100[6]), - .D8(main_k7ddrphy_bitslip100[7]), + .D1(k7ddrphy_bitslip100[0]), + .D2(k7ddrphy_bitslip100[1]), + .D3(k7ddrphy_bitslip100[2]), + .D4(k7ddrphy_bitslip100[3]), + .D5(k7ddrphy_bitslip100[4]), + .D6(k7ddrphy_bitslip100[5]), + .D7(k7ddrphy_bitslip100[6]), + .D8(k7ddrphy_bitslip100[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay10), - .TQ(main_k7ddrphy_dq_t10) + .OQ(k7ddrphy_dq_o_nodelay10), + .TQ(k7ddrphy_dq_t10) ); ISERDESE2 #( @@ -17927,16 +18370,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed10), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip101[7]), - .Q2(main_k7ddrphy_bitslip101[6]), - .Q3(main_k7ddrphy_bitslip101[5]), - .Q4(main_k7ddrphy_bitslip101[4]), - .Q5(main_k7ddrphy_bitslip101[3]), - .Q6(main_k7ddrphy_bitslip101[2]), - .Q7(main_k7ddrphy_bitslip101[1]), - .Q8(main_k7ddrphy_bitslip101[0]) + .DDLY(k7ddrphy_dq_i_delayed10), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip101[7]), + .Q2(k7ddrphy_bitslip101[6]), + .Q3(k7ddrphy_bitslip101[5]), + .Q4(k7ddrphy_bitslip101[4]), + .Q5(k7ddrphy_bitslip101[3]), + .Q6(k7ddrphy_bitslip101[2]), + .Q7(k7ddrphy_bitslip101[1]), + .Q8(k7ddrphy_bitslip101[0]) ); ODELAYE2 #( @@ -17950,12 +18393,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_44 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed10), - .ODATAIN(main_k7ddrphy_dq_o_nodelay10) + .DATAOUT(k7ddrphy_dq_o_delayed10), + .ODATAIN(k7ddrphy_dq_o_nodelay10) ); IDELAYE2 #( @@ -17969,19 +18412,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_10 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay10), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay10), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed10) + .DATAOUT(k7ddrphy_dq_i_delayed10) ); IOBUF IOBUF_10( - .I(main_k7ddrphy_dq_o_delayed10), - .T(main_k7ddrphy_dq_t10), + .I(k7ddrphy_dq_o_delayed10), + .T(k7ddrphy_dq_t10), .IO(ddram_dq[10]), - .O(main_k7ddrphy_dq_i_nodelay10) + .O(k7ddrphy_dq_i_nodelay10) ); OSERDESE2 #( @@ -17993,20 +18436,20 @@ OSERDESE2 #( ) OSERDESE2_45 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip110[0]), - .D2(main_k7ddrphy_bitslip110[1]), - .D3(main_k7ddrphy_bitslip110[2]), - .D4(main_k7ddrphy_bitslip110[3]), - .D5(main_k7ddrphy_bitslip110[4]), - .D6(main_k7ddrphy_bitslip110[5]), - .D7(main_k7ddrphy_bitslip110[6]), - .D8(main_k7ddrphy_bitslip110[7]), + .D1(k7ddrphy_bitslip110[0]), + .D2(k7ddrphy_bitslip110[1]), + .D3(k7ddrphy_bitslip110[2]), + .D4(k7ddrphy_bitslip110[3]), + .D5(k7ddrphy_bitslip110[4]), + .D6(k7ddrphy_bitslip110[5]), + .D7(k7ddrphy_bitslip110[6]), + .D8(k7ddrphy_bitslip110[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay11), - .TQ(main_k7ddrphy_dq_t11) + .OQ(k7ddrphy_dq_o_nodelay11), + .TQ(k7ddrphy_dq_t11) ); ISERDESE2 #( @@ -18022,16 +18465,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed11), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip111[7]), - .Q2(main_k7ddrphy_bitslip111[6]), - .Q3(main_k7ddrphy_bitslip111[5]), - .Q4(main_k7ddrphy_bitslip111[4]), - .Q5(main_k7ddrphy_bitslip111[3]), - .Q6(main_k7ddrphy_bitslip111[2]), - .Q7(main_k7ddrphy_bitslip111[1]), - .Q8(main_k7ddrphy_bitslip111[0]) + .DDLY(k7ddrphy_dq_i_delayed11), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip111[7]), + .Q2(k7ddrphy_bitslip111[6]), + .Q3(k7ddrphy_bitslip111[5]), + .Q4(k7ddrphy_bitslip111[4]), + .Q5(k7ddrphy_bitslip111[3]), + .Q6(k7ddrphy_bitslip111[2]), + .Q7(k7ddrphy_bitslip111[1]), + .Q8(k7ddrphy_bitslip111[0]) ); ODELAYE2 #( @@ -18045,12 +18488,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_45 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed11), - .ODATAIN(main_k7ddrphy_dq_o_nodelay11) + .DATAOUT(k7ddrphy_dq_o_delayed11), + .ODATAIN(k7ddrphy_dq_o_nodelay11) ); IDELAYE2 #( @@ -18064,19 +18507,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_11 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay11), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay11), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed11) + .DATAOUT(k7ddrphy_dq_i_delayed11) ); IOBUF IOBUF_11( - .I(main_k7ddrphy_dq_o_delayed11), - .T(main_k7ddrphy_dq_t11), + .I(k7ddrphy_dq_o_delayed11), + .T(k7ddrphy_dq_t11), .IO(ddram_dq[11]), - .O(main_k7ddrphy_dq_i_nodelay11) + .O(k7ddrphy_dq_i_nodelay11) ); OSERDESE2 #( @@ -18088,20 +18531,20 @@ OSERDESE2 #( ) OSERDESE2_46 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip120[0]), - .D2(main_k7ddrphy_bitslip120[1]), - .D3(main_k7ddrphy_bitslip120[2]), - .D4(main_k7ddrphy_bitslip120[3]), - .D5(main_k7ddrphy_bitslip120[4]), - .D6(main_k7ddrphy_bitslip120[5]), - .D7(main_k7ddrphy_bitslip120[6]), - .D8(main_k7ddrphy_bitslip120[7]), + .D1(k7ddrphy_bitslip120[0]), + .D2(k7ddrphy_bitslip120[1]), + .D3(k7ddrphy_bitslip120[2]), + .D4(k7ddrphy_bitslip120[3]), + .D5(k7ddrphy_bitslip120[4]), + .D6(k7ddrphy_bitslip120[5]), + .D7(k7ddrphy_bitslip120[6]), + .D8(k7ddrphy_bitslip120[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay12), - .TQ(main_k7ddrphy_dq_t12) + .OQ(k7ddrphy_dq_o_nodelay12), + .TQ(k7ddrphy_dq_t12) ); ISERDESE2 #( @@ -18117,16 +18560,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed12), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip121[7]), - .Q2(main_k7ddrphy_bitslip121[6]), - .Q3(main_k7ddrphy_bitslip121[5]), - .Q4(main_k7ddrphy_bitslip121[4]), - .Q5(main_k7ddrphy_bitslip121[3]), - .Q6(main_k7ddrphy_bitslip121[2]), - .Q7(main_k7ddrphy_bitslip121[1]), - .Q8(main_k7ddrphy_bitslip121[0]) + .DDLY(k7ddrphy_dq_i_delayed12), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip121[7]), + .Q2(k7ddrphy_bitslip121[6]), + .Q3(k7ddrphy_bitslip121[5]), + .Q4(k7ddrphy_bitslip121[4]), + .Q5(k7ddrphy_bitslip121[3]), + .Q6(k7ddrphy_bitslip121[2]), + .Q7(k7ddrphy_bitslip121[1]), + .Q8(k7ddrphy_bitslip121[0]) ); ODELAYE2 #( @@ -18140,12 +18583,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_46 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed12), - .ODATAIN(main_k7ddrphy_dq_o_nodelay12) + .DATAOUT(k7ddrphy_dq_o_delayed12), + .ODATAIN(k7ddrphy_dq_o_nodelay12) ); IDELAYE2 #( @@ -18159,19 +18602,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_12 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay12), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay12), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed12) + .DATAOUT(k7ddrphy_dq_i_delayed12) ); IOBUF IOBUF_12( - .I(main_k7ddrphy_dq_o_delayed12), - .T(main_k7ddrphy_dq_t12), + .I(k7ddrphy_dq_o_delayed12), + .T(k7ddrphy_dq_t12), .IO(ddram_dq[12]), - .O(main_k7ddrphy_dq_i_nodelay12) + .O(k7ddrphy_dq_i_nodelay12) ); OSERDESE2 #( @@ -18183,20 +18626,20 @@ OSERDESE2 #( ) OSERDESE2_47 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip130[0]), - .D2(main_k7ddrphy_bitslip130[1]), - .D3(main_k7ddrphy_bitslip130[2]), - .D4(main_k7ddrphy_bitslip130[3]), - .D5(main_k7ddrphy_bitslip130[4]), - .D6(main_k7ddrphy_bitslip130[5]), - .D7(main_k7ddrphy_bitslip130[6]), - .D8(main_k7ddrphy_bitslip130[7]), + .D1(k7ddrphy_bitslip130[0]), + .D2(k7ddrphy_bitslip130[1]), + .D3(k7ddrphy_bitslip130[2]), + .D4(k7ddrphy_bitslip130[3]), + .D5(k7ddrphy_bitslip130[4]), + .D6(k7ddrphy_bitslip130[5]), + .D7(k7ddrphy_bitslip130[6]), + .D8(k7ddrphy_bitslip130[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay13), - .TQ(main_k7ddrphy_dq_t13) + .OQ(k7ddrphy_dq_o_nodelay13), + .TQ(k7ddrphy_dq_t13) ); ISERDESE2 #( @@ -18212,16 +18655,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed13), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip131[7]), - .Q2(main_k7ddrphy_bitslip131[6]), - .Q3(main_k7ddrphy_bitslip131[5]), - .Q4(main_k7ddrphy_bitslip131[4]), - .Q5(main_k7ddrphy_bitslip131[3]), - .Q6(main_k7ddrphy_bitslip131[2]), - .Q7(main_k7ddrphy_bitslip131[1]), - .Q8(main_k7ddrphy_bitslip131[0]) + .DDLY(k7ddrphy_dq_i_delayed13), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip131[7]), + .Q2(k7ddrphy_bitslip131[6]), + .Q3(k7ddrphy_bitslip131[5]), + .Q4(k7ddrphy_bitslip131[4]), + .Q5(k7ddrphy_bitslip131[3]), + .Q6(k7ddrphy_bitslip131[2]), + .Q7(k7ddrphy_bitslip131[1]), + .Q8(k7ddrphy_bitslip131[0]) ); ODELAYE2 #( @@ -18235,12 +18678,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_47 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed13), - .ODATAIN(main_k7ddrphy_dq_o_nodelay13) + .DATAOUT(k7ddrphy_dq_o_delayed13), + .ODATAIN(k7ddrphy_dq_o_nodelay13) ); IDELAYE2 #( @@ -18254,19 +18697,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_13 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay13), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay13), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed13) + .DATAOUT(k7ddrphy_dq_i_delayed13) ); IOBUF IOBUF_13( - .I(main_k7ddrphy_dq_o_delayed13), - .T(main_k7ddrphy_dq_t13), + .I(k7ddrphy_dq_o_delayed13), + .T(k7ddrphy_dq_t13), .IO(ddram_dq[13]), - .O(main_k7ddrphy_dq_i_nodelay13) + .O(k7ddrphy_dq_i_nodelay13) ); OSERDESE2 #( @@ -18278,20 +18721,20 @@ OSERDESE2 #( ) OSERDESE2_48 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip140[0]), - .D2(main_k7ddrphy_bitslip140[1]), - .D3(main_k7ddrphy_bitslip140[2]), - .D4(main_k7ddrphy_bitslip140[3]), - .D5(main_k7ddrphy_bitslip140[4]), - .D6(main_k7ddrphy_bitslip140[5]), - .D7(main_k7ddrphy_bitslip140[6]), - .D8(main_k7ddrphy_bitslip140[7]), + .D1(k7ddrphy_bitslip140[0]), + .D2(k7ddrphy_bitslip140[1]), + .D3(k7ddrphy_bitslip140[2]), + .D4(k7ddrphy_bitslip140[3]), + .D5(k7ddrphy_bitslip140[4]), + .D6(k7ddrphy_bitslip140[5]), + .D7(k7ddrphy_bitslip140[6]), + .D8(k7ddrphy_bitslip140[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay14), - .TQ(main_k7ddrphy_dq_t14) + .OQ(k7ddrphy_dq_o_nodelay14), + .TQ(k7ddrphy_dq_t14) ); ISERDESE2 #( @@ -18307,16 +18750,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed14), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip141[7]), - .Q2(main_k7ddrphy_bitslip141[6]), - .Q3(main_k7ddrphy_bitslip141[5]), - .Q4(main_k7ddrphy_bitslip141[4]), - .Q5(main_k7ddrphy_bitslip141[3]), - .Q6(main_k7ddrphy_bitslip141[2]), - .Q7(main_k7ddrphy_bitslip141[1]), - .Q8(main_k7ddrphy_bitslip141[0]) + .DDLY(k7ddrphy_dq_i_delayed14), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip141[7]), + .Q2(k7ddrphy_bitslip141[6]), + .Q3(k7ddrphy_bitslip141[5]), + .Q4(k7ddrphy_bitslip141[4]), + .Q5(k7ddrphy_bitslip141[3]), + .Q6(k7ddrphy_bitslip141[2]), + .Q7(k7ddrphy_bitslip141[1]), + .Q8(k7ddrphy_bitslip141[0]) ); ODELAYE2 #( @@ -18330,12 +18773,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_48 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed14), - .ODATAIN(main_k7ddrphy_dq_o_nodelay14) + .DATAOUT(k7ddrphy_dq_o_delayed14), + .ODATAIN(k7ddrphy_dq_o_nodelay14) ); IDELAYE2 #( @@ -18349,19 +18792,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_14 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay14), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay14), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed14) + .DATAOUT(k7ddrphy_dq_i_delayed14) ); IOBUF IOBUF_14( - .I(main_k7ddrphy_dq_o_delayed14), - .T(main_k7ddrphy_dq_t14), + .I(k7ddrphy_dq_o_delayed14), + .T(k7ddrphy_dq_t14), .IO(ddram_dq[14]), - .O(main_k7ddrphy_dq_i_nodelay14) + .O(k7ddrphy_dq_i_nodelay14) ); OSERDESE2 #( @@ -18373,20 +18816,20 @@ OSERDESE2 #( ) OSERDESE2_49 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip150[0]), - .D2(main_k7ddrphy_bitslip150[1]), - .D3(main_k7ddrphy_bitslip150[2]), - .D4(main_k7ddrphy_bitslip150[3]), - .D5(main_k7ddrphy_bitslip150[4]), - .D6(main_k7ddrphy_bitslip150[5]), - .D7(main_k7ddrphy_bitslip150[6]), - .D8(main_k7ddrphy_bitslip150[7]), + .D1(k7ddrphy_bitslip150[0]), + .D2(k7ddrphy_bitslip150[1]), + .D3(k7ddrphy_bitslip150[2]), + .D4(k7ddrphy_bitslip150[3]), + .D5(k7ddrphy_bitslip150[4]), + .D6(k7ddrphy_bitslip150[5]), + .D7(k7ddrphy_bitslip150[6]), + .D8(k7ddrphy_bitslip150[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay15), - .TQ(main_k7ddrphy_dq_t15) + .OQ(k7ddrphy_dq_o_nodelay15), + .TQ(k7ddrphy_dq_t15) ); ISERDESE2 #( @@ -18402,16 +18845,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed15), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip151[7]), - .Q2(main_k7ddrphy_bitslip151[6]), - .Q3(main_k7ddrphy_bitslip151[5]), - .Q4(main_k7ddrphy_bitslip151[4]), - .Q5(main_k7ddrphy_bitslip151[3]), - .Q6(main_k7ddrphy_bitslip151[2]), - .Q7(main_k7ddrphy_bitslip151[1]), - .Q8(main_k7ddrphy_bitslip151[0]) + .DDLY(k7ddrphy_dq_i_delayed15), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip151[7]), + .Q2(k7ddrphy_bitslip151[6]), + .Q3(k7ddrphy_bitslip151[5]), + .Q4(k7ddrphy_bitslip151[4]), + .Q5(k7ddrphy_bitslip151[3]), + .Q6(k7ddrphy_bitslip151[2]), + .Q7(k7ddrphy_bitslip151[1]), + .Q8(k7ddrphy_bitslip151[0]) ); ODELAYE2 #( @@ -18425,12 +18868,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_49 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed15), - .ODATAIN(main_k7ddrphy_dq_o_nodelay15) + .DATAOUT(k7ddrphy_dq_o_delayed15), + .ODATAIN(k7ddrphy_dq_o_nodelay15) ); IDELAYE2 #( @@ -18444,19 +18887,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_15 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay15), + .CE((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay15), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[1] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[1] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed15) + .DATAOUT(k7ddrphy_dq_i_delayed15) ); IOBUF IOBUF_15( - .I(main_k7ddrphy_dq_o_delayed15), - .T(main_k7ddrphy_dq_t15), + .I(k7ddrphy_dq_o_delayed15), + .T(k7ddrphy_dq_t15), .IO(ddram_dq[15]), - .O(main_k7ddrphy_dq_i_nodelay15) + .O(k7ddrphy_dq_i_nodelay15) ); OSERDESE2 #( @@ -18468,20 +18911,20 @@ OSERDESE2 #( ) OSERDESE2_50 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip160[0]), - .D2(main_k7ddrphy_bitslip160[1]), - .D3(main_k7ddrphy_bitslip160[2]), - .D4(main_k7ddrphy_bitslip160[3]), - .D5(main_k7ddrphy_bitslip160[4]), - .D6(main_k7ddrphy_bitslip160[5]), - .D7(main_k7ddrphy_bitslip160[6]), - .D8(main_k7ddrphy_bitslip160[7]), + .D1(k7ddrphy_bitslip160[0]), + .D2(k7ddrphy_bitslip160[1]), + .D3(k7ddrphy_bitslip160[2]), + .D4(k7ddrphy_bitslip160[3]), + .D5(k7ddrphy_bitslip160[4]), + .D6(k7ddrphy_bitslip160[5]), + .D7(k7ddrphy_bitslip160[6]), + .D8(k7ddrphy_bitslip160[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay16), - .TQ(main_k7ddrphy_dq_t16) + .OQ(k7ddrphy_dq_o_nodelay16), + .TQ(k7ddrphy_dq_t16) ); ISERDESE2 #( @@ -18497,16 +18940,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed16), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip161[7]), - .Q2(main_k7ddrphy_bitslip161[6]), - .Q3(main_k7ddrphy_bitslip161[5]), - .Q4(main_k7ddrphy_bitslip161[4]), - .Q5(main_k7ddrphy_bitslip161[3]), - .Q6(main_k7ddrphy_bitslip161[2]), - .Q7(main_k7ddrphy_bitslip161[1]), - .Q8(main_k7ddrphy_bitslip161[0]) + .DDLY(k7ddrphy_dq_i_delayed16), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip161[7]), + .Q2(k7ddrphy_bitslip161[6]), + .Q3(k7ddrphy_bitslip161[5]), + .Q4(k7ddrphy_bitslip161[4]), + .Q5(k7ddrphy_bitslip161[3]), + .Q6(k7ddrphy_bitslip161[2]), + .Q7(k7ddrphy_bitslip161[1]), + .Q8(k7ddrphy_bitslip161[0]) ); ODELAYE2 #( @@ -18520,12 +18963,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_50 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed16), - .ODATAIN(main_k7ddrphy_dq_o_nodelay16) + .DATAOUT(k7ddrphy_dq_o_delayed16), + .ODATAIN(k7ddrphy_dq_o_nodelay16) ); IDELAYE2 #( @@ -18539,19 +18982,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_16 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay16), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay16), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed16) + .DATAOUT(k7ddrphy_dq_i_delayed16) ); IOBUF IOBUF_16( - .I(main_k7ddrphy_dq_o_delayed16), - .T(main_k7ddrphy_dq_t16), + .I(k7ddrphy_dq_o_delayed16), + .T(k7ddrphy_dq_t16), .IO(ddram_dq[16]), - .O(main_k7ddrphy_dq_i_nodelay16) + .O(k7ddrphy_dq_i_nodelay16) ); OSERDESE2 #( @@ -18563,20 +19006,20 @@ OSERDESE2 #( ) OSERDESE2_51 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip170[0]), - .D2(main_k7ddrphy_bitslip170[1]), - .D3(main_k7ddrphy_bitslip170[2]), - .D4(main_k7ddrphy_bitslip170[3]), - .D5(main_k7ddrphy_bitslip170[4]), - .D6(main_k7ddrphy_bitslip170[5]), - .D7(main_k7ddrphy_bitslip170[6]), - .D8(main_k7ddrphy_bitslip170[7]), + .D1(k7ddrphy_bitslip170[0]), + .D2(k7ddrphy_bitslip170[1]), + .D3(k7ddrphy_bitslip170[2]), + .D4(k7ddrphy_bitslip170[3]), + .D5(k7ddrphy_bitslip170[4]), + .D6(k7ddrphy_bitslip170[5]), + .D7(k7ddrphy_bitslip170[6]), + .D8(k7ddrphy_bitslip170[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay17), - .TQ(main_k7ddrphy_dq_t17) + .OQ(k7ddrphy_dq_o_nodelay17), + .TQ(k7ddrphy_dq_t17) ); ISERDESE2 #( @@ -18592,16 +19035,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed17), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip171[7]), - .Q2(main_k7ddrphy_bitslip171[6]), - .Q3(main_k7ddrphy_bitslip171[5]), - .Q4(main_k7ddrphy_bitslip171[4]), - .Q5(main_k7ddrphy_bitslip171[3]), - .Q6(main_k7ddrphy_bitslip171[2]), - .Q7(main_k7ddrphy_bitslip171[1]), - .Q8(main_k7ddrphy_bitslip171[0]) + .DDLY(k7ddrphy_dq_i_delayed17), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip171[7]), + .Q2(k7ddrphy_bitslip171[6]), + .Q3(k7ddrphy_bitslip171[5]), + .Q4(k7ddrphy_bitslip171[4]), + .Q5(k7ddrphy_bitslip171[3]), + .Q6(k7ddrphy_bitslip171[2]), + .Q7(k7ddrphy_bitslip171[1]), + .Q8(k7ddrphy_bitslip171[0]) ); ODELAYE2 #( @@ -18615,12 +19058,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_51 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed17), - .ODATAIN(main_k7ddrphy_dq_o_nodelay17) + .DATAOUT(k7ddrphy_dq_o_delayed17), + .ODATAIN(k7ddrphy_dq_o_nodelay17) ); IDELAYE2 #( @@ -18634,19 +19077,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_17 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay17), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay17), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed17) + .DATAOUT(k7ddrphy_dq_i_delayed17) ); IOBUF IOBUF_17( - .I(main_k7ddrphy_dq_o_delayed17), - .T(main_k7ddrphy_dq_t17), + .I(k7ddrphy_dq_o_delayed17), + .T(k7ddrphy_dq_t17), .IO(ddram_dq[17]), - .O(main_k7ddrphy_dq_i_nodelay17) + .O(k7ddrphy_dq_i_nodelay17) ); OSERDESE2 #( @@ -18658,20 +19101,20 @@ OSERDESE2 #( ) OSERDESE2_52 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip180[0]), - .D2(main_k7ddrphy_bitslip180[1]), - .D3(main_k7ddrphy_bitslip180[2]), - .D4(main_k7ddrphy_bitslip180[3]), - .D5(main_k7ddrphy_bitslip180[4]), - .D6(main_k7ddrphy_bitslip180[5]), - .D7(main_k7ddrphy_bitslip180[6]), - .D8(main_k7ddrphy_bitslip180[7]), + .D1(k7ddrphy_bitslip180[0]), + .D2(k7ddrphy_bitslip180[1]), + .D3(k7ddrphy_bitslip180[2]), + .D4(k7ddrphy_bitslip180[3]), + .D5(k7ddrphy_bitslip180[4]), + .D6(k7ddrphy_bitslip180[5]), + .D7(k7ddrphy_bitslip180[6]), + .D8(k7ddrphy_bitslip180[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay18), - .TQ(main_k7ddrphy_dq_t18) + .OQ(k7ddrphy_dq_o_nodelay18), + .TQ(k7ddrphy_dq_t18) ); ISERDESE2 #( @@ -18687,16 +19130,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed18), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip181[7]), - .Q2(main_k7ddrphy_bitslip181[6]), - .Q3(main_k7ddrphy_bitslip181[5]), - .Q4(main_k7ddrphy_bitslip181[4]), - .Q5(main_k7ddrphy_bitslip181[3]), - .Q6(main_k7ddrphy_bitslip181[2]), - .Q7(main_k7ddrphy_bitslip181[1]), - .Q8(main_k7ddrphy_bitslip181[0]) + .DDLY(k7ddrphy_dq_i_delayed18), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip181[7]), + .Q2(k7ddrphy_bitslip181[6]), + .Q3(k7ddrphy_bitslip181[5]), + .Q4(k7ddrphy_bitslip181[4]), + .Q5(k7ddrphy_bitslip181[3]), + .Q6(k7ddrphy_bitslip181[2]), + .Q7(k7ddrphy_bitslip181[1]), + .Q8(k7ddrphy_bitslip181[0]) ); ODELAYE2 #( @@ -18710,12 +19153,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_52 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed18), - .ODATAIN(main_k7ddrphy_dq_o_nodelay18) + .DATAOUT(k7ddrphy_dq_o_delayed18), + .ODATAIN(k7ddrphy_dq_o_nodelay18) ); IDELAYE2 #( @@ -18729,19 +19172,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_18 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay18), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay18), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed18) + .DATAOUT(k7ddrphy_dq_i_delayed18) ); IOBUF IOBUF_18( - .I(main_k7ddrphy_dq_o_delayed18), - .T(main_k7ddrphy_dq_t18), + .I(k7ddrphy_dq_o_delayed18), + .T(k7ddrphy_dq_t18), .IO(ddram_dq[18]), - .O(main_k7ddrphy_dq_i_nodelay18) + .O(k7ddrphy_dq_i_nodelay18) ); OSERDESE2 #( @@ -18753,20 +19196,20 @@ OSERDESE2 #( ) OSERDESE2_53 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip190[0]), - .D2(main_k7ddrphy_bitslip190[1]), - .D3(main_k7ddrphy_bitslip190[2]), - .D4(main_k7ddrphy_bitslip190[3]), - .D5(main_k7ddrphy_bitslip190[4]), - .D6(main_k7ddrphy_bitslip190[5]), - .D7(main_k7ddrphy_bitslip190[6]), - .D8(main_k7ddrphy_bitslip190[7]), + .D1(k7ddrphy_bitslip190[0]), + .D2(k7ddrphy_bitslip190[1]), + .D3(k7ddrphy_bitslip190[2]), + .D4(k7ddrphy_bitslip190[3]), + .D5(k7ddrphy_bitslip190[4]), + .D6(k7ddrphy_bitslip190[5]), + .D7(k7ddrphy_bitslip190[6]), + .D8(k7ddrphy_bitslip190[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay19), - .TQ(main_k7ddrphy_dq_t19) + .OQ(k7ddrphy_dq_o_nodelay19), + .TQ(k7ddrphy_dq_t19) ); ISERDESE2 #( @@ -18782,16 +19225,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed19), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip191[7]), - .Q2(main_k7ddrphy_bitslip191[6]), - .Q3(main_k7ddrphy_bitslip191[5]), - .Q4(main_k7ddrphy_bitslip191[4]), - .Q5(main_k7ddrphy_bitslip191[3]), - .Q6(main_k7ddrphy_bitslip191[2]), - .Q7(main_k7ddrphy_bitslip191[1]), - .Q8(main_k7ddrphy_bitslip191[0]) + .DDLY(k7ddrphy_dq_i_delayed19), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip191[7]), + .Q2(k7ddrphy_bitslip191[6]), + .Q3(k7ddrphy_bitslip191[5]), + .Q4(k7ddrphy_bitslip191[4]), + .Q5(k7ddrphy_bitslip191[3]), + .Q6(k7ddrphy_bitslip191[2]), + .Q7(k7ddrphy_bitslip191[1]), + .Q8(k7ddrphy_bitslip191[0]) ); ODELAYE2 #( @@ -18805,12 +19248,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_53 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed19), - .ODATAIN(main_k7ddrphy_dq_o_nodelay19) + .DATAOUT(k7ddrphy_dq_o_delayed19), + .ODATAIN(k7ddrphy_dq_o_nodelay19) ); IDELAYE2 #( @@ -18824,19 +19267,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_19 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay19), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay19), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed19) + .DATAOUT(k7ddrphy_dq_i_delayed19) ); IOBUF IOBUF_19( - .I(main_k7ddrphy_dq_o_delayed19), - .T(main_k7ddrphy_dq_t19), + .I(k7ddrphy_dq_o_delayed19), + .T(k7ddrphy_dq_t19), .IO(ddram_dq[19]), - .O(main_k7ddrphy_dq_i_nodelay19) + .O(k7ddrphy_dq_i_nodelay19) ); OSERDESE2 #( @@ -18848,20 +19291,20 @@ OSERDESE2 #( ) OSERDESE2_54 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip200[0]), - .D2(main_k7ddrphy_bitslip200[1]), - .D3(main_k7ddrphy_bitslip200[2]), - .D4(main_k7ddrphy_bitslip200[3]), - .D5(main_k7ddrphy_bitslip200[4]), - .D6(main_k7ddrphy_bitslip200[5]), - .D7(main_k7ddrphy_bitslip200[6]), - .D8(main_k7ddrphy_bitslip200[7]), + .D1(k7ddrphy_bitslip200[0]), + .D2(k7ddrphy_bitslip200[1]), + .D3(k7ddrphy_bitslip200[2]), + .D4(k7ddrphy_bitslip200[3]), + .D5(k7ddrphy_bitslip200[4]), + .D6(k7ddrphy_bitslip200[5]), + .D7(k7ddrphy_bitslip200[6]), + .D8(k7ddrphy_bitslip200[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay20), - .TQ(main_k7ddrphy_dq_t20) + .OQ(k7ddrphy_dq_o_nodelay20), + .TQ(k7ddrphy_dq_t20) ); ISERDESE2 #( @@ -18877,16 +19320,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed20), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip201[7]), - .Q2(main_k7ddrphy_bitslip201[6]), - .Q3(main_k7ddrphy_bitslip201[5]), - .Q4(main_k7ddrphy_bitslip201[4]), - .Q5(main_k7ddrphy_bitslip201[3]), - .Q6(main_k7ddrphy_bitslip201[2]), - .Q7(main_k7ddrphy_bitslip201[1]), - .Q8(main_k7ddrphy_bitslip201[0]) + .DDLY(k7ddrphy_dq_i_delayed20), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip201[7]), + .Q2(k7ddrphy_bitslip201[6]), + .Q3(k7ddrphy_bitslip201[5]), + .Q4(k7ddrphy_bitslip201[4]), + .Q5(k7ddrphy_bitslip201[3]), + .Q6(k7ddrphy_bitslip201[2]), + .Q7(k7ddrphy_bitslip201[1]), + .Q8(k7ddrphy_bitslip201[0]) ); ODELAYE2 #( @@ -18900,12 +19343,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_54 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed20), - .ODATAIN(main_k7ddrphy_dq_o_nodelay20) + .DATAOUT(k7ddrphy_dq_o_delayed20), + .ODATAIN(k7ddrphy_dq_o_nodelay20) ); IDELAYE2 #( @@ -18919,19 +19362,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_20 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay20), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay20), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed20) + .DATAOUT(k7ddrphy_dq_i_delayed20) ); IOBUF IOBUF_20( - .I(main_k7ddrphy_dq_o_delayed20), - .T(main_k7ddrphy_dq_t20), + .I(k7ddrphy_dq_o_delayed20), + .T(k7ddrphy_dq_t20), .IO(ddram_dq[20]), - .O(main_k7ddrphy_dq_i_nodelay20) + .O(k7ddrphy_dq_i_nodelay20) ); OSERDESE2 #( @@ -18943,20 +19386,20 @@ OSERDESE2 #( ) OSERDESE2_55 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip210[0]), - .D2(main_k7ddrphy_bitslip210[1]), - .D3(main_k7ddrphy_bitslip210[2]), - .D4(main_k7ddrphy_bitslip210[3]), - .D5(main_k7ddrphy_bitslip210[4]), - .D6(main_k7ddrphy_bitslip210[5]), - .D7(main_k7ddrphy_bitslip210[6]), - .D8(main_k7ddrphy_bitslip210[7]), + .D1(k7ddrphy_bitslip210[0]), + .D2(k7ddrphy_bitslip210[1]), + .D3(k7ddrphy_bitslip210[2]), + .D4(k7ddrphy_bitslip210[3]), + .D5(k7ddrphy_bitslip210[4]), + .D6(k7ddrphy_bitslip210[5]), + .D7(k7ddrphy_bitslip210[6]), + .D8(k7ddrphy_bitslip210[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay21), - .TQ(main_k7ddrphy_dq_t21) + .OQ(k7ddrphy_dq_o_nodelay21), + .TQ(k7ddrphy_dq_t21) ); ISERDESE2 #( @@ -18972,16 +19415,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed21), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip211[7]), - .Q2(main_k7ddrphy_bitslip211[6]), - .Q3(main_k7ddrphy_bitslip211[5]), - .Q4(main_k7ddrphy_bitslip211[4]), - .Q5(main_k7ddrphy_bitslip211[3]), - .Q6(main_k7ddrphy_bitslip211[2]), - .Q7(main_k7ddrphy_bitslip211[1]), - .Q8(main_k7ddrphy_bitslip211[0]) + .DDLY(k7ddrphy_dq_i_delayed21), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip211[7]), + .Q2(k7ddrphy_bitslip211[6]), + .Q3(k7ddrphy_bitslip211[5]), + .Q4(k7ddrphy_bitslip211[4]), + .Q5(k7ddrphy_bitslip211[3]), + .Q6(k7ddrphy_bitslip211[2]), + .Q7(k7ddrphy_bitslip211[1]), + .Q8(k7ddrphy_bitslip211[0]) ); ODELAYE2 #( @@ -18995,12 +19438,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_55 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed21), - .ODATAIN(main_k7ddrphy_dq_o_nodelay21) + .DATAOUT(k7ddrphy_dq_o_delayed21), + .ODATAIN(k7ddrphy_dq_o_nodelay21) ); IDELAYE2 #( @@ -19014,19 +19457,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_21 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay21), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay21), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed21) + .DATAOUT(k7ddrphy_dq_i_delayed21) ); IOBUF IOBUF_21( - .I(main_k7ddrphy_dq_o_delayed21), - .T(main_k7ddrphy_dq_t21), + .I(k7ddrphy_dq_o_delayed21), + .T(k7ddrphy_dq_t21), .IO(ddram_dq[21]), - .O(main_k7ddrphy_dq_i_nodelay21) + .O(k7ddrphy_dq_i_nodelay21) ); OSERDESE2 #( @@ -19038,20 +19481,20 @@ OSERDESE2 #( ) OSERDESE2_56 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip220[0]), - .D2(main_k7ddrphy_bitslip220[1]), - .D3(main_k7ddrphy_bitslip220[2]), - .D4(main_k7ddrphy_bitslip220[3]), - .D5(main_k7ddrphy_bitslip220[4]), - .D6(main_k7ddrphy_bitslip220[5]), - .D7(main_k7ddrphy_bitslip220[6]), - .D8(main_k7ddrphy_bitslip220[7]), + .D1(k7ddrphy_bitslip220[0]), + .D2(k7ddrphy_bitslip220[1]), + .D3(k7ddrphy_bitslip220[2]), + .D4(k7ddrphy_bitslip220[3]), + .D5(k7ddrphy_bitslip220[4]), + .D6(k7ddrphy_bitslip220[5]), + .D7(k7ddrphy_bitslip220[6]), + .D8(k7ddrphy_bitslip220[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay22), - .TQ(main_k7ddrphy_dq_t22) + .OQ(k7ddrphy_dq_o_nodelay22), + .TQ(k7ddrphy_dq_t22) ); ISERDESE2 #( @@ -19067,16 +19510,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed22), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip221[7]), - .Q2(main_k7ddrphy_bitslip221[6]), - .Q3(main_k7ddrphy_bitslip221[5]), - .Q4(main_k7ddrphy_bitslip221[4]), - .Q5(main_k7ddrphy_bitslip221[3]), - .Q6(main_k7ddrphy_bitslip221[2]), - .Q7(main_k7ddrphy_bitslip221[1]), - .Q8(main_k7ddrphy_bitslip221[0]) + .DDLY(k7ddrphy_dq_i_delayed22), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip221[7]), + .Q2(k7ddrphy_bitslip221[6]), + .Q3(k7ddrphy_bitslip221[5]), + .Q4(k7ddrphy_bitslip221[4]), + .Q5(k7ddrphy_bitslip221[3]), + .Q6(k7ddrphy_bitslip221[2]), + .Q7(k7ddrphy_bitslip221[1]), + .Q8(k7ddrphy_bitslip221[0]) ); ODELAYE2 #( @@ -19090,12 +19533,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_56 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed22), - .ODATAIN(main_k7ddrphy_dq_o_nodelay22) + .DATAOUT(k7ddrphy_dq_o_delayed22), + .ODATAIN(k7ddrphy_dq_o_nodelay22) ); IDELAYE2 #( @@ -19109,19 +19552,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_22 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay22), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay22), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed22) + .DATAOUT(k7ddrphy_dq_i_delayed22) ); IOBUF IOBUF_22( - .I(main_k7ddrphy_dq_o_delayed22), - .T(main_k7ddrphy_dq_t22), + .I(k7ddrphy_dq_o_delayed22), + .T(k7ddrphy_dq_t22), .IO(ddram_dq[22]), - .O(main_k7ddrphy_dq_i_nodelay22) + .O(k7ddrphy_dq_i_nodelay22) ); OSERDESE2 #( @@ -19133,20 +19576,20 @@ OSERDESE2 #( ) OSERDESE2_57 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip230[0]), - .D2(main_k7ddrphy_bitslip230[1]), - .D3(main_k7ddrphy_bitslip230[2]), - .D4(main_k7ddrphy_bitslip230[3]), - .D5(main_k7ddrphy_bitslip230[4]), - .D6(main_k7ddrphy_bitslip230[5]), - .D7(main_k7ddrphy_bitslip230[6]), - .D8(main_k7ddrphy_bitslip230[7]), + .D1(k7ddrphy_bitslip230[0]), + .D2(k7ddrphy_bitslip230[1]), + .D3(k7ddrphy_bitslip230[2]), + .D4(k7ddrphy_bitslip230[3]), + .D5(k7ddrphy_bitslip230[4]), + .D6(k7ddrphy_bitslip230[5]), + .D7(k7ddrphy_bitslip230[6]), + .D8(k7ddrphy_bitslip230[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay23), - .TQ(main_k7ddrphy_dq_t23) + .OQ(k7ddrphy_dq_o_nodelay23), + .TQ(k7ddrphy_dq_t23) ); ISERDESE2 #( @@ -19162,16 +19605,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed23), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip231[7]), - .Q2(main_k7ddrphy_bitslip231[6]), - .Q3(main_k7ddrphy_bitslip231[5]), - .Q4(main_k7ddrphy_bitslip231[4]), - .Q5(main_k7ddrphy_bitslip231[3]), - .Q6(main_k7ddrphy_bitslip231[2]), - .Q7(main_k7ddrphy_bitslip231[1]), - .Q8(main_k7ddrphy_bitslip231[0]) + .DDLY(k7ddrphy_dq_i_delayed23), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip231[7]), + .Q2(k7ddrphy_bitslip231[6]), + .Q3(k7ddrphy_bitslip231[5]), + .Q4(k7ddrphy_bitslip231[4]), + .Q5(k7ddrphy_bitslip231[3]), + .Q6(k7ddrphy_bitslip231[2]), + .Q7(k7ddrphy_bitslip231[1]), + .Q8(k7ddrphy_bitslip231[0]) ); ODELAYE2 #( @@ -19185,12 +19628,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_57 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed23), - .ODATAIN(main_k7ddrphy_dq_o_nodelay23) + .DATAOUT(k7ddrphy_dq_o_delayed23), + .ODATAIN(k7ddrphy_dq_o_nodelay23) ); IDELAYE2 #( @@ -19204,19 +19647,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_23 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay23), + .CE((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay23), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[2] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[2] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed23) + .DATAOUT(k7ddrphy_dq_i_delayed23) ); IOBUF IOBUF_23( - .I(main_k7ddrphy_dq_o_delayed23), - .T(main_k7ddrphy_dq_t23), + .I(k7ddrphy_dq_o_delayed23), + .T(k7ddrphy_dq_t23), .IO(ddram_dq[23]), - .O(main_k7ddrphy_dq_i_nodelay23) + .O(k7ddrphy_dq_i_nodelay23) ); OSERDESE2 #( @@ -19228,20 +19671,20 @@ OSERDESE2 #( ) OSERDESE2_58 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip240[0]), - .D2(main_k7ddrphy_bitslip240[1]), - .D3(main_k7ddrphy_bitslip240[2]), - .D4(main_k7ddrphy_bitslip240[3]), - .D5(main_k7ddrphy_bitslip240[4]), - .D6(main_k7ddrphy_bitslip240[5]), - .D7(main_k7ddrphy_bitslip240[6]), - .D8(main_k7ddrphy_bitslip240[7]), + .D1(k7ddrphy_bitslip240[0]), + .D2(k7ddrphy_bitslip240[1]), + .D3(k7ddrphy_bitslip240[2]), + .D4(k7ddrphy_bitslip240[3]), + .D5(k7ddrphy_bitslip240[4]), + .D6(k7ddrphy_bitslip240[5]), + .D7(k7ddrphy_bitslip240[6]), + .D8(k7ddrphy_bitslip240[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay24), - .TQ(main_k7ddrphy_dq_t24) + .OQ(k7ddrphy_dq_o_nodelay24), + .TQ(k7ddrphy_dq_t24) ); ISERDESE2 #( @@ -19257,16 +19700,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed24), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip241[7]), - .Q2(main_k7ddrphy_bitslip241[6]), - .Q3(main_k7ddrphy_bitslip241[5]), - .Q4(main_k7ddrphy_bitslip241[4]), - .Q5(main_k7ddrphy_bitslip241[3]), - .Q6(main_k7ddrphy_bitslip241[2]), - .Q7(main_k7ddrphy_bitslip241[1]), - .Q8(main_k7ddrphy_bitslip241[0]) + .DDLY(k7ddrphy_dq_i_delayed24), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip241[7]), + .Q2(k7ddrphy_bitslip241[6]), + .Q3(k7ddrphy_bitslip241[5]), + .Q4(k7ddrphy_bitslip241[4]), + .Q5(k7ddrphy_bitslip241[3]), + .Q6(k7ddrphy_bitslip241[2]), + .Q7(k7ddrphy_bitslip241[1]), + .Q8(k7ddrphy_bitslip241[0]) ); ODELAYE2 #( @@ -19280,12 +19723,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_58 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed24), - .ODATAIN(main_k7ddrphy_dq_o_nodelay24) + .DATAOUT(k7ddrphy_dq_o_delayed24), + .ODATAIN(k7ddrphy_dq_o_nodelay24) ); IDELAYE2 #( @@ -19299,19 +19742,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_24 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay24), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay24), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed24) + .DATAOUT(k7ddrphy_dq_i_delayed24) ); IOBUF IOBUF_24( - .I(main_k7ddrphy_dq_o_delayed24), - .T(main_k7ddrphy_dq_t24), + .I(k7ddrphy_dq_o_delayed24), + .T(k7ddrphy_dq_t24), .IO(ddram_dq[24]), - .O(main_k7ddrphy_dq_i_nodelay24) + .O(k7ddrphy_dq_i_nodelay24) ); OSERDESE2 #( @@ -19323,20 +19766,20 @@ OSERDESE2 #( ) OSERDESE2_59 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip250[0]), - .D2(main_k7ddrphy_bitslip250[1]), - .D3(main_k7ddrphy_bitslip250[2]), - .D4(main_k7ddrphy_bitslip250[3]), - .D5(main_k7ddrphy_bitslip250[4]), - .D6(main_k7ddrphy_bitslip250[5]), - .D7(main_k7ddrphy_bitslip250[6]), - .D8(main_k7ddrphy_bitslip250[7]), + .D1(k7ddrphy_bitslip250[0]), + .D2(k7ddrphy_bitslip250[1]), + .D3(k7ddrphy_bitslip250[2]), + .D4(k7ddrphy_bitslip250[3]), + .D5(k7ddrphy_bitslip250[4]), + .D6(k7ddrphy_bitslip250[5]), + .D7(k7ddrphy_bitslip250[6]), + .D8(k7ddrphy_bitslip250[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay25), - .TQ(main_k7ddrphy_dq_t25) + .OQ(k7ddrphy_dq_o_nodelay25), + .TQ(k7ddrphy_dq_t25) ); ISERDESE2 #( @@ -19352,16 +19795,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed25), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip251[7]), - .Q2(main_k7ddrphy_bitslip251[6]), - .Q3(main_k7ddrphy_bitslip251[5]), - .Q4(main_k7ddrphy_bitslip251[4]), - .Q5(main_k7ddrphy_bitslip251[3]), - .Q6(main_k7ddrphy_bitslip251[2]), - .Q7(main_k7ddrphy_bitslip251[1]), - .Q8(main_k7ddrphy_bitslip251[0]) + .DDLY(k7ddrphy_dq_i_delayed25), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip251[7]), + .Q2(k7ddrphy_bitslip251[6]), + .Q3(k7ddrphy_bitslip251[5]), + .Q4(k7ddrphy_bitslip251[4]), + .Q5(k7ddrphy_bitslip251[3]), + .Q6(k7ddrphy_bitslip251[2]), + .Q7(k7ddrphy_bitslip251[1]), + .Q8(k7ddrphy_bitslip251[0]) ); ODELAYE2 #( @@ -19375,12 +19818,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_59 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed25), - .ODATAIN(main_k7ddrphy_dq_o_nodelay25) + .DATAOUT(k7ddrphy_dq_o_delayed25), + .ODATAIN(k7ddrphy_dq_o_nodelay25) ); IDELAYE2 #( @@ -19394,19 +19837,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_25 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay25), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay25), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed25) + .DATAOUT(k7ddrphy_dq_i_delayed25) ); IOBUF IOBUF_25( - .I(main_k7ddrphy_dq_o_delayed25), - .T(main_k7ddrphy_dq_t25), + .I(k7ddrphy_dq_o_delayed25), + .T(k7ddrphy_dq_t25), .IO(ddram_dq[25]), - .O(main_k7ddrphy_dq_i_nodelay25) + .O(k7ddrphy_dq_i_nodelay25) ); OSERDESE2 #( @@ -19418,20 +19861,20 @@ OSERDESE2 #( ) OSERDESE2_60 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip260[0]), - .D2(main_k7ddrphy_bitslip260[1]), - .D3(main_k7ddrphy_bitslip260[2]), - .D4(main_k7ddrphy_bitslip260[3]), - .D5(main_k7ddrphy_bitslip260[4]), - .D6(main_k7ddrphy_bitslip260[5]), - .D7(main_k7ddrphy_bitslip260[6]), - .D8(main_k7ddrphy_bitslip260[7]), + .D1(k7ddrphy_bitslip260[0]), + .D2(k7ddrphy_bitslip260[1]), + .D3(k7ddrphy_bitslip260[2]), + .D4(k7ddrphy_bitslip260[3]), + .D5(k7ddrphy_bitslip260[4]), + .D6(k7ddrphy_bitslip260[5]), + .D7(k7ddrphy_bitslip260[6]), + .D8(k7ddrphy_bitslip260[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay26), - .TQ(main_k7ddrphy_dq_t26) + .OQ(k7ddrphy_dq_o_nodelay26), + .TQ(k7ddrphy_dq_t26) ); ISERDESE2 #( @@ -19447,16 +19890,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed26), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip261[7]), - .Q2(main_k7ddrphy_bitslip261[6]), - .Q3(main_k7ddrphy_bitslip261[5]), - .Q4(main_k7ddrphy_bitslip261[4]), - .Q5(main_k7ddrphy_bitslip261[3]), - .Q6(main_k7ddrphy_bitslip261[2]), - .Q7(main_k7ddrphy_bitslip261[1]), - .Q8(main_k7ddrphy_bitslip261[0]) + .DDLY(k7ddrphy_dq_i_delayed26), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip261[7]), + .Q2(k7ddrphy_bitslip261[6]), + .Q3(k7ddrphy_bitslip261[5]), + .Q4(k7ddrphy_bitslip261[4]), + .Q5(k7ddrphy_bitslip261[3]), + .Q6(k7ddrphy_bitslip261[2]), + .Q7(k7ddrphy_bitslip261[1]), + .Q8(k7ddrphy_bitslip261[0]) ); ODELAYE2 #( @@ -19470,12 +19913,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_60 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed26), - .ODATAIN(main_k7ddrphy_dq_o_nodelay26) + .DATAOUT(k7ddrphy_dq_o_delayed26), + .ODATAIN(k7ddrphy_dq_o_nodelay26) ); IDELAYE2 #( @@ -19489,19 +19932,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_26 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay26), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay26), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed26) + .DATAOUT(k7ddrphy_dq_i_delayed26) ); IOBUF IOBUF_26( - .I(main_k7ddrphy_dq_o_delayed26), - .T(main_k7ddrphy_dq_t26), + .I(k7ddrphy_dq_o_delayed26), + .T(k7ddrphy_dq_t26), .IO(ddram_dq[26]), - .O(main_k7ddrphy_dq_i_nodelay26) + .O(k7ddrphy_dq_i_nodelay26) ); OSERDESE2 #( @@ -19513,20 +19956,20 @@ OSERDESE2 #( ) OSERDESE2_61 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip270[0]), - .D2(main_k7ddrphy_bitslip270[1]), - .D3(main_k7ddrphy_bitslip270[2]), - .D4(main_k7ddrphy_bitslip270[3]), - .D5(main_k7ddrphy_bitslip270[4]), - .D6(main_k7ddrphy_bitslip270[5]), - .D7(main_k7ddrphy_bitslip270[6]), - .D8(main_k7ddrphy_bitslip270[7]), + .D1(k7ddrphy_bitslip270[0]), + .D2(k7ddrphy_bitslip270[1]), + .D3(k7ddrphy_bitslip270[2]), + .D4(k7ddrphy_bitslip270[3]), + .D5(k7ddrphy_bitslip270[4]), + .D6(k7ddrphy_bitslip270[5]), + .D7(k7ddrphy_bitslip270[6]), + .D8(k7ddrphy_bitslip270[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay27), - .TQ(main_k7ddrphy_dq_t27) + .OQ(k7ddrphy_dq_o_nodelay27), + .TQ(k7ddrphy_dq_t27) ); ISERDESE2 #( @@ -19542,16 +19985,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed27), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip271[7]), - .Q2(main_k7ddrphy_bitslip271[6]), - .Q3(main_k7ddrphy_bitslip271[5]), - .Q4(main_k7ddrphy_bitslip271[4]), - .Q5(main_k7ddrphy_bitslip271[3]), - .Q6(main_k7ddrphy_bitslip271[2]), - .Q7(main_k7ddrphy_bitslip271[1]), - .Q8(main_k7ddrphy_bitslip271[0]) + .DDLY(k7ddrphy_dq_i_delayed27), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip271[7]), + .Q2(k7ddrphy_bitslip271[6]), + .Q3(k7ddrphy_bitslip271[5]), + .Q4(k7ddrphy_bitslip271[4]), + .Q5(k7ddrphy_bitslip271[3]), + .Q6(k7ddrphy_bitslip271[2]), + .Q7(k7ddrphy_bitslip271[1]), + .Q8(k7ddrphy_bitslip271[0]) ); ODELAYE2 #( @@ -19565,12 +20008,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_61 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed27), - .ODATAIN(main_k7ddrphy_dq_o_nodelay27) + .DATAOUT(k7ddrphy_dq_o_delayed27), + .ODATAIN(k7ddrphy_dq_o_nodelay27) ); IDELAYE2 #( @@ -19584,19 +20027,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_27 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay27), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay27), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed27) + .DATAOUT(k7ddrphy_dq_i_delayed27) ); IOBUF IOBUF_27( - .I(main_k7ddrphy_dq_o_delayed27), - .T(main_k7ddrphy_dq_t27), + .I(k7ddrphy_dq_o_delayed27), + .T(k7ddrphy_dq_t27), .IO(ddram_dq[27]), - .O(main_k7ddrphy_dq_i_nodelay27) + .O(k7ddrphy_dq_i_nodelay27) ); OSERDESE2 #( @@ -19608,20 +20051,20 @@ OSERDESE2 #( ) OSERDESE2_62 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip280[0]), - .D2(main_k7ddrphy_bitslip280[1]), - .D3(main_k7ddrphy_bitslip280[2]), - .D4(main_k7ddrphy_bitslip280[3]), - .D5(main_k7ddrphy_bitslip280[4]), - .D6(main_k7ddrphy_bitslip280[5]), - .D7(main_k7ddrphy_bitslip280[6]), - .D8(main_k7ddrphy_bitslip280[7]), + .D1(k7ddrphy_bitslip280[0]), + .D2(k7ddrphy_bitslip280[1]), + .D3(k7ddrphy_bitslip280[2]), + .D4(k7ddrphy_bitslip280[3]), + .D5(k7ddrphy_bitslip280[4]), + .D6(k7ddrphy_bitslip280[5]), + .D7(k7ddrphy_bitslip280[6]), + .D8(k7ddrphy_bitslip280[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay28), - .TQ(main_k7ddrphy_dq_t28) + .OQ(k7ddrphy_dq_o_nodelay28), + .TQ(k7ddrphy_dq_t28) ); ISERDESE2 #( @@ -19637,16 +20080,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed28), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip281[7]), - .Q2(main_k7ddrphy_bitslip281[6]), - .Q3(main_k7ddrphy_bitslip281[5]), - .Q4(main_k7ddrphy_bitslip281[4]), - .Q5(main_k7ddrphy_bitslip281[3]), - .Q6(main_k7ddrphy_bitslip281[2]), - .Q7(main_k7ddrphy_bitslip281[1]), - .Q8(main_k7ddrphy_bitslip281[0]) + .DDLY(k7ddrphy_dq_i_delayed28), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip281[7]), + .Q2(k7ddrphy_bitslip281[6]), + .Q3(k7ddrphy_bitslip281[5]), + .Q4(k7ddrphy_bitslip281[4]), + .Q5(k7ddrphy_bitslip281[3]), + .Q6(k7ddrphy_bitslip281[2]), + .Q7(k7ddrphy_bitslip281[1]), + .Q8(k7ddrphy_bitslip281[0]) ); ODELAYE2 #( @@ -19660,12 +20103,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_62 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed28), - .ODATAIN(main_k7ddrphy_dq_o_nodelay28) + .DATAOUT(k7ddrphy_dq_o_delayed28), + .ODATAIN(k7ddrphy_dq_o_nodelay28) ); IDELAYE2 #( @@ -19679,19 +20122,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_28 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay28), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay28), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed28) + .DATAOUT(k7ddrphy_dq_i_delayed28) ); IOBUF IOBUF_28( - .I(main_k7ddrphy_dq_o_delayed28), - .T(main_k7ddrphy_dq_t28), + .I(k7ddrphy_dq_o_delayed28), + .T(k7ddrphy_dq_t28), .IO(ddram_dq[28]), - .O(main_k7ddrphy_dq_i_nodelay28) + .O(k7ddrphy_dq_i_nodelay28) ); OSERDESE2 #( @@ -19703,20 +20146,20 @@ OSERDESE2 #( ) OSERDESE2_63 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip290[0]), - .D2(main_k7ddrphy_bitslip290[1]), - .D3(main_k7ddrphy_bitslip290[2]), - .D4(main_k7ddrphy_bitslip290[3]), - .D5(main_k7ddrphy_bitslip290[4]), - .D6(main_k7ddrphy_bitslip290[5]), - .D7(main_k7ddrphy_bitslip290[6]), - .D8(main_k7ddrphy_bitslip290[7]), + .D1(k7ddrphy_bitslip290[0]), + .D2(k7ddrphy_bitslip290[1]), + .D3(k7ddrphy_bitslip290[2]), + .D4(k7ddrphy_bitslip290[3]), + .D5(k7ddrphy_bitslip290[4]), + .D6(k7ddrphy_bitslip290[5]), + .D7(k7ddrphy_bitslip290[6]), + .D8(k7ddrphy_bitslip290[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay29), - .TQ(main_k7ddrphy_dq_t29) + .OQ(k7ddrphy_dq_o_nodelay29), + .TQ(k7ddrphy_dq_t29) ); ISERDESE2 #( @@ -19732,16 +20175,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed29), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip291[7]), - .Q2(main_k7ddrphy_bitslip291[6]), - .Q3(main_k7ddrphy_bitslip291[5]), - .Q4(main_k7ddrphy_bitslip291[4]), - .Q5(main_k7ddrphy_bitslip291[3]), - .Q6(main_k7ddrphy_bitslip291[2]), - .Q7(main_k7ddrphy_bitslip291[1]), - .Q8(main_k7ddrphy_bitslip291[0]) + .DDLY(k7ddrphy_dq_i_delayed29), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip291[7]), + .Q2(k7ddrphy_bitslip291[6]), + .Q3(k7ddrphy_bitslip291[5]), + .Q4(k7ddrphy_bitslip291[4]), + .Q5(k7ddrphy_bitslip291[3]), + .Q6(k7ddrphy_bitslip291[2]), + .Q7(k7ddrphy_bitslip291[1]), + .Q8(k7ddrphy_bitslip291[0]) ); ODELAYE2 #( @@ -19755,12 +20198,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_63 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed29), - .ODATAIN(main_k7ddrphy_dq_o_nodelay29) + .DATAOUT(k7ddrphy_dq_o_delayed29), + .ODATAIN(k7ddrphy_dq_o_nodelay29) ); IDELAYE2 #( @@ -19774,19 +20217,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_29 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay29), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay29), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed29) + .DATAOUT(k7ddrphy_dq_i_delayed29) ); IOBUF IOBUF_29( - .I(main_k7ddrphy_dq_o_delayed29), - .T(main_k7ddrphy_dq_t29), + .I(k7ddrphy_dq_o_delayed29), + .T(k7ddrphy_dq_t29), .IO(ddram_dq[29]), - .O(main_k7ddrphy_dq_i_nodelay29) + .O(k7ddrphy_dq_i_nodelay29) ); OSERDESE2 #( @@ -19798,20 +20241,20 @@ OSERDESE2 #( ) OSERDESE2_64 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip300[0]), - .D2(main_k7ddrphy_bitslip300[1]), - .D3(main_k7ddrphy_bitslip300[2]), - .D4(main_k7ddrphy_bitslip300[3]), - .D5(main_k7ddrphy_bitslip300[4]), - .D6(main_k7ddrphy_bitslip300[5]), - .D7(main_k7ddrphy_bitslip300[6]), - .D8(main_k7ddrphy_bitslip300[7]), + .D1(k7ddrphy_bitslip300[0]), + .D2(k7ddrphy_bitslip300[1]), + .D3(k7ddrphy_bitslip300[2]), + .D4(k7ddrphy_bitslip300[3]), + .D5(k7ddrphy_bitslip300[4]), + .D6(k7ddrphy_bitslip300[5]), + .D7(k7ddrphy_bitslip300[6]), + .D8(k7ddrphy_bitslip300[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay30), - .TQ(main_k7ddrphy_dq_t30) + .OQ(k7ddrphy_dq_o_nodelay30), + .TQ(k7ddrphy_dq_t30) ); ISERDESE2 #( @@ -19827,16 +20270,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed30), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip301[7]), - .Q2(main_k7ddrphy_bitslip301[6]), - .Q3(main_k7ddrphy_bitslip301[5]), - .Q4(main_k7ddrphy_bitslip301[4]), - .Q5(main_k7ddrphy_bitslip301[3]), - .Q6(main_k7ddrphy_bitslip301[2]), - .Q7(main_k7ddrphy_bitslip301[1]), - .Q8(main_k7ddrphy_bitslip301[0]) + .DDLY(k7ddrphy_dq_i_delayed30), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip301[7]), + .Q2(k7ddrphy_bitslip301[6]), + .Q3(k7ddrphy_bitslip301[5]), + .Q4(k7ddrphy_bitslip301[4]), + .Q5(k7ddrphy_bitslip301[3]), + .Q6(k7ddrphy_bitslip301[2]), + .Q7(k7ddrphy_bitslip301[1]), + .Q8(k7ddrphy_bitslip301[0]) ); ODELAYE2 #( @@ -19850,12 +20293,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_64 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed30), - .ODATAIN(main_k7ddrphy_dq_o_nodelay30) + .DATAOUT(k7ddrphy_dq_o_delayed30), + .ODATAIN(k7ddrphy_dq_o_nodelay30) ); IDELAYE2 #( @@ -19869,19 +20312,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_30 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay30), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay30), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed30) + .DATAOUT(k7ddrphy_dq_i_delayed30) ); IOBUF IOBUF_30( - .I(main_k7ddrphy_dq_o_delayed30), - .T(main_k7ddrphy_dq_t30), + .I(k7ddrphy_dq_o_delayed30), + .T(k7ddrphy_dq_t30), .IO(ddram_dq[30]), - .O(main_k7ddrphy_dq_i_nodelay30) + .O(k7ddrphy_dq_i_nodelay30) ); OSERDESE2 #( @@ -19893,20 +20336,20 @@ OSERDESE2 #( ) OSERDESE2_65 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_k7ddrphy_bitslip310[0]), - .D2(main_k7ddrphy_bitslip310[1]), - .D3(main_k7ddrphy_bitslip310[2]), - .D4(main_k7ddrphy_bitslip310[3]), - .D5(main_k7ddrphy_bitslip310[4]), - .D6(main_k7ddrphy_bitslip310[5]), - .D7(main_k7ddrphy_bitslip310[6]), - .D8(main_k7ddrphy_bitslip310[7]), + .D1(k7ddrphy_bitslip310[0]), + .D2(k7ddrphy_bitslip310[1]), + .D3(k7ddrphy_bitslip310[2]), + .D4(k7ddrphy_bitslip310[3]), + .D5(k7ddrphy_bitslip310[4]), + .D6(k7ddrphy_bitslip310[5]), + .D7(k7ddrphy_bitslip310[6]), + .D8(k7ddrphy_bitslip310[7]), .OCE(1'd1), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .T1((~main_k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | k7ddrphy_rst_storage)), + .T1((~k7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_k7ddrphy_dq_o_nodelay31), - .TQ(main_k7ddrphy_dq_t31) + .OQ(k7ddrphy_dq_o_nodelay31), + .TQ(k7ddrphy_dq_t31) ); ISERDESE2 #( @@ -19922,16 +20365,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_k7ddrphy_dq_i_delayed31), - .RST((sys_rst | main_k7ddrphy_rst_storage)), - .Q1(main_k7ddrphy_bitslip311[7]), - .Q2(main_k7ddrphy_bitslip311[6]), - .Q3(main_k7ddrphy_bitslip311[5]), - .Q4(main_k7ddrphy_bitslip311[4]), - .Q5(main_k7ddrphy_bitslip311[3]), - .Q6(main_k7ddrphy_bitslip311[2]), - .Q7(main_k7ddrphy_bitslip311[1]), - .Q8(main_k7ddrphy_bitslip311[0]) + .DDLY(k7ddrphy_dq_i_delayed31), + .RST((sys_rst | k7ddrphy_rst_storage)), + .Q1(k7ddrphy_bitslip311[7]), + .Q2(k7ddrphy_bitslip311[6]), + .Q3(k7ddrphy_bitslip311[5]), + .Q4(k7ddrphy_bitslip311[4]), + .Q5(k7ddrphy_bitslip311[3]), + .Q6(k7ddrphy_bitslip311[2]), + .Q7(k7ddrphy_bitslip311[1]), + .Q8(k7ddrphy_bitslip311[0]) ); ODELAYE2 #( @@ -19945,12 +20388,12 @@ ODELAYE2 #( .SIGNAL_PATTERN("DATA") ) ODELAYE2_65 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_inc_re)), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_inc_re)), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_wdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_wdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_o_delayed31), - .ODATAIN(main_k7ddrphy_dq_o_nodelay31) + .DATAOUT(k7ddrphy_dq_o_delayed31), + .ODATAIN(k7ddrphy_dq_o_nodelay31) ); IDELAYE2 #( @@ -19964,19 +20407,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_31 ( .C(sys_clk), - .CE((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_k7ddrphy_dq_i_nodelay31), + .CE((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_inc_re)), + .IDATAIN(k7ddrphy_dq_i_nodelay31), .INC(1'd1), - .LD(((main_k7ddrphy_dly_sel_storage[3] & main_k7ddrphy_rdly_dq_rst_re) | main_k7ddrphy_rst_storage)), + .LD(((k7ddrphy_dly_sel_storage[3] & k7ddrphy_rdly_dq_rst_re) | k7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_k7ddrphy_dq_i_delayed31) + .DATAOUT(k7ddrphy_dq_i_delayed31) ); IOBUF IOBUF_31( - .I(main_k7ddrphy_dq_o_delayed31), - .T(main_k7ddrphy_dq_t31), + .I(k7ddrphy_dq_o_delayed31), + .T(k7ddrphy_dq_t31), .IO(ddram_dq[31]), - .O(main_k7ddrphy_dq_i_nodelay31) + .O(k7ddrphy_dq_i_nodelay31) ); //------------------------------------------------------------------------------ @@ -19987,14 +20430,14 @@ IOBUF IOBUF_31( reg [24:0] storage[0:15]; reg [24:0] storage_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we) - storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; - storage_dat0 <= storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we) + storage[litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; + storage_dat0 <= storage[litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = storage_dat0; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = storage_dat0; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage[litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -20005,14 +20448,14 @@ assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storag reg [24:0] storage_1[0:15]; reg [24:0] storage_1_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we) - storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; - storage_1_dat0 <= storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we) + storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; + storage_1_dat0 <= storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = storage_1_dat0; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = storage_1_dat0; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -20023,14 +20466,14 @@ assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storag reg [24:0] storage_2[0:15]; reg [24:0] storage_2_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we) - storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; - storage_2_dat0 <= storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we) + storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; + storage_2_dat0 <= storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = storage_2_dat0; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = storage_2_dat0; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -20041,14 +20484,14 @@ assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storag reg [24:0] storage_3[0:15]; reg [24:0] storage_3_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we) - storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; - storage_3_dat0 <= storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we) + storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; + storage_3_dat0 <= storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = storage_3_dat0; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = storage_3_dat0; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -20059,14 +20502,14 @@ assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storag reg [24:0] storage_4[0:15]; reg [24:0] storage_4_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we) - storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; - storage_4_dat0 <= storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we) + storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; + storage_4_dat0 <= storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = storage_4_dat0; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = storage_4_dat0; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -20077,14 +20520,14 @@ assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storag reg [24:0] storage_5[0:15]; reg [24:0] storage_5_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we) - storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; - storage_5_dat0 <= storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we) + storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; + storage_5_dat0 <= storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = storage_5_dat0; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = storage_5_dat0; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -20095,14 +20538,14 @@ assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storag reg [24:0] storage_6[0:15]; reg [24:0] storage_6_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we) - storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; - storage_6_dat0 <= storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we) + storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; + storage_6_dat0 <= storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = storage_6_dat0; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = storage_6_dat0; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -20113,62 +20556,78 @@ assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storag reg [24:0] storage_7[0:15]; reg [24:0] storage_7_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we) - storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; - storage_7_dat0 <= storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we) + storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; + storage_7_dat0 <= storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = storage_7_dat0; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = storage_7_dat0; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr]; -FD FD( - .C(main_clkin), - .D(main_reset), - .Q(builder_reset0) +FDCE FDCE( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(reset), + .Q(litedramcore_reset0) ); -FD FD_1( - .C(main_clkin), - .D(builder_reset0), - .Q(builder_reset1) +FDCE FDCE_1( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset0), + .Q(litedramcore_reset1) ); -FD FD_2( - .C(main_clkin), - .D(builder_reset1), - .Q(builder_reset2) +FDCE FDCE_2( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset1), + .Q(litedramcore_reset2) ); -FD FD_3( - .C(main_clkin), - .D(builder_reset2), - .Q(builder_reset3) +FDCE FDCE_3( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset2), + .Q(litedramcore_reset3) ); -FD FD_4( - .C(main_clkin), - .D(builder_reset3), - .Q(builder_reset4) +FDCE FDCE_4( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset3), + .Q(litedramcore_reset4) ); -FD FD_5( - .C(main_clkin), - .D(builder_reset4), - .Q(builder_reset5) +FDCE FDCE_5( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset4), + .Q(litedramcore_reset5) ); -FD FD_6( - .C(main_clkin), - .D(builder_reset5), - .Q(builder_reset6) +FDCE FDCE_6( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset5), + .Q(litedramcore_reset6) ); -FD FD_7( - .C(main_clkin), - .D(builder_reset6), - .Q(builder_reset7) +FDCE FDCE_7( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset6), + .Q(litedramcore_reset7) ); PLLE2_ADV #( @@ -20186,16 +20645,16 @@ PLLE2_ADV #( .REF_JITTER1(0.01), .STARTUP_WAIT("FALSE") ) PLLE2_ADV ( - .CLKFBIN(builder_pll_fb), - .CLKIN1(main_clkin), - .PWRDWN(main_power_down), - .RST(builder_reset7), - .CLKFBOUT(builder_pll_fb), - .CLKOUT0(main_clkout0), - .CLKOUT1(main_clkout1), - .CLKOUT2(main_clkout2), - .CLKOUT3(main_clkout3), - .LOCKED(main_locked) + .CLKFBIN(litedramcore_pll_fb), + .CLKIN1(clkin), + .PWRDWN(power_down), + .RST(litedramcore_reset7), + .CLKFBOUT(litedramcore_pll_fb), + .CLKOUT0(clkout0), + .CLKOUT1(clkout1), + .CLKOUT2(clkout2), + .CLKOUT3(clkout3), + .LOCKED(locked) ); (* ars_ff1 = "true", async_reg = "true" *) FDPE #( @@ -20204,8 +20663,8 @@ PLLE2_ADV #( .C(iodelay_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl0), - .Q(builder_xilinxasyncresetsynchronizerimpl0_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl0), + .Q(xilinxasyncresetsynchronizerimpl0_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -20213,8 +20672,8 @@ PLLE2_ADV #( ) FDPE_1 ( .C(iodelay_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl0_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl0), + .D(xilinxasyncresetsynchronizerimpl0_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl0), .Q(iodelay_rst) ); @@ -20224,8 +20683,8 @@ PLLE2_ADV #( .C(sys_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl1), - .Q(builder_xilinxasyncresetsynchronizerimpl1_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl1), + .Q(xilinxasyncresetsynchronizerimpl1_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -20233,8 +20692,8 @@ PLLE2_ADV #( ) FDPE_3 ( .C(sys_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl1_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl1), + .D(xilinxasyncresetsynchronizerimpl1_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl1), .Q(sys_rst) ); @@ -20244,8 +20703,8 @@ PLLE2_ADV #( .C(sys4x_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl2), - .Q(builder_xilinxasyncresetsynchronizerimpl2_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl2), + .Q(xilinxasyncresetsynchronizerimpl2_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -20253,9 +20712,9 @@ PLLE2_ADV #( ) FDPE_5 ( .C(sys4x_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl2_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl2), - .Q(builder_xilinxasyncresetsynchronizerimpl2_expr) + .D(xilinxasyncresetsynchronizerimpl2_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl2), + .Q(xilinxasyncresetsynchronizerimpl2_expr) ); (* ars_ff1 = "true", async_reg = "true" *) FDPE #( @@ -20264,8 +20723,8 @@ PLLE2_ADV #( .C(sys4x_dqs_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl3), - .Q(builder_xilinxasyncresetsynchronizerimpl3_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl3), + .Q(xilinxasyncresetsynchronizerimpl3_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -20273,13 +20732,13 @@ PLLE2_ADV #( ) FDPE_7 ( .C(sys4x_dqs_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl3_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl3), - .Q(builder_xilinxasyncresetsynchronizerimpl3_expr) + .D(xilinxasyncresetsynchronizerimpl3_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl3), + .Q(xilinxasyncresetsynchronizerimpl3_expr) ); endmodule // ----------------------------------------------------------------------------- -// Auto-Generated by LiteX on 2022-01-14 08:32:12. +// Auto-Generated by LiteX on 2022-08-04 21:06:58. //------------------------------------------------------------------------------ diff --git a/litedram/generated/nexys-video/litedram_core.init b/litedram/generated/nexys-video/litedram_core.init index 1b6e88e..9006b18 100644 --- a/litedram/generated/nexys-video/litedram_core.init +++ b/litedram/generated/nexys-video/litedram_core.init @@ -7,7 +7,7 @@ a64b5a7d14004a39 6421ff00782107c6 3d80000060215f00 798c07c6618c0000 -618c10e0658cff00 +618c10d8658cff00 4e8004217d8903a6 4e8004207c6903a6 0000000000000000 @@ -519,213 +519,215 @@ a64b5a7d14004a39 0000000000000000 3c4c000100000000 7c0802a63842adc4 -fbe1fff8fbc1fff0 -f821ff51f8010010 -f88100d83bc10020 +f8010010fbe1fff8 +f88100d8f821ff51 38800080f8a100e0 f8c100e87c651b78 -38c100d87fc3f378 +38c100d838610020 f90100f8f8e100f0 f9410108f9210100 -6000000048002159 -7fc3f3787c7f1b78 -6000000048001b7d +6000000048002135 +386100207c7f1b78 +6000000048001b4d 7fe3fb78382100b0 -00000000480027d4 -0000028001000000 +000000004800283c +0000018001000000 000000004e800020 0000000000000000 4c00012c7c0007ac 000000004e800020 0000000000000000 -3842ad203c4c0001 -7d8000267c0802a6 -9181000848002711 -48001b79f821fed1 +3842ad283c4c0001 +7d6000267c0802a6 +9161000848002775 +48001b49f821fed1 3c62ffff60000000 -4bffff3938637a90 +4bffff4138637af0 788400203c80c000 7c8026ea7c0004ac 3fe0c0003c62ffff -63ff000838637ab0 -3c62ffff4bffff15 -38637ad07bff0020 -7c0004ac4bffff05 +63ff000838637b10 +3c62ffff4bffff1d +38637b307bff0020 +7c0004ac4bffff0d 73e900017fe0feea 3c62ffff41820010 -4bfffee938637ae8 -4e00000073e90002 +4bfffef138637b48 +4d80000073e90002 3c62ffff41820010 -4bfffed138637af0 -4d80000073e90004 +4bfffed938637b50 +4e00000073e90004 3c62ffff41820010 -4bfffeb938637af8 +4bfffec138637b58 4d00000073e90008 3c62ffff41820010 -4bfffea138637b00 +4bfffea938637b60 4182001073e90010 -38637b103c62ffff -73ff01004bfffe8d +38637b703c62ffff +73ff01004bfffe95 3c62ffff41820010 -4bfffe7938637b20 -3b7b7b283f62ffff -4bfffe697f63db78 -3c80c000418e0028 +4bfffe8138637b80 +3b7b7b883f62ffff +4bfffe717f63db78 +3c80c00041920028 7884002060840010 7c8026ea7c0004ac 7884b5823c62ffff -4bfffe4138637b30 -3c80c0004192004c +4bfffe4938637b90 +3c80c000418e004c 7884002060840018 7c8026ea7c0004ac 788460223c62ffff -4bfffe1938637b48 +4bfffe2138637ba8 608400303c80c000 7c0004ac78840020 3c62ffff7c8026ea -38637b607884b282 -3d20c0004bfffdf5 +38637bc07884b282 +3d20c0004bfffdfd 7929002061290020 7d204eea7c0004ac 792906003c80000f 3c62ffff60844240 -38637b787c892392 -418a025c4bfffdc5 -639c00383f80c000 -7c0004ac7b9c0020 -3d40c0007f80e6ea +38637bd87c892392 +418a02604bfffdcd +63de00383fc0c000 +7c0004ac7bde0020 +3d40c0007fc0f6ea 614a600439200002 7c0004ac794a0020 3fe0c0007d2057aa 63ff60003920ff9f 7c0004ac7bff0020 7c0004ac7d20ffaa -7c0004ac7fc0feaa 7c0004ac7fa0feaa -4bfffd1d7fe0feaa +7c0004ac7f80feaa +4bfffd257fe0feaa 57e6063e3c62ffff -57c4063e57a5063e -57f8063e38637b98 -7fc9eb784bfffd45 -7d29fb7857b9063e -5529063e57da063e +57a4063e5785063e +57f8063e38637bf8 +7fa9e3784bfffd4d +7d29fb78579a063e +5529063e57b9063e 418201682c090000 -7fdef8387fdee838 -2c1e00ff57de063e -2c1a000141820154 -2c19000240820360 -73bd00bf41820010 -408201302c1d0020 +7fbdf8387fbde038 +2c1d00ff57bd063e +2c19000141820154 +2c1a000240820184 +739c00bf41820010 +408201302c1c0020 57ff063e3bffffe8 41810120281f0001 392000353fe0c000 7bff002063ff6000 7d20ffaa7c0004ac -3b4000023fc0c000 -7bde002063de6004 -7f40f7aa7c0004ac +3b4000023fa0c000 +7bbd002063bd6004 +7f40efaa7c0004ac 7d20ffaa7c0004ac -7fa0feaa7c0004ac -3c62ffff4bfffc61 -38637bb857a4063e -73a900024bfffc95 +7f80feaa7c0004ac +3c62ffff4bfffc69 +38637c185784063e +738900024bfffc9d 3c62ffff40820090 -4bfffc8138637bd8 -7f40f7aa7c0004ac +4bfffc8938637c38 +7f40efaa7c0004ac 7c0004ac39200006 -4bfffc257d20ffaa -7f40f7aa7c0004ac +4bfffc2d7d20ffaa +7f40efaa7c0004ac 7c0004ac39200001 392000007d20ffaa 7d20ffaa7c0004ac -7c0004ac63bd0002 -7c0004ac7fa0ffaa -4bfffbed7d20f7aa +7c0004ac639c0002 +7c0004ac7f80ffaa +4bfffbf57d20efaa 3b4000053b000002 7c0004ac7ff9fb78 -7c0004ac7f00f7aa +7c0004ac7f00efaa 7c0004ac7f40cfaa -4bfffbc57fa0feaa -4082ffe073bd0001 -38637bf03c62ffff -3d40c0004bfffbf5 +4bfffbcd7f80feaa +4082ffe0739c0001 +38637c503c62ffff +3d40c0004bfffbfd 794a0020614a6008 7d20562a7c0004ac 652920005529021e 7c0004ac61291f6b 7f63db787d20572a -3c62ffff4bfffbc5 -38637c007b840020 -4bfffbb17f9ae378 -7f63db783be00001 -419200244bfffba5 -3c62ffff3ca2ffff -38637c3038a57c20 -4bfffb897ca42b78 -6000000048000c55 -3c62ffff418e0024 -4bfffb7138637c60 -4800013c38600000 -3b4000003be00000 -73ff00014bffffb4 -3c62ffff418200a4 -4bfffb4938637c78 -38a000403c9af000 +3c62ffff4bfffbcd +38637c607bc40020 +4bfffbb97fdaf378 +4bfffbb17f63db78 +419200d0408e0094 +38637c803c62ffff +386000004bfffb9d +2c190020480001a0 +2c1a00ba4082ffbc +2c1800184082ffb4 +3c62ffff4082ffac +4bfffb7138637c48 +7f63db784bffff68 +408e00684bfffb65 +3c62ffff4092ffb8 +4bfffb5138637d90 +38a000003c80ff00 +60a5a00060846000 +3c60400078840020 +6000000048001865 +38637db03c62ffff +4bfffb9d4bfffb25 +3c82ffff4bffff84 +38847c983c62ffff +4bfffb0938637ca8 +6000000048000c3d +3c82ffff4bffff54 +38847c983c62ffff +4bfffae938637ca8 +6000000048000c1d +3c62ffff4bffff80 +4bfffad138637cc8 +38a000403c9ef000 3861007078840020 -6000000048001889 +60000000480017ed 3d400002e9210070 614a464c3c62ffff -794a83e438637c90 +794a83e438637ce0 614a457f79290600 408200247c295000 2c09000189210075 a121008240820010 -418200802c090015 -38637cb03c62ffff -892100774bfffae5 +418200442c090015 +38637d003c62ffff +892100774bfffa6d 8901007489410076 3c62ffff88e10073 88a1007188c10072 -38637d1088810070 +38637d6088810070 89210075f9210060 -3c62ffff4bfffab5 -4bfffaa938637d40 -38a000003c80ff00 -60a5a00060846000 -3c60400078840020 -60000000480017e1 -38637d603c62ffff -4bfffafd4bfffa7d -ebe100904bffff08 -3ba000003f02ffff -3b187cc83b2100b0 -a12100a87ffafa14 +4bfffee04bfffa3d +3f22ffffe9210090 +3b397d183ba00000 +a12100a87fde4a14 418000347c1d4840 3c62ffff80810088 -4bfffa4138637cf0 -e86100884bfffac1 -4182ff802c23ffff -8181000838210130 -4800222c7d838120 -38a000383c9ff000 -7f23cb7878840020 -6000000048001761 +4bfffa0938637d40 +e86100884bfffa81 +4182fea02c23ffff +8161000838210130 +480022507d638120 +38a000383c9ef000 +386100b078840020 +6000000048001705 2c090001812100b0 eb6100d040820048 -ebc100b8eb8100c0 -7f03c3787ba40020 +ebe100b8eb8100c0 +7f23cb787ba40020 7b6500207f86e378 -4bfff9d93fdef000 -7b6500207c9af214 +4bfff9a13ffff000 +7b6500207c9fd214 7f83e37878840020 -6000000048001719 -7fff4a14a12100a6 +60000000480016bd +7fde4a14a12100a6 4bffff583bbd0001 -4082fde02c1a0020 -4082fdd82c1900ba -4082fdd02c180018 -38637be83c62ffff -4bfffd8c4bfff98d 0300000000000000 3d20c80000000880 7929002061291004 @@ -735,7 +737,7 @@ ebc100b8eb8100c0 7d20572a7c0004ac 000000004e800020 0000000000000000 -3842a6f83c4c0001 +3842a6e83c4c0001 4182006828030002 4182003028030003 4082007c28030001 @@ -757,7 +759,7 @@ ebc100b8eb8100c0 4bffff287c8307b4 0000000000000000 3d20c80000000000 -6129081039400001 +6129080439400001 792900207d431830 7c604f2a7c0004ac 610808143d00c800 @@ -767,7 +769,7 @@ ebc100b8eb8100c0 000000004e800020 0000000000000000 394000013d20c800 -7d43183061290810 +7d43183061290804 7c0004ac79290020 3d00c8007c604f2a 7908002061080818 @@ -776,7 +778,7 @@ ebc100b8eb8100c0 4e8000207d404f2a 0000000000000000 3d20c80000000000 -6129081039400001 +6129080439400001 792900207d431830 7c604f2a7c0004ac 6108081c3d00c800 @@ -820,204 +822,215 @@ ebc100b8eb8100c0 4bfffff060000000 0000000000000000 3c4c000100000000 -7c0802a63842a454 -f821ffa148001e59 -60a500033ca08020 -394000007c7e1b78 -78a5002038c1001f -3b81002039000004 -7ce652147d0903a6 -7888f86239200004 -7c8400d0788407e0 -7c8428383929ffff -7d0443787c884278 -4200ffe09d070001 -282a0010394a0004 -3d40c8004082ffc0 -794a0020614a100c -7d20572a7c0004ac -614a10103d40c800 +7c0802a63842a444 +60e700033ce08020 +78e7002039200000 +f821ffa148001e99 +3941001f7c7d1b78 +7d0a4a143bc10020 +7d4903a639400004 +788407e0788af862 +7c8438387c8400d0 +7d4453787c8a5278 +4200ffe49d480001 +2829001039290004 +3d40c8004082ffc4 +614a100c39200000 7c0004ac794a0020 -386000097d20572a -3860000f4bfffc85 -3d20c8004bffff29 -612910143cc0c800 -7f8ae37860c61074 -78c6002079290020 -38eaffff38a00004 -3be000047ca903a6 +3d40c8007d20572a +794a0020614a1010 +7d20572a7c0004ac +4bfffc8938600009 +4bffff2d3860000f +3cc0c8003d20c800 +60c6107461291014 +792900207fcaf378 +38a0000478c60020 +7ca903a638eaffff 8ca7000139000000 -7905400c3bffffff -4200fff07ca82b78 -7ca04f2a7c0004ac -394a000439290018 -4082ffc47c293000 -63bd08303fa0c800 -7c0004ac7bbd0020 -5463063e7c60ee2a -7c0004ac4bfffe41 -5463063e7c60ee2a -7c0004ac4bfffdc1 -388000177c60ee2a -3fa0c8005463063e -63bd082c4bfffc21 -4bfffe7d3860000f -7c0004ac7bbd0020 -5463063e7c60ee2a -7c0004ac4bfffdf9 -5463063e7c60ee2a -7c0004ac4bfffd79 -388000257c60ee2a -4bfffbdd5463063e -4bfffe3d3860000f -6129100c3d20c800 -7c0004ac79290020 -3d20c8007fe04f2a -7929002061291010 -7fe04f2a7c0004ac -23de00013860000b -3860000f4bfffb5d -3d00c8004bfffe01 -610810183c80c800 -3ca033333d605555 -608410783c000f0f -7908002038c00000 -616b555538610030 -60000f0f60a53333 -7c0004ac78840020 -394100307d20462a -392000047d20552c -7d2903a639400000 -552907fe7d265214 -408200547c09f000 -7d3c50ae7cea18ae -5527063e7d293a78 -7d2958387929fe62 -7d2728387d293850 -7d2928385529f0be -54e9e13e7ce74a14 -7d2900387d293a14 -7d293a145527c23e -7d293a145527843e -7fff4a14552906be -4200ff9c394a0001 -3b9c000439080018 -38c600047c282000 -382100604082ff6c -48001c0c7be30020 -0100000000000000 -3c4c000100000480 -7c0802a63842a19c -f821ff7148001b99 -7c7f1b783ba00000 -3880002a4bfffb35 -4bfffd297fe3fb78 -3b9d000138800054 -7fe3fb787c7e1b78 -7c63f2144bfffd15 -4182001c2c030000 -418200742c1c0020 -7f9de3787fe3fb78 -4bffffc04bfffb41 -7fe3fb787fbeeb78 -4bfffb2d3b7d0001 -3880002a3b80ffff -4bfffcd17fe3fb78 -7c7a1b7838800054 -4bfffcc17fe3fb78 -2c0300007c63d214 -2c1cffff41820010 -7f7cdb7840820008 +7ca82b787905400c +7c0004ac4200fff4 +392900187ca04f2a +7c293000394a0004 +3fe0c8004082ffcc +7bff002063ff0830 +7c60fe2a7c0004ac +4bfffe4d5463063e +7c60fe2a7c0004ac +4bfffdcd5463063e +7fe0fe2a7c0004ac +57e3063e38800017 +4bfffc2d3fe0c800 +3860000f63ff082c +7bff00204bfffe89 +7c60fe2a7c0004ac +4bfffe055463063e +7c60fe2a7c0004ac +4bfffd855463063e +7fe0fe2a7c0004ac +57e3063e38800025 +3860000f4bfffbe9 +3d40c8004bfffe49 +614a100c39200000 +7c0004ac794a0020 +3d40c8007d20572a +794a0020614a1010 +7d20572a7c0004ac +3be100303860000b +3860000f4bfffb65 +3ce0c8004bfffe09 +60e710183d60c800 +3c6033333c005555 +616b10783d800f0f +78e7002038800000 +211d000138a00000 +6063333360005555 +796b0020618c0f0f +7d203e2a7c0004ac +792900203ba00004 +38c100347fa903a6 +9d26ffff39400004 +7929c202394affff +392000044200fff4 +7d2452147d2903a6 +7c094000552907fe +7ccaf8ae40820054 +7d2932787d3e50ae +7929fe625526063e +7d2930507d290038 +5529f0be7d261838 +7cc64a147d291838 +7d29321454c9e13e +5526c23e7d296038 +5526843e7d293214 +552906be7d293214 +394a00017ca54a14 +38e700184200ff9c +7c2758003bde0004 +4082ff5438840004 +78a3002038210060 +0000000048001c48 +0000038001000000 +3842a1783c4c0001 +48001bcd7c0802a6 +7c7f1b78f821ff61 +4bfffb213b800000 +7fe3fb783880002a +388000544bfffd15 +7c7e1b783bbc0001 +4bfffd017fe3fb78 +2c0300007c63f214 +2c1d00204182001c +7fe3fb7841820090 +4bfffb2d7fbceb78 +7f9de3784bffffc0 +3b5c00047fe3fb78 +7fe3fb784bfffb19 +4bfffb0d7f5bd378 +3bc0ffff7fe3fb78 +7fe3fb784bfffb01 +3880002a4bfffaf9 +4bfffca17fe3fb78 +7c791b7838800054 +4bfffc917fe3fb78 +2c0300007c63ca14 +2c1effff41820010 +7f7edb7840820008 2c1b001f3b7b0001 7fe3fb784181001c -4bffffb84bfffae1 -3bc0ffff3ba00020 -2c1d001e4bffff9c -39200000395d0002 -213d001e41810008 -7d2952142c1cffff -7d3c4b7840820008 -7fbee2142c1effff -7fbd01947fbd0e70 -4082003857bd06fe -38637d783c62ffff -600000004bfff2cd -3bc000007fe3fb78 -386000644bfffa2d -7c1df0004bfffbe9 -3821009040820034 -7cbee05048001ab8 -7ca50e703c62ffff -7fa407b47ca50194 -7ca507b438637d88 -600000004bfff285 -7fe3fb784bffffb8 -4bfffa2d3bde0001 -4bfffb9d38600064 -000000004bffffb4 -0000068001000000 -3842a0103c4c0001 -612910003d20c800 -7c0004ac79290020 -280a000e7d404e2a -7c0802a64d820020 +4bffffb84bfffab1 +3ba0ffff3b800020 +2c1effff4bffff80 +2c1a001f4082001c +418100083bc00000 +3b9c000523da001f +2c1dffff7fdee214 +3c62ffff4082001c +4bfff2a138637dc8 +382100a060000000 +7cbdf05048001b00 +7ca50e707c9df214 +789cfee27ca50194 +7ca507b43c62ffff +38637dd87f84e378 +4bfff2693bc00008 +7fe3fb7860000000 +4bfff9d93ba00000 +4bfffb9538600064 +4082003c7c1ce800 +7fe3fb783880002a +388000544bfffbbd +7fe3fb787c7d1b78 +7c63ea144bfffbad +4182ff882c030000 +2c1e00003bdeffff +4bffff784082ffb4 +3bbd00017fe3fb78 +386000644bfff9d1 +4bffffac4bfffb41 +0100000000000000 +3c4c000100000780 +3d20c80038429fa4 +7929002061291000 +7d404e2a7c0004ac +4d820020280a000e +3940000e7c0802a6 f821ffa1f8010010 -7c0004ac3940000e -3c62ffff7d404f2a -4bfff21138637da0 -3821006060000000 -7c0803a6e8010010 -000000004e800020 -0000008001000000 -38429fa83c4c0001 -612910003d20c800 -7c0004ac79290020 -280a00017d404e2a -7c0802a64d820020 +7d404f2a7c0004ac +38637df03c62ffff +600000004bfff1a5 +e801001038210060 +4e8000207c0803a6 +0100000000000000 +3c4c000100000080 +3d20c80038429f3c +7929002061291000 +7d404e2a7c0004ac +4d820020280a0001 +394000017c0802a6 f821ffa1f8010010 -7c0004ac39400001 -3c62ffff7d404f2a -4bfff1a938637dc8 -3821006060000000 -7c0803a6e8010010 -000000004e800020 -0000008001000000 -38429f403c4c0001 -4800190d7c0802a6 -3f80c800f821ff01 -3ea2ffff3f00c800 +7d404f2a7c0004ac +38637e183c62ffff +600000004bfff13d +e801001038210060 +4e8000207c0803a6 +0100000000000000 +3c4c000100000080 +7c0802a638429ed4 +39297e403d22ffff +f821ff01480018f5 +3f00c8003f80c800 3e62ffff3e82ffff -639c08103f22ffff +639c08043f22ffff 3e42ffff63180820 3b4000013ba00000 -3ab57df03ae00000 -3a737e083a947e00 -7b9c00203b397b28 -3a527e107b180020 +3ae00000f9210060 +3a737e583a947e50 +7b9c00203b397b88 +3a527e607b180020 7fb0eb787ba307e0 -7f56e8304bfff925 +7f56e8304bfff8c5 3a2000003be00000 7fbe07b439e00000 -7fc4f3787de507b4 -39c000207ea3ab78 -600000004bfff0f5 -3b6000007fc3f378 -3880002a4bfff855 -4bfffa497fc3f378 -39ceffff38800054 -7fc3f378f8610060 -e92100604bfffa35 -7c6400347c634a14 -5484d97e20630080 -7c8407b454896026 -7e83a3787d291a14 -4bfff0997f7b4a14 +7de507b4e8610060 +39c000207fc4f378 +4bfff0813b600000 +7fc3f37860000000 +3880002a4bfff7f5 +4bfff9e97fc3f378 +7c751b7838800054 +4bfff9d97fc3f378 +7c6400347c63aa14 +7e83a37821230080 +548a60265484d97e +7d2952147c8407b4 +4bfff0317f7b4a14 7fc3f37860000000 -2c0e00004bfff849 -7e639b784082ffa4 -600000004bfff07d -4bfffc997fc3f378 -4bfff0697f23cb78 +35ceffff4bfff7f1 +7e639b784082ffac +600000004bfff015 +4bfffc557fc3f378 +4bfff0017f23cb78 7c11d84060000000 7dff7b784080000c 2c0f00077f71db78 @@ -1025,55 +1038,55 @@ e92100604bfffa35 7c0004ac7ec0e72a 7c0004ac7f40c72a 39ef00017ee0e72a -3ba000014bffff28 -7fe507b44bffff00 +3ba000014bffff30 +7fe507b44bffff08 7e4393787fc4f378 -4bfff0117bff0020 +4bffefa97bff0020 7a0307e060000000 -393f00014bfff80d +393f00014bfff7b5 420000287d2903a6 -4bfffc197fc3f378 -4bffefe97f23cb78 -2c1d000160000000 -382101004082ffb4 -7c0004ac480017b8 +4bfffbd57fc3f378 +4bffef817f23cb78 +2c1d000060000000 +382101004182ffb4 +7c0004ac480017ac 7c0004ac7ec0e72a 7c0004ac7f40c72a 4bffffc07ee0e72a 0100000000000000 3c4c000100001280 -7c0802a638429d64 +7c0802a638429cfc f821ffa1f8010010 -386000004bfffd49 -386000004bfff6fd -386000014bfff78d -386000014bfff6ed -3c62ffff4bfff77d -4bffef6938637e28 -4bfffde560000000 -382100604bfffd79 +386000004bfffd4d +386000004bfff6a5 +386000014bfff735 +386000014bfff695 +3c62ffff4bfff725 +4bffef0138637e78 +4bfffde960000000 +382100604bfffd7d e801001038600001 4e8000207c0803a6 0100000000000000 3c4c000100000080 -7c0802a638429cf4 -f821ff51480016e5 +7c0802a638429c8c 6129082c3d20c800 -792900203b000002 +480016cd79290020 +3b000002f821ff51 7f004f2a7c0004ac 3b2000033d20c800 7929002061290830 7f204f2a7c0004ac 3c62ffff3fc0c800 -38637e383c804000 -4bffeee163de0800 +38637e883c804000 +4bffee7963de0800 3b80000160000000 -7bde00204bfffc89 +7bde00204bfffc8d 7f80f72a7c0004ac 3be00000386003e8 -7c0004ac4bfff7f1 +7c0004ac4bfff799 386003e87fe0f72a -4bfff7dd3f60c800 +4bfff7853f60c800 7c0004ac7b7b0020 3f40c8007fe0df2a 7b5a0020635a0004 @@ -1087,45 +1100,84 @@ f821ff51480016e5 7af7002062f71000 7d20bf2a7c0004ac 6063c35038600000 -7c0004ac4bfff771 +7c0004ac4bfff719 7c0004ac7fe0ef2a 3920000e7fe0f72a 7d20bf2a7c0004ac -4bfff74d38602710 +4bfff6f538602710 7c0004ac39200200 7c0004ac7d20ef2a 3860000f7f00f72a -7c0004ac4bfff485 +7c0004ac4bfff42d 7c0004ac7fe0ef2a 3860000f7f20f72a -392000064bfff46d +392000064bfff415 7d20ef2a7c0004ac 7f80f72a7c0004ac -4bfff4513860000f +4bfff3f93860000f 7c0004ac39200930 7c0004ac7d20ef2a 3860000f7fe0f72a -386000c84bfff435 -392004004bfff6d9 +386000c84bfff3dd +392004004bfff681 7d20ef2a7c0004ac 7fe0f72a7c0004ac -4bfff41138600003 -4bfff6b5386000c8 -4bfffb954bfffddd +4bfff3b938600003 +4bfff65d386000c8 +4bfffb994bfffddd 3c6040003c800020 -6000000048000779 +60000000480006e9 408200242c030000 7c0004ac7c691b78 7c0004ac7f80d72a 382100b07f80df2a -480015487d2307b4 +4800153c7d2307b4 38a0000038c00000 3c6040003c800020 -600000004800055d +6000000048000471 7f80df2a7c0004ac 4bffffd039200001 0100000000000000 -2c24000000000980 +3c4c000100000980 +7c0802a638429a5c +f8010010282303ff +41810028f821ffa1 +3c62ffff7c641b78 +4bffec7938637ea8 +3821006060000000 +7c0803a6e8010010 +3d2000104e800020 +408000287c234840 +39200066786505a0 +7864b2827ca54b92 +38637eb03c62ffff +600000004bffec3d +3d2040004bffffc4 +7c23484078646502 +7863b28240800024 +7d29185078895564 +3c62ffff38a00066 +38637ec07ca92b92 +786317824bffffc8 +7865556439200066 +7c641b787ca52050 +7ca54b923c62ffff +4bffffa438637ed0 +0100000000000000 +3c4c000100000080 +7c0802a63842998c +7cc42a14fbe1fff8 +7c8523787cbf2b78 +3c62ffff7c641b78 +38637ee078c60020 +f821ff91f8010010 +600000004bffeb9d +4bfffef97fe3fb78 +38637ef03c62ffff +600000004bffeb85 +4800141038210070 +0100000000000000 +2c24000000000180 7869f84241820024 7c6300d0786307e0 5463028054630794 @@ -1133,54 +1185,15 @@ f821ff51480016e5 386300014e800020 000000004bfffff4 0000000000000000 -38429a883c4c0001 -f80100107c0802a6 -282303fff821ffa1 -7c641b7841810028 -38637e583c62ffff -600000004bffeca5 -e801001038210060 -4e8000207c0803a6 -7c2348403d200010 -786505a040800028 -7ca54b9239200066 -3c62ffff7864b282 -4bffec6938637e60 -4bffffc460000000 -786465023d204000 -408000247c234840 -788955647863b282 -38a000667d291850 -7ca92b923c62ffff -4bffffc838637e70 -3920006678631782 -7ca5205078655564 -3c62ffff7c641b78 -38637e807ca54b92 -000000004bffffa4 -0000008001000000 -384299b83c4c0001 -fbe1fff87c0802a6 -f821ff91f8010010 -7cbf2b787cc42a14 -7c641b787c852378 -78c600203c62ffff -4bffebc938637e90 -7fe3fb7860000000 -3c62ffff4bfffef9 -4bffebb138637ea0 -3821007060000000 -00000000480013e0 -0000018001000000 -384299503c4c0001 -480013557c0802a6 -3d40aaaaf821ffc1 -7c7f1b7878840764 +384298e83c4c0001 +788407647c0802a6 +7c691b783d40aaaa +48001339614aaaaa 7884f0827f832214 -7c7d1b7839040001 -7c691b787d0903a6 -42000080614aaaaa -600000004bffebc9 +39040001f821ffc1 +7d0903a67c7f1b78 +420000807c7d1b78 +600000004bffeb59 3d00aaaa7d3fe050 7feafb787929f082 3bc0000039290001 @@ -1190,12 +1203,12 @@ f821ff91f8010010 392900017feafb78 7d2903a661085555 7fffe05042000058 -600000004bffeb79 +600000004bffeb09 3d2055557bfff082 61295555395f0001 420000407d4903a6 7fc307b438210040 -91490000480012f8 +91490000480012ec 4bffff7839290004 7c094000812a0000 3bde000141820008 @@ -1206,608 +1219,607 @@ f821ff91f8010010 3bbd00043bde0001 000000004bffffac 0000048001000000 -384298403c4c0001 -480012497c0802a6 -39200001f821ffc1 -2fa50000789ff022 -7c7e1b78391f0001 -394000007d0903a6 -420000387cbd2b78 -4bffeabd7bff0020 -391f000160000000 -7d0903a62fbd0000 -3860000039200001 -4200004039400000 -7c6307b438210040 -419e00284800123c -792907e07928fc62 -7129d0087d2900d0 -792813a87d294278 -394a00017d5e412e -392900014bffffa4 -419e00384bffffec -792907e07928fc62 -7129d0087d2900d0 -792813a87d294278 -5508043e7d1e402e -418200087c085000 -394a000138630001 -392900014bffff8c -000000004bffffdc -0000038001000000 -384297583c4c0001 -7d8000267c0802a6 -918100084800113d -2e260000f821ff41 +384297d83c4c0001 +7c0802a67d600026 +2e26000091610008 +f821ff4148001211 7cba2b787c7f1b78 789cf0827cde3378 -81260004419200c4 +81260004419200c0 2c09000082e60000 -3ec2ffff40820048 +3f02ffff40820044 3b6000013ba00000 -7bf800207ff9fb78 -7c3ce8403ad67ea8 -3c62ffff4082009c -38637ea87b851028 -4bfffd357b240020 -38637b283c62ffff -600000004bffe91d -600000004bffe989 -7ffbfb782d970000 -3ac000013ba00000 -7bf500203b200000 -7fb8eb787c3de040 -2c17000040820084 -3c62ffff41820028 -38637eb87b051028 -4bfffcdd7be40020 -38637b283c62ffff -600000004bffe8c5 -7f2307b4382100c0 -7d81812081810008 -3ae00001480010ac -7b6300204bffff4c -4bfffb917f44d378 -7c7f492e7ba91764 -7c7b1b7873a97fff -7ba5102840820014 -7ec3b3787f04c378 -3bbd00014bfffc81 -7f44d3784bffff2c -4bfffb597ac30020 -7c651b78809b0000 -7c0320407c761b78 -3b3900014182003c -e99e000841920034 -418200282c2c0000 -e8de00107d8903a6 -f841001878840020 -4e8004217b630020 -2c030000e8410018 -73097fff4082ff58 -418e00184082001c +3b187ef87bf90020 +4082009c7c3ce840 +7b8510283c62ffff +7be4002038637ef8 +3c62ffff4bfffde5 +4bffe9a138637b88 +4bffea0560000000 +2d97000060000000 +3ba000007ffbfb78 +3b2000003ac00001 +7c3de0407bf50020 +408200847fb8eb78 +418200282c170000 7b0510283c62ffff -38637eb87ea4ab78 -3bbd00014bfffc01 -4bfffef43b7b0004 -0300000000000000 -3c4c000100000b80 -7c0802a638429594 -918100087d908026 -f821ff7148000f89 -7c7e1b787cdd3378 -7c9f23782e3d0000 -3c62ffff7c641b78 -7cbc2b7838637ec8 -600000004bffe79d -38637ee03c62ffff -3c62ffff4092000c -4bffe78138637ef0 -7fe3fb7860000000 -4bfffaad7bfde8c2 -38637f003c62ffff -600000004bffe765 -408200742c3c0000 -38fd00017d5602a6 -7ce903a67fc9f378 -420000843900ffff -3f8005f57d3602a6 -639ce100794a0020 -7f9fe1d279290020 -3c62ffff7d295050 -7f9c4b9238637f08 -600000004bffe715 -4bfffa457f83e378 +7be4002038637f08 +3c62ffff4bfffd8d +4bffe94938637b88 +382100c060000000 +816100087f2307b4 +4800118c7d618120 +4bffff503ae00001 +7f44d3787b630020 +7ba917644bfffdb5 +73a97fff7c7f492e +408200147c7b1b78 +7f24cb787ba51028 +4bfffd317f03c378 +4bffff2c3bbd0001 +7ac300207f44d378 +809b00004bfffd7d +7c761b787c651b78 +4182003c7c032040 +419200343b390001 +2c2c0000e99e0008 +7d8903a641820028 +78840020e8de0010 +7b630020f8410018 +e84100184e800421 +4082ff582c030000 +4082001c73187fff +3c62ffff418e0018 +7ea4ab787ba51028 +4bfffcb138637f08 +3b7b00043bbd0001 +000000004bfffef4 +00000b8003000000 +384296183c4c0001 +7c0802a67d708026 +4800106991610008 +7cdb3378f821ff71 +2e3b00003ba4ffe0 +7c9e23787c7f1b78 +7c641b787fa3ea14 38637f183c62ffff -600000004bffe6fd -38637b283c62ffff -600000004bffe6ed -600000004bffe759 -409200287f7602a6 -7d2903a6393d0001 -e93e000042400030 -4bfffff43bde0008 -39290008f9090000 -7fbaeb784bffff74 -3b80000039400000 -4082006c7c1ae000 +4bffe8197cbc2b78 +3c62ffff60000000 +4092000c38637f30 +38637f403c62ffff +600000004bffe7fd +4bfffb597fc3f378 +38637f503c62ffff +600000004bffe7e5 +408200a82c3c0000 +38df00207cf602a6 +7c26284038bd0020 +7929d9427d3fe850 +3900ffff7feafb78 +4081000839290001 +2c29000139200001 +3929fffff90a0000 +f90a0010f90a0008 +394a0020f90a0018 +7d3602a64082ffe4 +78ea00203f8005f5 +79290020639ce100 +7d2950507f9ee1d2 +38637f583c62ffff +4bffe7617f9c4b92 +7f83e37860000000 +3c62ffff4bfffabd +4bffe74938637f68 +3c62ffff60000000 +4bffe73938637b88 +4bffe79d60000000 +7f9602a660000000 +7d3fe85040920048 +3bbd0020395f0020 +7c2ae8407929d942 +4081000839290001 +2c29000139200001 +3929ffffe95f0000 +e95f0010e95f0008 +3bff0020e95f0018 +4800001c4082ffe4 +394000007bdbe8c2 +3ba000007f7adb78 +4082006c7c1dd000 3d4005f57d3602a6 -614ae1007b7b0020 -7fff51d279290020 -3c62ffff7d29d850 -7fff4b9238637f20 +614ae1007b9c0020 +7fde51d279290020 +3c62ffff7d29e050 +7fde4b9238637f70 +600000004bffe69d +4bfff9f97fc3f378 +38637f683c62ffff +600000004bffe685 +38637b883c62ffff 600000004bffe675 -4bfff9a57fe3fb78 -38637f183c62ffff -600000004bffe65d -38637b283c62ffff -600000004bffe64d -8181000838210090 -48000e4c7d908120 -794300207f8407b4 -3b9c00014bfff925 -7c6a1b787d23eb96 -7d2918507d29e9d6 -7d3e482a79291f48 +8161000838210090 +48000ed07d708120 +794300207fa407b4 +3bbd00014bfffaed +7c6a1b787d23db96 +7d2918507d29d9d6 +7d3f482a79291f48 000000004bffff68 0000068003000000 -384293b83c4c0001 -48000db57c0802a6 -3b400200f821ff71 -7c7e1b7828240200 -418100087c9f2378 -283f80007c9a2378 -4081000c7ffbfb78 -577b04203b60ffff -7fc4f3783c62ffff -4bffe5b138637f30 -7fe3fb7860000000 -3c62ffff4bfff8e1 -4bffe59938637f00 -7f44d37860000000 -4bfff9fd7fc3f378 -7f64db7838a00000 -7fc3f3787c7c1b78 -38c000004bfffaf9 +384293e03c4c0001 +282402007c0802a6 +f821ff8148000e3d +7c9f23787c7e1b78 +418100083b800200 +3c62ffff7c9c2378 +38637f807fc4f378 +600000004bffe5ed +4bfff9497fe3fb78 +38637f503c62ffff +600000004bffe5d5 +7fc3f3787f84e378 +38c000004bfffaa1 7fe4fb7838a00001 7fc3f3787c7d1b78 -7d3de2144bfffbc9 -7c7e1b787d291a14 -4182006c2c090000 -7b45f8823c62ffff -38637f407f84e378 -600000004bffe535 -7b65f0823c62ffff -38637f587fa4eb78 -600000004bffe51d +7d23ea144bfffb99 +2c0900007c7e1b78 +3c62ffff41820080 +7fa4eb787b85f882 +4bffe58938637f90 +283f800060000000 +4081000c7fe5fb78 +54a5042038a0ffff +78a5f0823c62ffff +38637fa838800000 +600000004bffe55d 7be5f0823c62ffff -38637f707fc4f378 -600000004bffe505 -38637f883c62ffff -600000004bffe4f5 -3821009038600000 -48000cf47c6307b4 -38637f983c62ffff -600000004bffe4d5 +38637fc07fc4f378 +600000004bffe545 +38637fd83c62ffff +600000004bffe535 +3821008038600000 +48000d987c6307b4 +38637fe83c62ffff +600000004bffe515 4bffffe038600001 0100000000000000 -3c4c000100000680 -6000000038429274 -6000000089228018 -2c09000039428010 -e92a00004182002c +3c4c000100000480 +60000000384292b4 +6000000089228068 +2c09000039428060 +e92a000041820030 7c0004ac39290014 712900207d204eaa -e92a00004182ffec -7c604faa7c0004ac -e92a00004e800020 -7c0004ac39290010 -712900087d204eea -5469063e4082ffec -7c0004ace94a0000 +600000004182ffec +7c0004ace9228060 +4e8000207c604faa +39290010e92a0000 +7d204eea7c0004ac +4082ffec71290008 +600000005469063e +7c0004ace9428060 4e8000207d2057ea 0000000000000000 3c4c000100000000 -7c0802a6384291f4 -fbe1fff8fbc1fff0 -f821ffd1f8010010 -8fdf00013be3ffff +7c0802a63842922c +fbc1fff0fbe1fff8 +f80100103be3ffff +8fdf0001f821ffd1 408200102c1e0000 3860000038210030 -2c1e000a48000c3c +2c1e000a48000cd0 3860000d4082000c -7fc307b44bffff45 -4bffffd04bffff3d +7fc307b44bffff3d +4bffffd04bffff35 0100000000000000 3c4c000100000280 -3d40c00038429194 -794a0020614a0020 -7d4056ea7c0004ac -794a06003d20c000 -7929002061290008 +3d20c000384291cc +7929002061290020 7d204eea7c0004ac -4182001871290020 -612900403d20c000 -7c0004ac79290020 -7929f8047d204eea -79290fc33d00c000 -7908002061082000 -f902801060000000 -610820003d00001c -418200847d4a4392 +792906003d40c000 +794a0020614a0008 +7d4056ea7c0004ac +3d40c000714a0020 +794a0020614a2000 +6000000040820040 +39400000f9428060 +9942806860000000 +614a20003d40001c +3d40c0007d295392 +794a0020614a2018 +7c0004ac3929ffff +4e8000207d2057ea +610800403d00c000 +7c0004ac79080020 +790807e37d0046ea +f942806060000000 +614a20003d40001c +4182ffa07d495392 3920000160000000 -3d00c00099228018 +3d00c00099228068 3920ff806108200c 7c0004ac79080020 -e92280107d2047aa +e92280607d2047aa 7d404faa7c0004ac -794ac202e9228010 +794ac202e9228060 7c0004ac39290004 -e92280107d404faa +e92280607d404faa 3929000c39400003 7d404faa7c0004ac -39290010e9228010 +39290010e9228060 7d404faa7c0004ac -39400007e9228010 +39400007e9228060 7c0004ac39290008 4e8000207d404faa -394affff60000000 -3d20c00099228018 -7929002061292018 -7d404fea7c0004ac -000000004e800020 0000000000000000 -3940000078a9e8c2 -7d2903a639290001 -78a9072442000028 -7d434a147ca92850 -7c844a1439050001 -392000007d0903a6 -4e80002042000018 -7d23512a7d24502a -4bffffcc394a0008 -7d0a49ae7d0448ae -4bffffdc39290001 -0000000000000000 -7c691b7800000000 -7d4918ae38600000 -4d8200202c0a0000 -4bfffff038630001 -0000000000000000 -2c24000000000000 -3881fff040820008 -f864000028050024 -4d81002038600000 -6108ffff3d00fffe -6108d9ff790883e4 -89490000e9240000 -40810028280a0020 -4182003c2c250000 -418200382c050010 -4800008838600000 -f924000039290001 -7d0a56344bffffd0 -4182ffec714a0001 -4082ffdc2c250000 -4bffffd438a0000a -2c0a003038a0000a -894900014082ffc8 -4082ffbc2c0a0078 -38a0001039290002 -4bffffacf9240000 -54e7063e38eaffd0 -4181003c28070009 -7d2a07343929ffd0 -4c8000207c0a2800 -7c6519d239080001 -f90400007d290734 -e90400007c691a14 -714900ff89480000 -4e8000204082ffc0 -54e7063e38eaff9f -4181000c28070019 -4bffffb83929ffa9 -554a063e394affbf -4d810020280a0019 -4bffffa03929ffc9 -0000000000000000 -3923ff9f00000000 -4181000828090019 -7c6307b43863ffe0 -000000004e800020 +78a9e8c200000000 +3929000139400000 +420000287d2903a6 +78a5076078a90724 +7d434a1439050001 +7c844a147d0903a6 +4200001839200000 +7d24502a4e800020 +394a00087d23512a +7d0448ae4bffffcc +392900017d0a49ae +000000004bffffdc 0000000000000000 -38428e903c4c0001 -480008897c0802a6 -7c7e1b78f821ffa1 -7ca32b787c9c2378 -38a0000a38800000 -eb3e00007cfd3b78 +386000007c691b78 +2c0a00007d4918ae +386300014d820020 +000000004bfffff0 +0000000000000000 +408200082c240000 +280500243881fff0 +38600000f8640000 +3d00fffe4d810020 +790883e46108ffff +e92400006108d9ff +280a002089490000 +2c25000040810040 +2c05001041820054 +2c0a003040820064 +894900014082006c +408200602c0a0078 +f924000039290002 +3929000148000054 +4bffffb8f9240000 +714a00017d0a5634 +2c2500004182ffec +38a0000a4082002c +2c0a00304800001c +4082001038a0000a +2c0a007889490001 +386000004182ffb8 +2c05001048000048 +38a000104082fff4 +38eaffd04bffffec +2807000954e7063e +3929ffd04181003c +7c0a28007d2a0734 +390800014c800020 +7d2907347c6519d2 +7c691a14f9040000 +89480000e9040000 +4082ffc0714900ff +38eaff9f4e800020 +2807001954e7063e +3929ffa94181000c +394affbf4bffffb8 +280a0019554a063e +3929ffc94d810020 +000000004bffffa0 +0000000000000000 +280900193923ff9f +3863ffe041810008 +4e8000207c6307b4 +0000000000000000 +3c4c000100000000 +7c0802a638428e94 +f821ffa1480008e9 +7cfd3b787c7e1b78 +7c9c23787ca32b78 +3880000038a0000a +7cdf3378eb3e0000 7d3a4b787d1b4378 -4bfffe797cdf3378 -2b9d001060000000 -7c681b7839200000 -408200242c3f0000 -408200082c090000 -7d29d21439200001 -418100547c034800 -4800087038210060 +600000004bfffe59 +394000002b9d0010 +4082005c2c3f0000 +408200082c0a0000 +7d4ad21439400001 +4081003c7c035000 +7d2948f87d235050 +3929000179290020 +e93e00007d2903a6 +7c2ae0407d594850 +9b69000040800018 +39290001e93e0000 +4200ffe0f93e0000 +4800089c38210060 7bffe102409e0010 -4bffffcc39290001 +4bffff94394a0001 4bfffff47fffeb92 -2c2a00019b690000 -e93e0000394affff -f93e000039290001 -e93e00004182ffc8 -7c28e0407d194850 -4bffffb44180ffd8 -2c0900007d294050 -794a00203949ffff -40810010394a0001 -7c0940003d008000 -394000014082ffcc -000000004bffffc4 -0000078001000000 -38428d983c4c0001 -480007997c0802a6 -3bc00000f821ffb1 -7c9c23787c7f1b78 -7cbd2b78eb630000 -4bfffd697fa3eb78 -7c3e184060000000 -e93f000040800014 -7c2ae0407d5b4850 -382100504180000c -7d5df0ae480007a4 -994900003bde0001 -39290001e93f0000 -4bffffbcf93f0000 0100000000000000 -3c4c000100000580 -7c0802a638428d1c -918100087d908026 -f821ffa148000711 -2b8600103be00000 -3d22ffff7c7c1b78 -7cbe2b78e9297fa8 -7caa2b787cdd3378 -3d22fffff9210020 -f9210028e9297fb0 -408200342c2a0000 -408200082c1f0000 -7fff07b43be00001 -7c3f20402e270000 -408100303b7fffff -8181000838210060 -480006f47d908120 -794ae102409e0010 -4bffffbc3bff0001 -4bfffff47d4aeb92 -7f5eeb927f5ed378 -7d29f0507d3ae9d2 -886900207d214a14 -5463063e41920010 -600000004bfffdad -e93c00007c3df040 -3b7bffff7c69d9ae -e93c00004081ffc8 -f93c00007d29fa14 -000000004bffff90 -0000068003000000 -38428c283c4c0001 -480005f57c0802a6 -3be00000f821fee1 -f86100607c691b79 -41820060f9210068 -418200582c240000 -3e62ffff39210040 -3ae4ffff3e22ffff -3b010020f9210070 -3a4000203ac00000 -3ba100603a737fc8 -e94100683a317fc0 -ebc1006089250000 -712a00ff7feaf050 -7c3fb8404182000c -3920000041800018 -38210120993e0000 -480005c47fe307b4 -390500012c0a0025 -38e0000040820548 -e901007089250000 -7cea3b787cb02b78 -7d2741ae8c650001 -5469063e39070001 -418200b02c090064 -4181002c28090078 -4181002c28090068 -418200982c090058 -4181008828090058 -418200882c090025 -418200802c09004f -4bffffa438e70001 -548b063e3883ff97 -4181ffec280b000f -396b75043d62ffff -7c8b22aa788415a8 -7c8903a67c845a14 -000000484e800420 +3c4c000100000780 +7c0802a638428dc4 +f821ffb148000821 +7c7f1b78eb630000 +7cbd2b787c9c2378 +7fa3eb783bc00000 +600000004bfffd71 +408000147c3e1840 +7d5b4850e93f0000 +4180000c7c2ae040 +4800082c38210050 +3bde00017d5df0ae +e93f000099490000 +f93f000039290001 +000000004bffffbc +0000058001000000 +38428d483c4c0001 +3d22ffff7c0802a6 +2b860010e9297ff8 +7caa2b787d708026 +4800078991610008 +7c7c1b78f821ffa1 +7cdd33787cbe2b78 +f92100203be00000 +e922800060000000 +2c2a0000f9210028 +2c1f000040820034 +3be0000140820008 +2e2700007fff07b4 +3b7fffff7c3f2040 +3821006040810030 +7d70812081610008 +409e00104800077c +3bff0001794ae102 +7d4aeb924bffffbc +7f5ed3784bfffff4 +7d3ae9d27f5eeb92 +7d214a147d29f050 +4192001088690020 +4bfffdd55463063e +7c3df04060000000 +7c69d9aee93c0000 +4081ffc83b7bffff +7d29fa14e93c0000 +4bffff90f93c0000 +0300000000000000 +3c4c000100000680 +7c0802a638428c54 +f821ff014800067d +f86100607c7d1b79 +4182001438600000 +3bc4ffff2c240000 +4082013c3b610040 +7c6307b438210100 +2c0a00254800069c +4082062039050001 +7cbc2b7838e00000 +7ce93b7889450000 +889c000138a50001 +394700017d47d9ae +2c0800645488063e +28080078418201cc +280800684181002c +2c0800584181002c +2808005841820130 +2c08002541810088 +2c08004f418200c0 +38e7000141820118 +3904ff974bffffa4 +280b000f550b063e +3d62ffff4181ffec +790815a8396b7488 +7d085a147d0b42aa +4e8004207d0903a6 +ffffffcc00000164 ffffffccffffffcc ffffffccffffffcc -00000048ffffffcc -ffffffcc00000048 -00000048ffffffcc -00000048ffffffcc +000000cc0000006c ffffffccffffffcc -2c09006300000048 -394a00024bffff84 -7d0807b42c090025 -7d1842147d4a07b4 -986800207d585214 -408200189aca0020 -993e0000395e0001 -38b00002f9410060 -892100414bfffeb4 -7fffb850eb860000 -3aa0002039e60008 -3929ffd23b210041 -4082000c712900fd -3b2100423aa00030 -3b4000043a800000 -56b5063e3b600000 -480001687ddc00d0 -38b900012c06004f -38e8ffa8418201dc -2804002254e4063e -3c82ffff418103ac -78e715a838847600 -7ce722147ce43aaa -4e8004207ce903a6 -0000038c00000150 -0000038c0000038c -0000038c0000038c -0000038c0000038c -0000038c0000038c -000002580000038c -0000038c0000008c -0000038c0000038c -0000008c00000370 -0000038c0000038c -0000038c0000035c -000001ac0000038c -0000038c000001fc -000002980000038c -0000008c0000038c -0000038c0000038c -0000038c00000154 -2c06007500000368 -7d4152147b6a0020 -7f8ae3789aca0020 -5747183841820038 -38e7ffff39000001 -7f8840397d083836 -3940002d41820020 -9949ffff39290001 -7b491e68f9210060 -7dca50387d53482a -7d465378e8810060 -f941007838e0000a -392000007f05c378 -7fa3eb787ea8ab78 -7c84f8507c9e2050 -e88100604bfffa79 -7a8707e0e9410078 -7c9e205038c0000a -7c84f8507d455378 -4bfffbc97fa3eb78 -891900003b390001 -710600ffe9210060 -7d5e485041820010 -4181fe847c3f5040 -4bfffe307de67b78 -7b6900203a800001 -7d214a1438e00010 -7ea8ab787c8af850 -9ac900207f05c378 -7fa3eb787b491e68 -392000007d73482a -7d665b787f8b5838 -4bfff9edf9610078 -7a8707e0e8810060 -7c9e205038c00010 -7d655b78e9610078 -7b6900204bffff74 -7d214a1438e00008 -7ea8ab787c8af850 -9ac900207f05c378 -7fa3eb787b491e68 -392000007d73482a -7d665b787f8b5838 -4bfff995f9610078 -7a8707e0e8810060 -7c9e205038c00008 -7b6900204bffffa8 +ffffffcc000000b8 +ffffffcc00000048 +00000150ffffffcc +4bffff842c080063 +7d4a07b439010020 +390000757d485214 +990a002039290002 +7d2907b439410020 +3901002048000094 +7d4852147d4a07b4 +4bffffdc3900006f +991f0000393f0001 +38bc0002f9210060 +ebe1006089250000 +7c7df850712a00ff +7c23f0404182000c +392000004180febc +4bfffea4993f0000 +7d4a07b439010020 +390000737d485214 +390100204bffff90 +7d4852147d4a07b4 +4bffff7c39000070 +7d4a07b438e10020 +392900027d475214 +990a00207d2907b4 +7d2a4a147cea3b78 +7f23f05039400000 +994900203a460008 +3ac100423a600030 +3929ffd289210041 +eb060000712900fd +5669063e40820458 +3a80000060000000 +f92100683aa00004 +3a2000003ae00000 +480001a43a028018 +7d4a07b439010020 +390000787d485214 +390100204bfffef8 +7d4852147d4a07b4 +7d2907b439290002 +7d0a4378988a0020 +2c08004f4bffff7c +418201dc38f60001 +5546063e3949ffa8 +418103b828060022 +38c676443cc2ffff +7d4652aa794a15a8 +7d4903a67d4a3214 +000001584e800420 +0000039800000398 +0000039800000398 +0000039800000398 +0000039800000398 +0000039800000398 +0000008c00000268 +0000039800000398 +0000037c00000398 +000003980000008c +0000036400000398 +0000039800000398 +00000204000001ac +0000039800000398 +00000398000002ac +000003980000008c +0000015c00000398 +000003bc00000398 +7ae900202c080075 +394000007d214a14 +994900207f1ac378 +56aa183841820044 +394affff39200001 +7f0948397d295036 +3920002d4182002c +3942801860000000 +992e00007f5800d0 +f9210060392e0001 +7d2a482a7aa91e68 +e88100607f5a4838 +7f46d37838e0000a +3920000038a10020 +386100605668063e +7c84c8507c9f2050 +e88100604bfffa25 +38c0000a7a8707e0 +7c9f20507f45d378 +386100607c84c850 +3ad600014bfffb51 +e9c1006089360000 +41820010712800ff +7c39d0407f5f7050 +7e4693784181fe7c +3a8000014bfffd7c +e90100687ae90020 7d214a1438e00010 -7c8af8507f86e378 -9ac9002039000020 -392000027f05c378 -4bfff9557fa3eb78 -7e258b78e8810060 -7c9e20507fa3eb78 -4bfffa357c84f850 +38a100207c9ac850 +9a29002038610060 +7dd0482a7aa91e68 +7f0e703839200000 +4bfff9a17dc67378 7a8707e0e8810060 -7f85e37838c00010 -4bfffec87c9e2050 -390000207b690020 -38e0000a7d214a14 -7f05c37838c00001 -7c8af8509ac90020 -7fa3eb7839200000 -e92100604bfff8f9 -392900019b890000 -4bfffe94f9210060 -38a0000a7b680020 -f9410080f9210088 -388000007d014214 -9ac800207f03c378 -600000004bfff76d -7f83e378f8610078 -600000004bfff735 -e9410080e9010078 -7c281840e9210088 -e88100604181003c -7fa3eb787f85e378 -7c84f8507c9e2050 -4bfffe2c4bfff979 -392900019a490000 -7c29184039000001 -f921006040820034 -7ce9f0504bffffcc -7ce7fa147c634050 -2c2700007d4af850 -390000007c691a14 -40820008394a0001 -2c2a000139400001 -4082ffb4394affff -4082ffc071080001 -893900014bffff8c -4182004c2c09006c -4bfffdbc3b400008 -3b40000289390001 -4082fdac2c090068 -3b4000017cb92b78 -3928ffd04bfffda0 -280900095529063e -7b6900204181fd90 -7d214a143b7b0001 -4bfffd7c99090020 -4bffffb47cb92b78 -3bde0001993e0000 -fbc100607d054378 -000000004bfffa6c -0000128001000000 -f9e1ff78f9c1ff70 -fa21ff88fa01ff80 -fa61ff98fa41ff90 -faa1ffa8fa81ffa0 -fae1ffb8fac1ffb0 -fb21ffc8fb01ffc0 -fb61ffd8fb41ffd0 -fba1ffe8fb81ffe0 -fbe1fff8fbc1fff0 -4e800020f8010010 -e9e1ff78e9c1ff70 -ea21ff88ea01ff80 -ea61ff98ea41ff90 -eaa1ffa8ea81ffa0 -eae1ffb8eac1ffb0 -eb21ffc8eb01ffc0 -eb61ffd8eb41ffd0 -e8010010eb81ffe0 -7c0803a6eba1ffe8 -ebe1fff8ebc1fff0 -ebc1fff04e800020 -ebe1fff8e8010010 -4e8000207c0803a6 +7c9f205038c00010 +4bffff7c7dc57378 +394000007ae90020 +38e000087d214a14 +5668063e7c9ac850 +6000000099490020 +394280187aa91e68 +3861006038a10020 +392000007dca482a +7dc673787f0e7038 +e88100604bfff945 +38c000087a8707e0 +4bffffa47c9f2050 +394000007ae90020 +38e000107d214a14 +390000207f06c378 +38a1002099490020 +7c9ac85039200002 +4bfff90138610060 +60000000e8810060 +38a2801038610060 +7c84c8507c9f2050 +e88100604bfff9b5 +38c000107a8707e0 +7c9f20507f05c378 +7ae900204bfffec0 +7d214a1439400000 +38e0000a39000020 +9949002038c00001 +3920000038a10020 +386100607c9ac850 +e92100604bfff89d +392900019b090000 +4bfffe88f9210060 +394000007ae90020 +38a0000a7d214a14 +3861002038800000 +4bfff6f599490020 +7c6f1b7860000000 +4bfff6bd7f03c378 +7c2f184060000000 +7d0ef85040810064 +7d08ca147f5ac850 +2c2800007c637850 +394000007c6e1a14 +3b5a000138e00020 +3b40000140820008 +3b5affff2c3a0001 +714a000140820014 +f9c1006041820024 +98ee00004800001c +3940000139ce0001 +4082ffd47c237040 +e8810060f8610060 +386100607f05c378 +7c84c8507c9f2050 +4bfffdd04bfff8a5 +3aa0000889360001 +4082fdc02c09006c +4bfffdb87cf63b78 +3aa0000289360001 +4082fda82c090068 +3aa000017cf63b78 +3949ffd04bfffd9c +280a0009554a063e +7aea00204181fd8c +7d4152143af70001 +4bfffd78992a0020 +4bfffd703aa00008 +3ac100413a600020 +993f00004bfffba4 +7d0543783bff0001 +4bfffaf4fbe10060 +0100000000000000 +f9c1ff7000001280 +fa01ff80f9e1ff78 +fa41ff90fa21ff88 +fa81ffa0fa61ff98 +fac1ffb0faa1ffa8 +fb01ffc0fae1ffb8 +fb41ffd0fb21ffc8 +fb81ffe0fb61ffd8 +fbc1fff0fba1ffe8 +f8010010fbe1fff8 +e9c1ff704e800020 +ea01ff80e9e1ff78 +ea41ff90ea21ff88 +ea81ffa0ea61ff98 +eac1ffb0eaa1ffa8 +eb01ffc0eae1ffb8 +eb41ffd0eb21ffc8 +eb81ffe0eb61ffd8 +eba1ffe8e8010010 +ebc1fff07c0803a6 +4e800020ebe1fff8 +e8010010ebc1fff0 +7c0803a6ebe1fff8 +600000004e800020 6d6f636c65570a0a 63694d206f742065 2120747461776f72 @@ -1858,17 +1870,15 @@ ebe1fff8e8010010 203a46464f204853 7479622078257830 00000000000a7365 -2d2d2d2d2d2d2d2d -0000000000000000 -4d4152446574694c -6620746c69756220 -6567694d206d6f72 -646e61207325206e -2520586574694c20 -0000000000000a73 20676e69746f6f42 415242206d6f7266 0000000a2e2e2e4d +3135636632333936 +0000000000000000 +4d4152446574694c +6620746c69756220 +6574694c206d6f72 +0000000a73252058 6620676e69797254 0a2e2e2e6873616c 0000000000000000 diff --git a/litedram/generated/nexys-video/litedram_core.v b/litedram/generated/nexys-video/litedram_core.v index e3f6682..0453ea2 100644 --- a/litedram/generated/nexys-video/litedram_core.v +++ b/litedram/generated/nexys-video/litedram_core.v @@ -8,8 +8,8 @@ // // Filename : litedram_core.v // Device : -// LiteX sha1 : -------- -// Date : 2022-01-14 08:32:10 +// LiteX sha1 : 6932fc51 +// Date : 2022-08-04 21:06:57 //------------------------------------------------------------------------------ @@ -69,4066 +69,4485 @@ module litedram_core ( // Signals //------------------------------------------------------------------------------ -reg main_rst = 1'd0; +reg rst_1 = 1'd0; wire sys_clk; wire sys_rst; wire sys4x_clk; wire sys4x_dqs_clk; wire iodelay_clk; wire iodelay_rst; -wire main_reset; -reg main_power_down = 1'd0; -wire main_locked; -wire main_clkin; -wire main_clkout0; -wire main_clkout_buf0; -wire main_clkout1; -wire main_clkout_buf1; -wire main_clkout2; -wire main_clkout_buf2; -wire main_clkout3; -wire main_clkout_buf3; -reg [3:0] main_reset_counter = 4'd15; -reg main_ic_reset = 1'd1; -reg main_a7ddrphy_rst_storage = 1'd0; -reg main_a7ddrphy_rst_re = 1'd0; -reg [4:0] main_a7ddrphy_half_sys8x_taps_storage = 5'd8; -reg main_a7ddrphy_half_sys8x_taps_re = 1'd0; -reg main_a7ddrphy_wlevel_en_storage = 1'd0; -reg main_a7ddrphy_wlevel_en_re = 1'd0; -reg main_a7ddrphy_wlevel_strobe_re = 1'd0; -wire main_a7ddrphy_wlevel_strobe_r; -reg main_a7ddrphy_wlevel_strobe_we = 1'd0; -reg main_a7ddrphy_wlevel_strobe_w = 1'd0; -reg [1:0] main_a7ddrphy_dly_sel_storage = 2'd0; -reg main_a7ddrphy_dly_sel_re = 1'd0; -reg main_a7ddrphy_rdly_dq_rst_re = 1'd0; -wire main_a7ddrphy_rdly_dq_rst_r; -reg main_a7ddrphy_rdly_dq_rst_we = 1'd0; -reg main_a7ddrphy_rdly_dq_rst_w = 1'd0; -reg main_a7ddrphy_rdly_dq_inc_re = 1'd0; -wire main_a7ddrphy_rdly_dq_inc_r; -reg main_a7ddrphy_rdly_dq_inc_we = 1'd0; -reg main_a7ddrphy_rdly_dq_inc_w = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_rst_re = 1'd0; -wire main_a7ddrphy_rdly_dq_bitslip_rst_r; -reg main_a7ddrphy_rdly_dq_bitslip_rst_we = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_re = 1'd0; -wire main_a7ddrphy_rdly_dq_bitslip_r; -reg main_a7ddrphy_rdly_dq_bitslip_we = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_w = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_rst_re = 1'd0; -wire main_a7ddrphy_wdly_dq_bitslip_rst_r; -reg main_a7ddrphy_wdly_dq_bitslip_rst_we = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_rst_w = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_re = 1'd0; -wire main_a7ddrphy_wdly_dq_bitslip_r; -reg main_a7ddrphy_wdly_dq_bitslip_we = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_w = 1'd0; -reg [1:0] main_a7ddrphy_rdphase_storage = 2'd2; -reg main_a7ddrphy_rdphase_re = 1'd0; -reg [1:0] main_a7ddrphy_wrphase_storage = 2'd3; -reg main_a7ddrphy_wrphase_re = 1'd0; -wire [14:0] main_a7ddrphy_dfi_p0_address; -wire [2:0] main_a7ddrphy_dfi_p0_bank; -wire main_a7ddrphy_dfi_p0_cas_n; -wire main_a7ddrphy_dfi_p0_cs_n; -wire main_a7ddrphy_dfi_p0_ras_n; -wire main_a7ddrphy_dfi_p0_we_n; -wire main_a7ddrphy_dfi_p0_cke; -wire main_a7ddrphy_dfi_p0_odt; -wire main_a7ddrphy_dfi_p0_reset_n; -wire main_a7ddrphy_dfi_p0_act_n; -wire [31:0] main_a7ddrphy_dfi_p0_wrdata; -wire main_a7ddrphy_dfi_p0_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p0_wrdata_mask; -wire main_a7ddrphy_dfi_p0_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p0_rddata = 32'd0; -wire main_a7ddrphy_dfi_p0_rddata_valid; -wire [14:0] main_a7ddrphy_dfi_p1_address; -wire [2:0] main_a7ddrphy_dfi_p1_bank; -wire main_a7ddrphy_dfi_p1_cas_n; -wire main_a7ddrphy_dfi_p1_cs_n; -wire main_a7ddrphy_dfi_p1_ras_n; -wire main_a7ddrphy_dfi_p1_we_n; -wire main_a7ddrphy_dfi_p1_cke; -wire main_a7ddrphy_dfi_p1_odt; -wire main_a7ddrphy_dfi_p1_reset_n; -wire main_a7ddrphy_dfi_p1_act_n; -wire [31:0] main_a7ddrphy_dfi_p1_wrdata; -wire main_a7ddrphy_dfi_p1_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p1_wrdata_mask; -wire main_a7ddrphy_dfi_p1_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p1_rddata = 32'd0; -wire main_a7ddrphy_dfi_p1_rddata_valid; -wire [14:0] main_a7ddrphy_dfi_p2_address; -wire [2:0] main_a7ddrphy_dfi_p2_bank; -wire main_a7ddrphy_dfi_p2_cas_n; -wire main_a7ddrphy_dfi_p2_cs_n; -wire main_a7ddrphy_dfi_p2_ras_n; -wire main_a7ddrphy_dfi_p2_we_n; -wire main_a7ddrphy_dfi_p2_cke; -wire main_a7ddrphy_dfi_p2_odt; -wire main_a7ddrphy_dfi_p2_reset_n; -wire main_a7ddrphy_dfi_p2_act_n; -wire [31:0] main_a7ddrphy_dfi_p2_wrdata; -wire main_a7ddrphy_dfi_p2_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p2_wrdata_mask; -wire main_a7ddrphy_dfi_p2_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p2_rddata = 32'd0; -wire main_a7ddrphy_dfi_p2_rddata_valid; -wire [14:0] main_a7ddrphy_dfi_p3_address; -wire [2:0] main_a7ddrphy_dfi_p3_bank; -wire main_a7ddrphy_dfi_p3_cas_n; -wire main_a7ddrphy_dfi_p3_cs_n; -wire main_a7ddrphy_dfi_p3_ras_n; -wire main_a7ddrphy_dfi_p3_we_n; -wire main_a7ddrphy_dfi_p3_cke; -wire main_a7ddrphy_dfi_p3_odt; -wire main_a7ddrphy_dfi_p3_reset_n; -wire main_a7ddrphy_dfi_p3_act_n; -wire [31:0] main_a7ddrphy_dfi_p3_wrdata; -wire main_a7ddrphy_dfi_p3_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p3_wrdata_mask; -wire main_a7ddrphy_dfi_p3_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p3_rddata = 32'd0; -wire main_a7ddrphy_dfi_p3_rddata_valid; -wire main_a7ddrphy_sd_clk_se_nodelay; -reg main_a7ddrphy_dqs_oe = 1'd0; -wire main_a7ddrphy_dqs_preamble; -wire main_a7ddrphy_dqs_postamble; -wire main_a7ddrphy_dqs_oe_delay_tappeddelayline; -reg main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; -reg main_a7ddrphy_dqspattern0 = 1'd0; -reg main_a7ddrphy_dqspattern1 = 1'd0; -reg [7:0] main_a7ddrphy_dqspattern_o0 = 8'd0; -reg [7:0] main_a7ddrphy_dqspattern_o1 = 8'd0; -wire main_a7ddrphy_dqs_o_no_delay0; -wire main_a7ddrphy_dqs_t0; -reg [7:0] main_a7ddrphy_bitslip00 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r0 = 16'd0; -wire main_a7ddrphy0; -wire main_a7ddrphy_dqs_o_no_delay1; -wire main_a7ddrphy_dqs_t1; -reg [7:0] main_a7ddrphy_bitslip10 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r0 = 16'd0; -wire main_a7ddrphy1; -reg [7:0] main_a7ddrphy_bitslip01 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r1 = 16'd0; -reg [7:0] main_a7ddrphy_bitslip11 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r1 = 16'd0; -wire main_a7ddrphy_dq_oe; -wire main_a7ddrphy_dq_oe_delay_tappeddelayline; -reg main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; -wire main_a7ddrphy_dq_o_nodelay0; -wire main_a7ddrphy_dq_i_nodelay0; -wire main_a7ddrphy_dq_i_delayed0; -wire main_a7ddrphy_dq_t0; -reg [7:0] main_a7ddrphy_bitslip02 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value2 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r2 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip03; -reg [7:0] main_a7ddrphy_bitslip04 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value3 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r3 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay1; -wire main_a7ddrphy_dq_i_nodelay1; -wire main_a7ddrphy_dq_i_delayed1; -wire main_a7ddrphy_dq_t1; -reg [7:0] main_a7ddrphy_bitslip12 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value2 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r2 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip13; -reg [7:0] main_a7ddrphy_bitslip14 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value3 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r3 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay2; -wire main_a7ddrphy_dq_i_nodelay2; -wire main_a7ddrphy_dq_i_delayed2; -wire main_a7ddrphy_dq_t2; -reg [7:0] main_a7ddrphy_bitslip20 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip2_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip2_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip21; -reg [7:0] main_a7ddrphy_bitslip22 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip2_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip2_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay3; -wire main_a7ddrphy_dq_i_nodelay3; -wire main_a7ddrphy_dq_i_delayed3; -wire main_a7ddrphy_dq_t3; -reg [7:0] main_a7ddrphy_bitslip30 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip3_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip3_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip31; -reg [7:0] main_a7ddrphy_bitslip32 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip3_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip3_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay4; -wire main_a7ddrphy_dq_i_nodelay4; -wire main_a7ddrphy_dq_i_delayed4; -wire main_a7ddrphy_dq_t4; -reg [7:0] main_a7ddrphy_bitslip40 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip4_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip4_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip41; -reg [7:0] main_a7ddrphy_bitslip42 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip4_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip4_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay5; -wire main_a7ddrphy_dq_i_nodelay5; -wire main_a7ddrphy_dq_i_delayed5; -wire main_a7ddrphy_dq_t5; -reg [7:0] main_a7ddrphy_bitslip50 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip5_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip5_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip51; -reg [7:0] main_a7ddrphy_bitslip52 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip5_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip5_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay6; -wire main_a7ddrphy_dq_i_nodelay6; -wire main_a7ddrphy_dq_i_delayed6; -wire main_a7ddrphy_dq_t6; -reg [7:0] main_a7ddrphy_bitslip60 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip6_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip6_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip61; -reg [7:0] main_a7ddrphy_bitslip62 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip6_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip6_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay7; -wire main_a7ddrphy_dq_i_nodelay7; -wire main_a7ddrphy_dq_i_delayed7; -wire main_a7ddrphy_dq_t7; -reg [7:0] main_a7ddrphy_bitslip70 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip7_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip7_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip71; -reg [7:0] main_a7ddrphy_bitslip72 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip7_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip7_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay8; -wire main_a7ddrphy_dq_i_nodelay8; -wire main_a7ddrphy_dq_i_delayed8; -wire main_a7ddrphy_dq_t8; -reg [7:0] main_a7ddrphy_bitslip80 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip8_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip8_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip81; -reg [7:0] main_a7ddrphy_bitslip82 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip8_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip8_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay9; -wire main_a7ddrphy_dq_i_nodelay9; -wire main_a7ddrphy_dq_i_delayed9; -wire main_a7ddrphy_dq_t9; -reg [7:0] main_a7ddrphy_bitslip90 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip9_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip9_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip91; -reg [7:0] main_a7ddrphy_bitslip92 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip9_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip9_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay10; -wire main_a7ddrphy_dq_i_nodelay10; -wire main_a7ddrphy_dq_i_delayed10; -wire main_a7ddrphy_dq_t10; -reg [7:0] main_a7ddrphy_bitslip100 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip10_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip10_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip101; -reg [7:0] main_a7ddrphy_bitslip102 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip10_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip10_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay11; -wire main_a7ddrphy_dq_i_nodelay11; -wire main_a7ddrphy_dq_i_delayed11; -wire main_a7ddrphy_dq_t11; -reg [7:0] main_a7ddrphy_bitslip110 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip11_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip11_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip111; -reg [7:0] main_a7ddrphy_bitslip112 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip11_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip11_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay12; -wire main_a7ddrphy_dq_i_nodelay12; -wire main_a7ddrphy_dq_i_delayed12; -wire main_a7ddrphy_dq_t12; -reg [7:0] main_a7ddrphy_bitslip120 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip12_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip12_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip121; -reg [7:0] main_a7ddrphy_bitslip122 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip12_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip12_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay13; -wire main_a7ddrphy_dq_i_nodelay13; -wire main_a7ddrphy_dq_i_delayed13; -wire main_a7ddrphy_dq_t13; -reg [7:0] main_a7ddrphy_bitslip130 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip13_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip13_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip131; -reg [7:0] main_a7ddrphy_bitslip132 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip13_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip13_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay14; -wire main_a7ddrphy_dq_i_nodelay14; -wire main_a7ddrphy_dq_i_delayed14; -wire main_a7ddrphy_dq_t14; -reg [7:0] main_a7ddrphy_bitslip140 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip14_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip14_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip141; -reg [7:0] main_a7ddrphy_bitslip142 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip14_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip14_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay15; -wire main_a7ddrphy_dq_i_nodelay15; -wire main_a7ddrphy_dq_i_delayed15; -wire main_a7ddrphy_dq_t15; -reg [7:0] main_a7ddrphy_bitslip150 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip15_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip15_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip151; -reg [7:0] main_a7ddrphy_bitslip152 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip15_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip15_r1 = 16'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline1 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline2 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline3 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline4 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline5 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline6 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline7 = 1'd0; -reg main_a7ddrphy_wrdata_en_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_wrdata_en_tappeddelayline1 = 1'd0; -reg main_a7ddrphy_wrdata_en_tappeddelayline2 = 1'd0; -wire [14:0] main_litedramcore_inti_p0_address; -wire [2:0] main_litedramcore_inti_p0_bank; -reg main_litedramcore_inti_p0_cas_n = 1'd1; -reg main_litedramcore_inti_p0_cs_n = 1'd1; -reg main_litedramcore_inti_p0_ras_n = 1'd1; -reg main_litedramcore_inti_p0_we_n = 1'd1; -wire main_litedramcore_inti_p0_cke; -wire main_litedramcore_inti_p0_odt; -wire main_litedramcore_inti_p0_reset_n; -reg main_litedramcore_inti_p0_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p0_wrdata; -wire main_litedramcore_inti_p0_wrdata_en; -wire [3:0] main_litedramcore_inti_p0_wrdata_mask; -wire main_litedramcore_inti_p0_rddata_en; -reg [31:0] main_litedramcore_inti_p0_rddata = 32'd0; -reg main_litedramcore_inti_p0_rddata_valid = 1'd0; -wire [14:0] main_litedramcore_inti_p1_address; -wire [2:0] main_litedramcore_inti_p1_bank; -reg main_litedramcore_inti_p1_cas_n = 1'd1; -reg main_litedramcore_inti_p1_cs_n = 1'd1; -reg main_litedramcore_inti_p1_ras_n = 1'd1; -reg main_litedramcore_inti_p1_we_n = 1'd1; -wire main_litedramcore_inti_p1_cke; -wire main_litedramcore_inti_p1_odt; -wire main_litedramcore_inti_p1_reset_n; -reg main_litedramcore_inti_p1_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p1_wrdata; -wire main_litedramcore_inti_p1_wrdata_en; -wire [3:0] main_litedramcore_inti_p1_wrdata_mask; -wire main_litedramcore_inti_p1_rddata_en; -reg [31:0] main_litedramcore_inti_p1_rddata = 32'd0; -reg main_litedramcore_inti_p1_rddata_valid = 1'd0; -wire [14:0] main_litedramcore_inti_p2_address; -wire [2:0] main_litedramcore_inti_p2_bank; -reg main_litedramcore_inti_p2_cas_n = 1'd1; -reg main_litedramcore_inti_p2_cs_n = 1'd1; -reg main_litedramcore_inti_p2_ras_n = 1'd1; -reg main_litedramcore_inti_p2_we_n = 1'd1; -wire main_litedramcore_inti_p2_cke; -wire main_litedramcore_inti_p2_odt; -wire main_litedramcore_inti_p2_reset_n; -reg main_litedramcore_inti_p2_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p2_wrdata; -wire main_litedramcore_inti_p2_wrdata_en; -wire [3:0] main_litedramcore_inti_p2_wrdata_mask; -wire main_litedramcore_inti_p2_rddata_en; -reg [31:0] main_litedramcore_inti_p2_rddata = 32'd0; -reg main_litedramcore_inti_p2_rddata_valid = 1'd0; -wire [14:0] main_litedramcore_inti_p3_address; -wire [2:0] main_litedramcore_inti_p3_bank; -reg main_litedramcore_inti_p3_cas_n = 1'd1; -reg main_litedramcore_inti_p3_cs_n = 1'd1; -reg main_litedramcore_inti_p3_ras_n = 1'd1; -reg main_litedramcore_inti_p3_we_n = 1'd1; -wire main_litedramcore_inti_p3_cke; -wire main_litedramcore_inti_p3_odt; -wire main_litedramcore_inti_p3_reset_n; -reg main_litedramcore_inti_p3_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p3_wrdata; -wire main_litedramcore_inti_p3_wrdata_en; -wire [3:0] main_litedramcore_inti_p3_wrdata_mask; -wire main_litedramcore_inti_p3_rddata_en; -reg [31:0] main_litedramcore_inti_p3_rddata = 32'd0; -reg main_litedramcore_inti_p3_rddata_valid = 1'd0; -wire [14:0] main_litedramcore_slave_p0_address; -wire [2:0] main_litedramcore_slave_p0_bank; -wire main_litedramcore_slave_p0_cas_n; -wire main_litedramcore_slave_p0_cs_n; -wire main_litedramcore_slave_p0_ras_n; -wire main_litedramcore_slave_p0_we_n; -wire main_litedramcore_slave_p0_cke; -wire main_litedramcore_slave_p0_odt; -wire main_litedramcore_slave_p0_reset_n; -wire main_litedramcore_slave_p0_act_n; -wire [31:0] main_litedramcore_slave_p0_wrdata; -wire main_litedramcore_slave_p0_wrdata_en; -wire [3:0] main_litedramcore_slave_p0_wrdata_mask; -wire main_litedramcore_slave_p0_rddata_en; -reg [31:0] main_litedramcore_slave_p0_rddata = 32'd0; -reg main_litedramcore_slave_p0_rddata_valid = 1'd0; -wire [14:0] main_litedramcore_slave_p1_address; -wire [2:0] main_litedramcore_slave_p1_bank; -wire main_litedramcore_slave_p1_cas_n; -wire main_litedramcore_slave_p1_cs_n; -wire main_litedramcore_slave_p1_ras_n; -wire main_litedramcore_slave_p1_we_n; -wire main_litedramcore_slave_p1_cke; -wire main_litedramcore_slave_p1_odt; -wire main_litedramcore_slave_p1_reset_n; -wire main_litedramcore_slave_p1_act_n; -wire [31:0] main_litedramcore_slave_p1_wrdata; -wire main_litedramcore_slave_p1_wrdata_en; -wire [3:0] main_litedramcore_slave_p1_wrdata_mask; -wire main_litedramcore_slave_p1_rddata_en; -reg [31:0] main_litedramcore_slave_p1_rddata = 32'd0; -reg main_litedramcore_slave_p1_rddata_valid = 1'd0; -wire [14:0] main_litedramcore_slave_p2_address; -wire [2:0] main_litedramcore_slave_p2_bank; -wire main_litedramcore_slave_p2_cas_n; -wire main_litedramcore_slave_p2_cs_n; -wire main_litedramcore_slave_p2_ras_n; -wire main_litedramcore_slave_p2_we_n; -wire main_litedramcore_slave_p2_cke; -wire main_litedramcore_slave_p2_odt; -wire main_litedramcore_slave_p2_reset_n; -wire main_litedramcore_slave_p2_act_n; -wire [31:0] main_litedramcore_slave_p2_wrdata; -wire main_litedramcore_slave_p2_wrdata_en; -wire [3:0] main_litedramcore_slave_p2_wrdata_mask; -wire main_litedramcore_slave_p2_rddata_en; -reg [31:0] main_litedramcore_slave_p2_rddata = 32'd0; -reg main_litedramcore_slave_p2_rddata_valid = 1'd0; -wire [14:0] main_litedramcore_slave_p3_address; -wire [2:0] main_litedramcore_slave_p3_bank; -wire main_litedramcore_slave_p3_cas_n; -wire main_litedramcore_slave_p3_cs_n; -wire main_litedramcore_slave_p3_ras_n; -wire main_litedramcore_slave_p3_we_n; -wire main_litedramcore_slave_p3_cke; -wire main_litedramcore_slave_p3_odt; -wire main_litedramcore_slave_p3_reset_n; -wire main_litedramcore_slave_p3_act_n; -wire [31:0] main_litedramcore_slave_p3_wrdata; -wire main_litedramcore_slave_p3_wrdata_en; -wire [3:0] main_litedramcore_slave_p3_wrdata_mask; -wire main_litedramcore_slave_p3_rddata_en; -reg [31:0] main_litedramcore_slave_p3_rddata = 32'd0; -reg main_litedramcore_slave_p3_rddata_valid = 1'd0; -reg [14:0] main_litedramcore_master_p0_address = 15'd0; -reg [2:0] main_litedramcore_master_p0_bank = 3'd0; -reg main_litedramcore_master_p0_cas_n = 1'd1; -reg main_litedramcore_master_p0_cs_n = 1'd1; -reg main_litedramcore_master_p0_ras_n = 1'd1; -reg main_litedramcore_master_p0_we_n = 1'd1; -reg main_litedramcore_master_p0_cke = 1'd0; -reg main_litedramcore_master_p0_odt = 1'd0; -reg main_litedramcore_master_p0_reset_n = 1'd0; -reg main_litedramcore_master_p0_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p0_wrdata = 32'd0; -reg main_litedramcore_master_p0_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p0_wrdata_mask = 4'd0; -reg main_litedramcore_master_p0_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p0_rddata; -wire main_litedramcore_master_p0_rddata_valid; -reg [14:0] main_litedramcore_master_p1_address = 15'd0; -reg [2:0] main_litedramcore_master_p1_bank = 3'd0; -reg main_litedramcore_master_p1_cas_n = 1'd1; -reg main_litedramcore_master_p1_cs_n = 1'd1; -reg main_litedramcore_master_p1_ras_n = 1'd1; -reg main_litedramcore_master_p1_we_n = 1'd1; -reg main_litedramcore_master_p1_cke = 1'd0; -reg main_litedramcore_master_p1_odt = 1'd0; -reg main_litedramcore_master_p1_reset_n = 1'd0; -reg main_litedramcore_master_p1_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p1_wrdata = 32'd0; -reg main_litedramcore_master_p1_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p1_wrdata_mask = 4'd0; -reg main_litedramcore_master_p1_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p1_rddata; -wire main_litedramcore_master_p1_rddata_valid; -reg [14:0] main_litedramcore_master_p2_address = 15'd0; -reg [2:0] main_litedramcore_master_p2_bank = 3'd0; -reg main_litedramcore_master_p2_cas_n = 1'd1; -reg main_litedramcore_master_p2_cs_n = 1'd1; -reg main_litedramcore_master_p2_ras_n = 1'd1; -reg main_litedramcore_master_p2_we_n = 1'd1; -reg main_litedramcore_master_p2_cke = 1'd0; -reg main_litedramcore_master_p2_odt = 1'd0; -reg main_litedramcore_master_p2_reset_n = 1'd0; -reg main_litedramcore_master_p2_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p2_wrdata = 32'd0; -reg main_litedramcore_master_p2_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p2_wrdata_mask = 4'd0; -reg main_litedramcore_master_p2_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p2_rddata; -wire main_litedramcore_master_p2_rddata_valid; -reg [14:0] main_litedramcore_master_p3_address = 15'd0; -reg [2:0] main_litedramcore_master_p3_bank = 3'd0; -reg main_litedramcore_master_p3_cas_n = 1'd1; -reg main_litedramcore_master_p3_cs_n = 1'd1; -reg main_litedramcore_master_p3_ras_n = 1'd1; -reg main_litedramcore_master_p3_we_n = 1'd1; -reg main_litedramcore_master_p3_cke = 1'd0; -reg main_litedramcore_master_p3_odt = 1'd0; -reg main_litedramcore_master_p3_reset_n = 1'd0; -reg main_litedramcore_master_p3_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p3_wrdata = 32'd0; -reg main_litedramcore_master_p3_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p3_wrdata_mask = 4'd0; -reg main_litedramcore_master_p3_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p3_rddata; -wire main_litedramcore_master_p3_rddata_valid; -wire main_litedramcore_sel; -wire main_litedramcore_cke; -wire main_litedramcore_odt; -wire main_litedramcore_reset_n; -reg [3:0] main_litedramcore_storage = 4'd1; -reg main_litedramcore_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector0_command_storage = 6'd0; -reg main_litedramcore_phaseinjector0_command_re = 1'd0; -reg main_litedramcore_phaseinjector0_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector0_command_issue_r; -reg main_litedramcore_phaseinjector0_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector0_command_issue_w = 1'd0; -reg [14:0] main_litedramcore_phaseinjector0_address_storage = 15'd0; -reg main_litedramcore_phaseinjector0_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector0_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector0_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector0_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector0_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector0_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector0_rddata_we; -reg main_litedramcore_phaseinjector0_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector1_command_storage = 6'd0; -reg main_litedramcore_phaseinjector1_command_re = 1'd0; -reg main_litedramcore_phaseinjector1_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector1_command_issue_r; -reg main_litedramcore_phaseinjector1_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector1_command_issue_w = 1'd0; -reg [14:0] main_litedramcore_phaseinjector1_address_storage = 15'd0; -reg main_litedramcore_phaseinjector1_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector1_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector1_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector1_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector1_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector1_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector1_rddata_we; -reg main_litedramcore_phaseinjector1_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector2_command_storage = 6'd0; -reg main_litedramcore_phaseinjector2_command_re = 1'd0; -reg main_litedramcore_phaseinjector2_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector2_command_issue_r; -reg main_litedramcore_phaseinjector2_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector2_command_issue_w = 1'd0; -reg [14:0] main_litedramcore_phaseinjector2_address_storage = 15'd0; -reg main_litedramcore_phaseinjector2_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector2_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector2_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector2_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector2_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector2_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector2_rddata_we; -reg main_litedramcore_phaseinjector2_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector3_command_storage = 6'd0; -reg main_litedramcore_phaseinjector3_command_re = 1'd0; -reg main_litedramcore_phaseinjector3_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector3_command_issue_r; -reg main_litedramcore_phaseinjector3_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector3_command_issue_w = 1'd0; -reg [14:0] main_litedramcore_phaseinjector3_address_storage = 15'd0; -reg main_litedramcore_phaseinjector3_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector3_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector3_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector3_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector3_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector3_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector3_rddata_we; -reg main_litedramcore_phaseinjector3_rddata_re = 1'd0; -wire main_litedramcore_interface_bank0_valid; -wire main_litedramcore_interface_bank0_ready; -wire main_litedramcore_interface_bank0_we; -wire [21:0] main_litedramcore_interface_bank0_addr; -wire main_litedramcore_interface_bank0_lock; -wire main_litedramcore_interface_bank0_wdata_ready; -wire main_litedramcore_interface_bank0_rdata_valid; -wire main_litedramcore_interface_bank1_valid; -wire main_litedramcore_interface_bank1_ready; -wire main_litedramcore_interface_bank1_we; -wire [21:0] main_litedramcore_interface_bank1_addr; -wire main_litedramcore_interface_bank1_lock; -wire main_litedramcore_interface_bank1_wdata_ready; -wire main_litedramcore_interface_bank1_rdata_valid; -wire main_litedramcore_interface_bank2_valid; -wire main_litedramcore_interface_bank2_ready; -wire main_litedramcore_interface_bank2_we; -wire [21:0] main_litedramcore_interface_bank2_addr; -wire main_litedramcore_interface_bank2_lock; -wire main_litedramcore_interface_bank2_wdata_ready; -wire main_litedramcore_interface_bank2_rdata_valid; -wire main_litedramcore_interface_bank3_valid; -wire main_litedramcore_interface_bank3_ready; -wire main_litedramcore_interface_bank3_we; -wire [21:0] main_litedramcore_interface_bank3_addr; -wire main_litedramcore_interface_bank3_lock; -wire main_litedramcore_interface_bank3_wdata_ready; -wire main_litedramcore_interface_bank3_rdata_valid; -wire main_litedramcore_interface_bank4_valid; -wire main_litedramcore_interface_bank4_ready; -wire main_litedramcore_interface_bank4_we; -wire [21:0] main_litedramcore_interface_bank4_addr; -wire main_litedramcore_interface_bank4_lock; -wire main_litedramcore_interface_bank4_wdata_ready; -wire main_litedramcore_interface_bank4_rdata_valid; -wire main_litedramcore_interface_bank5_valid; -wire main_litedramcore_interface_bank5_ready; -wire main_litedramcore_interface_bank5_we; -wire [21:0] main_litedramcore_interface_bank5_addr; -wire main_litedramcore_interface_bank5_lock; -wire main_litedramcore_interface_bank5_wdata_ready; -wire main_litedramcore_interface_bank5_rdata_valid; -wire main_litedramcore_interface_bank6_valid; -wire main_litedramcore_interface_bank6_ready; -wire main_litedramcore_interface_bank6_we; -wire [21:0] main_litedramcore_interface_bank6_addr; -wire main_litedramcore_interface_bank6_lock; -wire main_litedramcore_interface_bank6_wdata_ready; -wire main_litedramcore_interface_bank6_rdata_valid; -wire main_litedramcore_interface_bank7_valid; -wire main_litedramcore_interface_bank7_ready; -wire main_litedramcore_interface_bank7_we; -wire [21:0] main_litedramcore_interface_bank7_addr; -wire main_litedramcore_interface_bank7_lock; -wire main_litedramcore_interface_bank7_wdata_ready; -wire main_litedramcore_interface_bank7_rdata_valid; -reg [127:0] main_litedramcore_interface_wdata = 128'd0; -reg [15:0] main_litedramcore_interface_wdata_we = 16'd0; -wire [127:0] main_litedramcore_interface_rdata; -reg [14:0] main_litedramcore_dfi_p0_address = 15'd0; -reg [2:0] main_litedramcore_dfi_p0_bank = 3'd0; -reg main_litedramcore_dfi_p0_cas_n = 1'd1; -reg main_litedramcore_dfi_p0_cs_n = 1'd1; -reg main_litedramcore_dfi_p0_ras_n = 1'd1; -reg main_litedramcore_dfi_p0_we_n = 1'd1; -wire main_litedramcore_dfi_p0_cke; -wire main_litedramcore_dfi_p0_odt; -wire main_litedramcore_dfi_p0_reset_n; -reg main_litedramcore_dfi_p0_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p0_wrdata; -reg main_litedramcore_dfi_p0_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p0_wrdata_mask; -reg main_litedramcore_dfi_p0_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p0_rddata; -wire main_litedramcore_dfi_p0_rddata_valid; -reg [14:0] main_litedramcore_dfi_p1_address = 15'd0; -reg [2:0] main_litedramcore_dfi_p1_bank = 3'd0; -reg main_litedramcore_dfi_p1_cas_n = 1'd1; -reg main_litedramcore_dfi_p1_cs_n = 1'd1; -reg main_litedramcore_dfi_p1_ras_n = 1'd1; -reg main_litedramcore_dfi_p1_we_n = 1'd1; -wire main_litedramcore_dfi_p1_cke; -wire main_litedramcore_dfi_p1_odt; -wire main_litedramcore_dfi_p1_reset_n; -reg main_litedramcore_dfi_p1_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p1_wrdata; -reg main_litedramcore_dfi_p1_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p1_wrdata_mask; -reg main_litedramcore_dfi_p1_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p1_rddata; -wire main_litedramcore_dfi_p1_rddata_valid; -reg [14:0] main_litedramcore_dfi_p2_address = 15'd0; -reg [2:0] main_litedramcore_dfi_p2_bank = 3'd0; -reg main_litedramcore_dfi_p2_cas_n = 1'd1; -reg main_litedramcore_dfi_p2_cs_n = 1'd1; -reg main_litedramcore_dfi_p2_ras_n = 1'd1; -reg main_litedramcore_dfi_p2_we_n = 1'd1; -wire main_litedramcore_dfi_p2_cke; -wire main_litedramcore_dfi_p2_odt; -wire main_litedramcore_dfi_p2_reset_n; -reg main_litedramcore_dfi_p2_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p2_wrdata; -reg main_litedramcore_dfi_p2_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p2_wrdata_mask; -reg main_litedramcore_dfi_p2_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p2_rddata; -wire main_litedramcore_dfi_p2_rddata_valid; -reg [14:0] main_litedramcore_dfi_p3_address = 15'd0; -reg [2:0] main_litedramcore_dfi_p3_bank = 3'd0; -reg main_litedramcore_dfi_p3_cas_n = 1'd1; -reg main_litedramcore_dfi_p3_cs_n = 1'd1; -reg main_litedramcore_dfi_p3_ras_n = 1'd1; -reg main_litedramcore_dfi_p3_we_n = 1'd1; -wire main_litedramcore_dfi_p3_cke; -wire main_litedramcore_dfi_p3_odt; -wire main_litedramcore_dfi_p3_reset_n; -reg main_litedramcore_dfi_p3_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p3_wrdata; -reg main_litedramcore_dfi_p3_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p3_wrdata_mask; -reg main_litedramcore_dfi_p3_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p3_rddata; -wire main_litedramcore_dfi_p3_rddata_valid; -reg main_litedramcore_cmd_valid = 1'd0; -reg main_litedramcore_cmd_ready = 1'd0; -reg main_litedramcore_cmd_last = 1'd0; -reg [14:0] main_litedramcore_cmd_payload_a = 15'd0; -reg [2:0] main_litedramcore_cmd_payload_ba = 3'd0; -reg main_litedramcore_cmd_payload_cas = 1'd0; -reg main_litedramcore_cmd_payload_ras = 1'd0; -reg main_litedramcore_cmd_payload_we = 1'd0; -reg main_litedramcore_cmd_payload_is_read = 1'd0; -reg main_litedramcore_cmd_payload_is_write = 1'd0; -wire main_litedramcore_wants_refresh; -wire main_litedramcore_wants_zqcs; -wire main_litedramcore_timer_wait; -wire main_litedramcore_timer_done0; -wire [9:0] main_litedramcore_timer_count0; -wire main_litedramcore_timer_done1; -reg [9:0] main_litedramcore_timer_count1 = 10'd781; -wire main_litedramcore_postponer_req_i; -reg main_litedramcore_postponer_req_o = 1'd0; -reg main_litedramcore_postponer_count = 1'd0; -reg main_litedramcore_sequencer_start0 = 1'd0; -wire main_litedramcore_sequencer_done0; -wire main_litedramcore_sequencer_start1; -reg main_litedramcore_sequencer_done1 = 1'd0; -reg [5:0] main_litedramcore_sequencer_counter = 6'd0; -reg main_litedramcore_sequencer_count = 1'd0; -wire main_litedramcore_zqcs_timer_wait; -wire main_litedramcore_zqcs_timer_done0; -wire [26:0] main_litedramcore_zqcs_timer_count0; -wire main_litedramcore_zqcs_timer_done1; -reg [26:0] main_litedramcore_zqcs_timer_count1 = 27'd99999999; -reg main_litedramcore_zqcs_executer_start = 1'd0; -reg main_litedramcore_zqcs_executer_done = 1'd0; -reg [4:0] main_litedramcore_zqcs_executer_counter = 5'd0; -wire main_litedramcore_bankmachine0_req_valid; -wire main_litedramcore_bankmachine0_req_ready; -wire main_litedramcore_bankmachine0_req_we; -wire [21:0] main_litedramcore_bankmachine0_req_addr; -wire main_litedramcore_bankmachine0_req_lock; -reg main_litedramcore_bankmachine0_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine0_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine0_refresh_req; -reg main_litedramcore_bankmachine0_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine0_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine0_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine0_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine0_cmd_payload_ba; -reg main_litedramcore_bankmachine0_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine0_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; -wire [24:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; -wire [24:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -reg [4:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine0_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine0_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine0_row = 15'd0; -reg main_litedramcore_bankmachine0_row_opened = 1'd0; -wire main_litedramcore_bankmachine0_row_hit; -reg main_litedramcore_bankmachine0_row_open = 1'd0; -reg main_litedramcore_bankmachine0_row_close = 1'd0; -reg main_litedramcore_bankmachine0_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine0_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine0_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_trccon_count = 3'd0; -wire main_litedramcore_bankmachine0_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_trascon_count = 3'd0; -wire main_litedramcore_bankmachine1_req_valid; -wire main_litedramcore_bankmachine1_req_ready; -wire main_litedramcore_bankmachine1_req_we; -wire [21:0] main_litedramcore_bankmachine1_req_addr; -wire main_litedramcore_bankmachine1_req_lock; -reg main_litedramcore_bankmachine1_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine1_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine1_refresh_req; -reg main_litedramcore_bankmachine1_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine1_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine1_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine1_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine1_cmd_payload_ba; -reg main_litedramcore_bankmachine1_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine1_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; -wire [24:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; -wire [24:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -reg [4:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine1_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine1_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine1_row = 15'd0; -reg main_litedramcore_bankmachine1_row_opened = 1'd0; -wire main_litedramcore_bankmachine1_row_hit; -reg main_litedramcore_bankmachine1_row_open = 1'd0; -reg main_litedramcore_bankmachine1_row_close = 1'd0; -reg main_litedramcore_bankmachine1_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine1_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine1_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_trccon_count = 3'd0; -wire main_litedramcore_bankmachine1_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_trascon_count = 3'd0; -wire main_litedramcore_bankmachine2_req_valid; -wire main_litedramcore_bankmachine2_req_ready; -wire main_litedramcore_bankmachine2_req_we; -wire [21:0] main_litedramcore_bankmachine2_req_addr; -wire main_litedramcore_bankmachine2_req_lock; -reg main_litedramcore_bankmachine2_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine2_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine2_refresh_req; -reg main_litedramcore_bankmachine2_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine2_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine2_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine2_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine2_cmd_payload_ba; -reg main_litedramcore_bankmachine2_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine2_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; -wire [24:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; -wire [24:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -reg [4:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine2_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine2_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine2_row = 15'd0; -reg main_litedramcore_bankmachine2_row_opened = 1'd0; -wire main_litedramcore_bankmachine2_row_hit; -reg main_litedramcore_bankmachine2_row_open = 1'd0; -reg main_litedramcore_bankmachine2_row_close = 1'd0; -reg main_litedramcore_bankmachine2_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine2_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine2_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_trccon_count = 3'd0; -wire main_litedramcore_bankmachine2_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_trascon_count = 3'd0; -wire main_litedramcore_bankmachine3_req_valid; -wire main_litedramcore_bankmachine3_req_ready; -wire main_litedramcore_bankmachine3_req_we; -wire [21:0] main_litedramcore_bankmachine3_req_addr; -wire main_litedramcore_bankmachine3_req_lock; -reg main_litedramcore_bankmachine3_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine3_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine3_refresh_req; -reg main_litedramcore_bankmachine3_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine3_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine3_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine3_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine3_cmd_payload_ba; -reg main_litedramcore_bankmachine3_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine3_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; -wire [24:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; -wire [24:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -reg [4:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine3_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine3_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine3_row = 15'd0; -reg main_litedramcore_bankmachine3_row_opened = 1'd0; -wire main_litedramcore_bankmachine3_row_hit; -reg main_litedramcore_bankmachine3_row_open = 1'd0; -reg main_litedramcore_bankmachine3_row_close = 1'd0; -reg main_litedramcore_bankmachine3_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine3_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine3_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_trccon_count = 3'd0; -wire main_litedramcore_bankmachine3_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_trascon_count = 3'd0; -wire main_litedramcore_bankmachine4_req_valid; -wire main_litedramcore_bankmachine4_req_ready; -wire main_litedramcore_bankmachine4_req_we; -wire [21:0] main_litedramcore_bankmachine4_req_addr; -wire main_litedramcore_bankmachine4_req_lock; -reg main_litedramcore_bankmachine4_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine4_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine4_refresh_req; -reg main_litedramcore_bankmachine4_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine4_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine4_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine4_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine4_cmd_payload_ba; -reg main_litedramcore_bankmachine4_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine4_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; -wire [24:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; -wire [24:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -reg [4:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine4_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine4_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine4_row = 15'd0; -reg main_litedramcore_bankmachine4_row_opened = 1'd0; -wire main_litedramcore_bankmachine4_row_hit; -reg main_litedramcore_bankmachine4_row_open = 1'd0; -reg main_litedramcore_bankmachine4_row_close = 1'd0; -reg main_litedramcore_bankmachine4_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine4_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine4_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_trccon_count = 3'd0; -wire main_litedramcore_bankmachine4_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_trascon_count = 3'd0; -wire main_litedramcore_bankmachine5_req_valid; -wire main_litedramcore_bankmachine5_req_ready; -wire main_litedramcore_bankmachine5_req_we; -wire [21:0] main_litedramcore_bankmachine5_req_addr; -wire main_litedramcore_bankmachine5_req_lock; -reg main_litedramcore_bankmachine5_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine5_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine5_refresh_req; -reg main_litedramcore_bankmachine5_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine5_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine5_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine5_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine5_cmd_payload_ba; -reg main_litedramcore_bankmachine5_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine5_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; -wire [24:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; -wire [24:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -reg [4:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine5_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine5_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine5_row = 15'd0; -reg main_litedramcore_bankmachine5_row_opened = 1'd0; -wire main_litedramcore_bankmachine5_row_hit; -reg main_litedramcore_bankmachine5_row_open = 1'd0; -reg main_litedramcore_bankmachine5_row_close = 1'd0; -reg main_litedramcore_bankmachine5_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine5_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine5_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_trccon_count = 3'd0; -wire main_litedramcore_bankmachine5_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_trascon_count = 3'd0; -wire main_litedramcore_bankmachine6_req_valid; -wire main_litedramcore_bankmachine6_req_ready; -wire main_litedramcore_bankmachine6_req_we; -wire [21:0] main_litedramcore_bankmachine6_req_addr; -wire main_litedramcore_bankmachine6_req_lock; -reg main_litedramcore_bankmachine6_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine6_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine6_refresh_req; -reg main_litedramcore_bankmachine6_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine6_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine6_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine6_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine6_cmd_payload_ba; -reg main_litedramcore_bankmachine6_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine6_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; -wire [24:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; -wire [24:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -reg [4:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine6_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine6_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine6_row = 15'd0; -reg main_litedramcore_bankmachine6_row_opened = 1'd0; -wire main_litedramcore_bankmachine6_row_hit; -reg main_litedramcore_bankmachine6_row_open = 1'd0; -reg main_litedramcore_bankmachine6_row_close = 1'd0; -reg main_litedramcore_bankmachine6_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine6_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine6_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_trccon_count = 3'd0; -wire main_litedramcore_bankmachine6_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_trascon_count = 3'd0; -wire main_litedramcore_bankmachine7_req_valid; -wire main_litedramcore_bankmachine7_req_ready; -wire main_litedramcore_bankmachine7_req_we; -wire [21:0] main_litedramcore_bankmachine7_req_addr; -wire main_litedramcore_bankmachine7_req_lock; -reg main_litedramcore_bankmachine7_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine7_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine7_refresh_req; -reg main_litedramcore_bankmachine7_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine7_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine7_cmd_ready = 1'd0; -reg [14:0] main_litedramcore_bankmachine7_cmd_payload_a = 15'd0; -wire [2:0] main_litedramcore_bankmachine7_cmd_payload_ba; -reg main_litedramcore_bankmachine7_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine7_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; -wire [21:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; -wire [24:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; -wire [24:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -reg [4:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [24:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we; -wire [24:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr; -wire [24:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we; -wire [21:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; -wire [21:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we; -wire [21:0] main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine7_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine7_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_source_payload_we = 1'd0; -reg [21:0] main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr = 22'd0; -reg [14:0] main_litedramcore_bankmachine7_row = 15'd0; -reg main_litedramcore_bankmachine7_row_opened = 1'd0; -wire main_litedramcore_bankmachine7_row_hit; -reg main_litedramcore_bankmachine7_row_open = 1'd0; -reg main_litedramcore_bankmachine7_row_close = 1'd0; -reg main_litedramcore_bankmachine7_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine7_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine7_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_trccon_count = 3'd0; -wire main_litedramcore_bankmachine7_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_trascon_count = 3'd0; -wire main_litedramcore_ras_allowed; -wire main_litedramcore_cas_allowed; -wire [1:0] main_litedramcore_rdcmdphase; -wire [1:0] main_litedramcore_wrcmdphase; -reg main_litedramcore_choose_cmd_want_reads = 1'd0; -reg main_litedramcore_choose_cmd_want_writes = 1'd0; -reg main_litedramcore_choose_cmd_want_cmds = 1'd0; -reg main_litedramcore_choose_cmd_want_activates = 1'd0; -wire main_litedramcore_choose_cmd_cmd_valid; -reg main_litedramcore_choose_cmd_cmd_ready = 1'd0; -wire [14:0] main_litedramcore_choose_cmd_cmd_payload_a; -wire [2:0] main_litedramcore_choose_cmd_cmd_payload_ba; -reg main_litedramcore_choose_cmd_cmd_payload_cas = 1'd0; -reg main_litedramcore_choose_cmd_cmd_payload_ras = 1'd0; -reg main_litedramcore_choose_cmd_cmd_payload_we = 1'd0; -wire main_litedramcore_choose_cmd_cmd_payload_is_cmd; -wire main_litedramcore_choose_cmd_cmd_payload_is_read; -wire main_litedramcore_choose_cmd_cmd_payload_is_write; -reg [7:0] main_litedramcore_choose_cmd_valids = 8'd0; -wire [7:0] main_litedramcore_choose_cmd_request; -reg [2:0] main_litedramcore_choose_cmd_grant = 3'd0; -wire main_litedramcore_choose_cmd_ce; -reg main_litedramcore_choose_req_want_reads = 1'd0; -reg main_litedramcore_choose_req_want_writes = 1'd0; -reg main_litedramcore_choose_req_want_cmds = 1'd0; -reg main_litedramcore_choose_req_want_activates = 1'd0; -wire main_litedramcore_choose_req_cmd_valid; -reg main_litedramcore_choose_req_cmd_ready = 1'd0; -wire [14:0] main_litedramcore_choose_req_cmd_payload_a; -wire [2:0] main_litedramcore_choose_req_cmd_payload_ba; -reg main_litedramcore_choose_req_cmd_payload_cas = 1'd0; -reg main_litedramcore_choose_req_cmd_payload_ras = 1'd0; -reg main_litedramcore_choose_req_cmd_payload_we = 1'd0; -wire main_litedramcore_choose_req_cmd_payload_is_cmd; -wire main_litedramcore_choose_req_cmd_payload_is_read; -wire main_litedramcore_choose_req_cmd_payload_is_write; -reg [7:0] main_litedramcore_choose_req_valids = 8'd0; -wire [7:0] main_litedramcore_choose_req_request; -reg [2:0] main_litedramcore_choose_req_grant = 3'd0; -wire main_litedramcore_choose_req_ce; -reg [14:0] main_litedramcore_nop_a = 15'd0; -reg [2:0] main_litedramcore_nop_ba = 3'd0; -reg [1:0] main_litedramcore_steerer_sel0 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel1 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel2 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel3 = 2'd0; -reg main_litedramcore_steerer0 = 1'd1; -reg main_litedramcore_steerer1 = 1'd1; -reg main_litedramcore_steerer2 = 1'd1; -reg main_litedramcore_steerer3 = 1'd1; -reg main_litedramcore_steerer4 = 1'd1; -reg main_litedramcore_steerer5 = 1'd1; -reg main_litedramcore_steerer6 = 1'd1; -reg main_litedramcore_steerer7 = 1'd1; -wire main_litedramcore_trrdcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_trrdcon_ready = 1'd0; -reg main_litedramcore_trrdcon_count = 1'd0; -wire main_litedramcore_tfawcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_tfawcon_ready = 1'd1; -wire [2:0] main_litedramcore_tfawcon_count; -reg [4:0] main_litedramcore_tfawcon_window = 5'd0; -wire main_litedramcore_tccdcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_tccdcon_ready = 1'd0; -reg main_litedramcore_tccdcon_count = 1'd0; -wire main_litedramcore_twtrcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_twtrcon_ready = 1'd0; -reg [2:0] main_litedramcore_twtrcon_count = 3'd0; -wire main_litedramcore_read_available; -wire main_litedramcore_write_available; -reg main_litedramcore_en0 = 1'd0; -wire main_litedramcore_max_time0; -reg [4:0] main_litedramcore_time0 = 5'd0; -reg main_litedramcore_en1 = 1'd0; -wire main_litedramcore_max_time1; -reg [3:0] main_litedramcore_time1 = 4'd0; -wire main_litedramcore_go_to_refresh; -reg main_init_done_storage = 1'd0; -reg main_init_done_re = 1'd0; -reg main_init_error_storage = 1'd0; -reg main_init_error_re = 1'd0; -wire [29:0] main_wb_bus_adr; -wire [31:0] main_wb_bus_dat_w; -wire [31:0] main_wb_bus_dat_r; -wire [3:0] main_wb_bus_sel; -wire main_wb_bus_cyc; -wire main_wb_bus_stb; -wire main_wb_bus_ack; -wire main_wb_bus_we; -wire [2:0] main_wb_bus_cti; -wire [1:0] main_wb_bus_bte; -wire main_wb_bus_err; -wire main_user_enable; -wire main_user_port_cmd_valid; -wire main_user_port_cmd_ready; -wire main_user_port_cmd_payload_we; -wire [24:0] main_user_port_cmd_payload_addr; -wire main_user_port_wdata_valid; -wire main_user_port_wdata_ready; -wire [127:0] main_user_port_wdata_payload_data; -wire [15:0] main_user_port_wdata_payload_we; -wire main_user_port_rdata_valid; -wire main_user_port_rdata_ready; -wire [127:0] main_user_port_rdata_payload_data; -wire builder_reset0; -wire builder_reset1; -wire builder_reset2; -wire builder_reset3; -wire builder_reset4; -wire builder_reset5; -wire builder_reset6; -wire builder_reset7; -wire builder_pll_fb; -reg [1:0] builder_refresher_state = 2'd0; -reg [1:0] builder_refresher_next_state = 2'd0; -reg [3:0] builder_bankmachine0_state = 4'd0; -reg [3:0] builder_bankmachine0_next_state = 4'd0; -reg [3:0] builder_bankmachine1_state = 4'd0; -reg [3:0] builder_bankmachine1_next_state = 4'd0; -reg [3:0] builder_bankmachine2_state = 4'd0; -reg [3:0] builder_bankmachine2_next_state = 4'd0; -reg [3:0] builder_bankmachine3_state = 4'd0; -reg [3:0] builder_bankmachine3_next_state = 4'd0; -reg [3:0] builder_bankmachine4_state = 4'd0; -reg [3:0] builder_bankmachine4_next_state = 4'd0; -reg [3:0] builder_bankmachine5_state = 4'd0; -reg [3:0] builder_bankmachine5_next_state = 4'd0; -reg [3:0] builder_bankmachine6_state = 4'd0; -reg [3:0] builder_bankmachine6_next_state = 4'd0; -reg [3:0] builder_bankmachine7_state = 4'd0; -reg [3:0] builder_bankmachine7_next_state = 4'd0; -reg [3:0] builder_multiplexer_state = 4'd0; -reg [3:0] builder_multiplexer_next_state = 4'd0; -wire builder_roundrobin0_request; -wire builder_roundrobin0_grant; -wire builder_roundrobin0_ce; -wire builder_roundrobin1_request; -wire builder_roundrobin1_grant; -wire builder_roundrobin1_ce; -wire builder_roundrobin2_request; -wire builder_roundrobin2_grant; -wire builder_roundrobin2_ce; -wire builder_roundrobin3_request; -wire builder_roundrobin3_grant; -wire builder_roundrobin3_ce; -wire builder_roundrobin4_request; -wire builder_roundrobin4_grant; -wire builder_roundrobin4_ce; -wire builder_roundrobin5_request; -wire builder_roundrobin5_grant; -wire builder_roundrobin5_ce; -wire builder_roundrobin6_request; -wire builder_roundrobin6_grant; -wire builder_roundrobin6_ce; -wire builder_roundrobin7_request; -wire builder_roundrobin7_grant; -wire builder_roundrobin7_ce; -reg builder_locked0 = 1'd0; -reg builder_locked1 = 1'd0; -reg builder_locked2 = 1'd0; -reg builder_locked3 = 1'd0; -reg builder_locked4 = 1'd0; -reg builder_locked5 = 1'd0; -reg builder_locked6 = 1'd0; -reg builder_locked7 = 1'd0; -reg builder_new_master_wdata_ready0 = 1'd0; -reg builder_new_master_wdata_ready1 = 1'd0; -reg builder_new_master_rdata_valid0 = 1'd0; -reg builder_new_master_rdata_valid1 = 1'd0; -reg builder_new_master_rdata_valid2 = 1'd0; -reg builder_new_master_rdata_valid3 = 1'd0; -reg builder_new_master_rdata_valid4 = 1'd0; -reg builder_new_master_rdata_valid5 = 1'd0; -reg builder_new_master_rdata_valid6 = 1'd0; -reg builder_new_master_rdata_valid7 = 1'd0; -reg builder_new_master_rdata_valid8 = 1'd0; -reg [13:0] builder_litedramcore_adr = 14'd0; -reg builder_litedramcore_we = 1'd0; -reg [31:0] builder_litedramcore_dat_w = 32'd0; -wire [31:0] builder_litedramcore_dat_r; -wire [29:0] builder_litedramcore_wishbone_adr; -wire [31:0] builder_litedramcore_wishbone_dat_w; -reg [31:0] builder_litedramcore_wishbone_dat_r = 32'd0; -wire [3:0] builder_litedramcore_wishbone_sel; -wire builder_litedramcore_wishbone_cyc; -wire builder_litedramcore_wishbone_stb; -reg builder_litedramcore_wishbone_ack = 1'd0; -wire builder_litedramcore_wishbone_we; -wire [2:0] builder_litedramcore_wishbone_cti; -wire [1:0] builder_litedramcore_wishbone_bte; -reg builder_litedramcore_wishbone_err = 1'd0; -wire [13:0] builder_interface0_bank_bus_adr; -wire builder_interface0_bank_bus_we; -wire [31:0] builder_interface0_bank_bus_dat_w; -reg [31:0] builder_interface0_bank_bus_dat_r = 32'd0; -reg builder_csrbank0_init_done0_re = 1'd0; -wire builder_csrbank0_init_done0_r; -reg builder_csrbank0_init_done0_we = 1'd0; -wire builder_csrbank0_init_done0_w; -reg builder_csrbank0_init_error0_re = 1'd0; -wire builder_csrbank0_init_error0_r; -reg builder_csrbank0_init_error0_we = 1'd0; -wire builder_csrbank0_init_error0_w; -wire builder_csrbank0_sel; -wire [13:0] builder_interface1_bank_bus_adr; -wire builder_interface1_bank_bus_we; -wire [31:0] builder_interface1_bank_bus_dat_w; -reg [31:0] builder_interface1_bank_bus_dat_r = 32'd0; -reg builder_csrbank1_rst0_re = 1'd0; -wire builder_csrbank1_rst0_r; -reg builder_csrbank1_rst0_we = 1'd0; -wire builder_csrbank1_rst0_w; -reg builder_csrbank1_half_sys8x_taps0_re = 1'd0; -wire [4:0] builder_csrbank1_half_sys8x_taps0_r; -reg builder_csrbank1_half_sys8x_taps0_we = 1'd0; -wire [4:0] builder_csrbank1_half_sys8x_taps0_w; -reg builder_csrbank1_wlevel_en0_re = 1'd0; -wire builder_csrbank1_wlevel_en0_r; -reg builder_csrbank1_wlevel_en0_we = 1'd0; -wire builder_csrbank1_wlevel_en0_w; -reg builder_csrbank1_dly_sel0_re = 1'd0; -wire [1:0] builder_csrbank1_dly_sel0_r; -reg builder_csrbank1_dly_sel0_we = 1'd0; -wire [1:0] builder_csrbank1_dly_sel0_w; -reg builder_csrbank1_rdphase0_re = 1'd0; -wire [1:0] builder_csrbank1_rdphase0_r; -reg builder_csrbank1_rdphase0_we = 1'd0; -wire [1:0] builder_csrbank1_rdphase0_w; -reg builder_csrbank1_wrphase0_re = 1'd0; -wire [1:0] builder_csrbank1_wrphase0_r; -reg builder_csrbank1_wrphase0_we = 1'd0; -wire [1:0] builder_csrbank1_wrphase0_w; -wire builder_csrbank1_sel; -wire [13:0] builder_interface2_bank_bus_adr; -wire builder_interface2_bank_bus_we; -wire [31:0] builder_interface2_bank_bus_dat_w; -reg [31:0] builder_interface2_bank_bus_dat_r = 32'd0; -reg builder_csrbank2_dfii_control0_re = 1'd0; -wire [3:0] builder_csrbank2_dfii_control0_r; -reg builder_csrbank2_dfii_control0_we = 1'd0; -wire [3:0] builder_csrbank2_dfii_control0_w; -reg builder_csrbank2_dfii_pi0_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi0_command0_r; -reg builder_csrbank2_dfii_pi0_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi0_command0_w; -reg builder_csrbank2_dfii_pi0_address0_re = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi0_address0_r; -reg builder_csrbank2_dfii_pi0_address0_we = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi0_address0_w; -reg builder_csrbank2_dfii_pi0_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi0_baddress0_r; -reg builder_csrbank2_dfii_pi0_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi0_baddress0_w; -reg builder_csrbank2_dfii_pi0_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_wrdata0_r; -reg builder_csrbank2_dfii_pi0_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_wrdata0_w; -reg builder_csrbank2_dfii_pi0_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_rddata_r; -reg builder_csrbank2_dfii_pi0_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_rddata_w; -reg builder_csrbank2_dfii_pi1_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi1_command0_r; -reg builder_csrbank2_dfii_pi1_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi1_command0_w; -reg builder_csrbank2_dfii_pi1_address0_re = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi1_address0_r; -reg builder_csrbank2_dfii_pi1_address0_we = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi1_address0_w; -reg builder_csrbank2_dfii_pi1_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi1_baddress0_r; -reg builder_csrbank2_dfii_pi1_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi1_baddress0_w; -reg builder_csrbank2_dfii_pi1_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_wrdata0_r; -reg builder_csrbank2_dfii_pi1_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_wrdata0_w; -reg builder_csrbank2_dfii_pi1_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_rddata_r; -reg builder_csrbank2_dfii_pi1_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_rddata_w; -reg builder_csrbank2_dfii_pi2_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi2_command0_r; -reg builder_csrbank2_dfii_pi2_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi2_command0_w; -reg builder_csrbank2_dfii_pi2_address0_re = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi2_address0_r; -reg builder_csrbank2_dfii_pi2_address0_we = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi2_address0_w; -reg builder_csrbank2_dfii_pi2_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi2_baddress0_r; -reg builder_csrbank2_dfii_pi2_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi2_baddress0_w; -reg builder_csrbank2_dfii_pi2_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_wrdata0_r; -reg builder_csrbank2_dfii_pi2_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_wrdata0_w; -reg builder_csrbank2_dfii_pi2_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_rddata_r; -reg builder_csrbank2_dfii_pi2_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_rddata_w; -reg builder_csrbank2_dfii_pi3_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi3_command0_r; -reg builder_csrbank2_dfii_pi3_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi3_command0_w; -reg builder_csrbank2_dfii_pi3_address0_re = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi3_address0_r; -reg builder_csrbank2_dfii_pi3_address0_we = 1'd0; -wire [14:0] builder_csrbank2_dfii_pi3_address0_w; -reg builder_csrbank2_dfii_pi3_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi3_baddress0_r; -reg builder_csrbank2_dfii_pi3_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi3_baddress0_w; -reg builder_csrbank2_dfii_pi3_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_wrdata0_r; -reg builder_csrbank2_dfii_pi3_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_wrdata0_w; -reg builder_csrbank2_dfii_pi3_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_rddata_r; -reg builder_csrbank2_dfii_pi3_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_rddata_w; -wire builder_csrbank2_sel; -wire [13:0] builder_csr_interconnect_adr; -wire builder_csr_interconnect_we; -wire [31:0] builder_csr_interconnect_dat_w; -wire [31:0] builder_csr_interconnect_dat_r; -reg [1:0] builder_state = 2'd0; -reg [1:0] builder_next_state = 2'd0; -reg [31:0] builder_litedramcore_dat_w_next_value0 = 32'd0; -reg builder_litedramcore_dat_w_next_value_ce0 = 1'd0; -reg [13:0] builder_litedramcore_adr_next_value1 = 14'd0; -reg builder_litedramcore_adr_next_value_ce1 = 1'd0; -reg builder_litedramcore_we_next_value2 = 1'd0; -reg builder_litedramcore_we_next_value_ce2 = 1'd0; -reg builder_rhs_array_muxed0 = 1'd0; -reg [14:0] builder_rhs_array_muxed1 = 15'd0; -reg [2:0] builder_rhs_array_muxed2 = 3'd0; -reg builder_rhs_array_muxed3 = 1'd0; -reg builder_rhs_array_muxed4 = 1'd0; -reg builder_rhs_array_muxed5 = 1'd0; -reg builder_t_array_muxed0 = 1'd0; -reg builder_t_array_muxed1 = 1'd0; -reg builder_t_array_muxed2 = 1'd0; -reg builder_rhs_array_muxed6 = 1'd0; -reg [14:0] builder_rhs_array_muxed7 = 15'd0; -reg [2:0] builder_rhs_array_muxed8 = 3'd0; -reg builder_rhs_array_muxed9 = 1'd0; -reg builder_rhs_array_muxed10 = 1'd0; -reg builder_rhs_array_muxed11 = 1'd0; -reg builder_t_array_muxed3 = 1'd0; -reg builder_t_array_muxed4 = 1'd0; -reg builder_t_array_muxed5 = 1'd0; -reg [21:0] builder_rhs_array_muxed12 = 22'd0; -reg builder_rhs_array_muxed13 = 1'd0; -reg builder_rhs_array_muxed14 = 1'd0; -reg [21:0] builder_rhs_array_muxed15 = 22'd0; -reg builder_rhs_array_muxed16 = 1'd0; -reg builder_rhs_array_muxed17 = 1'd0; -reg [21:0] builder_rhs_array_muxed18 = 22'd0; -reg builder_rhs_array_muxed19 = 1'd0; -reg builder_rhs_array_muxed20 = 1'd0; -reg [21:0] builder_rhs_array_muxed21 = 22'd0; -reg builder_rhs_array_muxed22 = 1'd0; -reg builder_rhs_array_muxed23 = 1'd0; -reg [21:0] builder_rhs_array_muxed24 = 22'd0; -reg builder_rhs_array_muxed25 = 1'd0; -reg builder_rhs_array_muxed26 = 1'd0; -reg [21:0] builder_rhs_array_muxed27 = 22'd0; -reg builder_rhs_array_muxed28 = 1'd0; -reg builder_rhs_array_muxed29 = 1'd0; -reg [21:0] builder_rhs_array_muxed30 = 22'd0; -reg builder_rhs_array_muxed31 = 1'd0; -reg builder_rhs_array_muxed32 = 1'd0; -reg [21:0] builder_rhs_array_muxed33 = 22'd0; -reg builder_rhs_array_muxed34 = 1'd0; -reg builder_rhs_array_muxed35 = 1'd0; -reg [2:0] builder_array_muxed0 = 3'd0; -reg [14:0] builder_array_muxed1 = 15'd0; -reg builder_array_muxed2 = 1'd0; -reg builder_array_muxed3 = 1'd0; -reg builder_array_muxed4 = 1'd0; -reg builder_array_muxed5 = 1'd0; -reg builder_array_muxed6 = 1'd0; -reg [2:0] builder_array_muxed7 = 3'd0; -reg [14:0] builder_array_muxed8 = 15'd0; -reg builder_array_muxed9 = 1'd0; -reg builder_array_muxed10 = 1'd0; -reg builder_array_muxed11 = 1'd0; -reg builder_array_muxed12 = 1'd0; -reg builder_array_muxed13 = 1'd0; -reg [2:0] builder_array_muxed14 = 3'd0; -reg [14:0] builder_array_muxed15 = 15'd0; -reg builder_array_muxed16 = 1'd0; -reg builder_array_muxed17 = 1'd0; -reg builder_array_muxed18 = 1'd0; -reg builder_array_muxed19 = 1'd0; -reg builder_array_muxed20 = 1'd0; -reg [2:0] builder_array_muxed21 = 3'd0; -reg [14:0] builder_array_muxed22 = 15'd0; -reg builder_array_muxed23 = 1'd0; -reg builder_array_muxed24 = 1'd0; -reg builder_array_muxed25 = 1'd0; -reg builder_array_muxed26 = 1'd0; -reg builder_array_muxed27 = 1'd0; -wire builder_xilinxasyncresetsynchronizerimpl0; -wire builder_xilinxasyncresetsynchronizerimpl0_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl1; -wire builder_xilinxasyncresetsynchronizerimpl1_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl2; -wire builder_xilinxasyncresetsynchronizerimpl2_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl2_expr; -wire builder_xilinxasyncresetsynchronizerimpl3; -wire builder_xilinxasyncresetsynchronizerimpl3_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl3_expr; +wire reset; +reg power_down = 1'd0; +wire locked; +wire clkin; +wire clkout0; +wire clkout_buf0; +wire clkout1; +wire clkout_buf1; +wire clkout2; +wire clkout_buf2; +wire clkout3; +wire clkout_buf3; +reg [3:0] reset_counter = 4'd15; +reg ic_reset = 1'd1; +reg a7ddrphy_rst_storage = 1'd0; +reg a7ddrphy_rst_re = 1'd0; +reg [1:0] a7ddrphy_dly_sel_storage = 2'd0; +reg a7ddrphy_dly_sel_re = 1'd0; +reg [4:0] a7ddrphy_half_sys8x_taps_storage = 5'd8; +reg a7ddrphy_half_sys8x_taps_re = 1'd0; +reg a7ddrphy_wlevel_en_storage = 1'd0; +reg a7ddrphy_wlevel_en_re = 1'd0; +reg a7ddrphy_wlevel_strobe_re = 1'd0; +wire a7ddrphy_wlevel_strobe_r; +reg a7ddrphy_wlevel_strobe_we = 1'd0; +reg a7ddrphy_wlevel_strobe_w = 1'd0; +reg a7ddrphy_rdly_dq_rst_re = 1'd0; +wire a7ddrphy_rdly_dq_rst_r; +reg a7ddrphy_rdly_dq_rst_we = 1'd0; +reg a7ddrphy_rdly_dq_rst_w = 1'd0; +reg a7ddrphy_rdly_dq_inc_re = 1'd0; +wire a7ddrphy_rdly_dq_inc_r; +reg a7ddrphy_rdly_dq_inc_we = 1'd0; +reg a7ddrphy_rdly_dq_inc_w = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_rst_re = 1'd0; +wire a7ddrphy_rdly_dq_bitslip_rst_r; +reg a7ddrphy_rdly_dq_bitslip_rst_we = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_re = 1'd0; +wire a7ddrphy_rdly_dq_bitslip_r; +reg a7ddrphy_rdly_dq_bitslip_we = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_w = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_rst_re = 1'd0; +wire a7ddrphy_wdly_dq_bitslip_rst_r; +reg a7ddrphy_wdly_dq_bitslip_rst_we = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_rst_w = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_re = 1'd0; +wire a7ddrphy_wdly_dq_bitslip_r; +reg a7ddrphy_wdly_dq_bitslip_we = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_w = 1'd0; +reg [1:0] a7ddrphy_rdphase_storage = 2'd2; +reg a7ddrphy_rdphase_re = 1'd0; +reg [1:0] a7ddrphy_wrphase_storage = 2'd3; +reg a7ddrphy_wrphase_re = 1'd0; +wire [14:0] a7ddrphy_dfi_p0_address; +wire [2:0] a7ddrphy_dfi_p0_bank; +wire a7ddrphy_dfi_p0_cas_n; +wire a7ddrphy_dfi_p0_cs_n; +wire a7ddrphy_dfi_p0_ras_n; +wire a7ddrphy_dfi_p0_we_n; +wire a7ddrphy_dfi_p0_cke; +wire a7ddrphy_dfi_p0_odt; +wire a7ddrphy_dfi_p0_reset_n; +wire a7ddrphy_dfi_p0_act_n; +wire [31:0] a7ddrphy_dfi_p0_wrdata; +wire a7ddrphy_dfi_p0_wrdata_en; +wire [3:0] a7ddrphy_dfi_p0_wrdata_mask; +wire a7ddrphy_dfi_p0_rddata_en; +reg [31:0] a7ddrphy_dfi_p0_rddata = 32'd0; +wire a7ddrphy_dfi_p0_rddata_valid; +wire [14:0] a7ddrphy_dfi_p1_address; +wire [2:0] a7ddrphy_dfi_p1_bank; +wire a7ddrphy_dfi_p1_cas_n; +wire a7ddrphy_dfi_p1_cs_n; +wire a7ddrphy_dfi_p1_ras_n; +wire a7ddrphy_dfi_p1_we_n; +wire a7ddrphy_dfi_p1_cke; +wire a7ddrphy_dfi_p1_odt; +wire a7ddrphy_dfi_p1_reset_n; +wire a7ddrphy_dfi_p1_act_n; +wire [31:0] a7ddrphy_dfi_p1_wrdata; +wire a7ddrphy_dfi_p1_wrdata_en; +wire [3:0] a7ddrphy_dfi_p1_wrdata_mask; +wire a7ddrphy_dfi_p1_rddata_en; +reg [31:0] a7ddrphy_dfi_p1_rddata = 32'd0; +wire a7ddrphy_dfi_p1_rddata_valid; +wire [14:0] a7ddrphy_dfi_p2_address; +wire [2:0] a7ddrphy_dfi_p2_bank; +wire a7ddrphy_dfi_p2_cas_n; +wire a7ddrphy_dfi_p2_cs_n; +wire a7ddrphy_dfi_p2_ras_n; +wire a7ddrphy_dfi_p2_we_n; +wire a7ddrphy_dfi_p2_cke; +wire a7ddrphy_dfi_p2_odt; +wire a7ddrphy_dfi_p2_reset_n; +wire a7ddrphy_dfi_p2_act_n; +wire [31:0] a7ddrphy_dfi_p2_wrdata; +wire a7ddrphy_dfi_p2_wrdata_en; +wire [3:0] a7ddrphy_dfi_p2_wrdata_mask; +wire a7ddrphy_dfi_p2_rddata_en; +reg [31:0] a7ddrphy_dfi_p2_rddata = 32'd0; +wire a7ddrphy_dfi_p2_rddata_valid; +wire [14:0] a7ddrphy_dfi_p3_address; +wire [2:0] a7ddrphy_dfi_p3_bank; +wire a7ddrphy_dfi_p3_cas_n; +wire a7ddrphy_dfi_p3_cs_n; +wire a7ddrphy_dfi_p3_ras_n; +wire a7ddrphy_dfi_p3_we_n; +wire a7ddrphy_dfi_p3_cke; +wire a7ddrphy_dfi_p3_odt; +wire a7ddrphy_dfi_p3_reset_n; +wire a7ddrphy_dfi_p3_act_n; +wire [31:0] a7ddrphy_dfi_p3_wrdata; +wire a7ddrphy_dfi_p3_wrdata_en; +wire [3:0] a7ddrphy_dfi_p3_wrdata_mask; +wire a7ddrphy_dfi_p3_rddata_en; +reg [31:0] a7ddrphy_dfi_p3_rddata = 32'd0; +wire a7ddrphy_dfi_p3_rddata_valid; +wire a7ddrphy_sd_clk_se_nodelay; +wire [2:0] a7ddrphy_pads_ba; +reg a7ddrphy_dqs_oe = 1'd0; +wire a7ddrphy_dqs_preamble; +wire a7ddrphy_dqs_postamble; +wire a7ddrphy_dqs_oe_delay_tappeddelayline; +reg a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; +reg a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; +reg a7ddrphy_dqspattern0 = 1'd0; +reg a7ddrphy_dqspattern1 = 1'd0; +reg [7:0] a7ddrphy_dqspattern_o0 = 8'd0; +reg [7:0] a7ddrphy_dqspattern_o1 = 8'd0; +wire a7ddrphy_dqs_o_no_delay0; +wire a7ddrphy_dqs_t0; +reg [7:0] a7ddrphy_bitslip00 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r0 = 16'd0; +wire a7ddrphy0; +wire a7ddrphy_dqs_o_no_delay1; +wire a7ddrphy_dqs_t1; +reg [7:0] a7ddrphy_bitslip10 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r0 = 16'd0; +wire a7ddrphy1; +reg [7:0] a7ddrphy_bitslip01 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r1 = 16'd0; +reg [7:0] a7ddrphy_bitslip11 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r1 = 16'd0; +wire a7ddrphy_dq_oe; +wire a7ddrphy_dq_oe_delay_tappeddelayline; +reg a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; +reg a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; +wire a7ddrphy_dq_o_nodelay0; +wire a7ddrphy_dq_i_nodelay0; +wire a7ddrphy_dq_i_delayed0; +wire a7ddrphy_dq_t0; +reg [7:0] a7ddrphy_bitslip02 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value2 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r2 = 16'd0; +wire [7:0] a7ddrphy_bitslip03; +reg [7:0] a7ddrphy_bitslip04 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value3 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r3 = 16'd0; +wire a7ddrphy_dq_o_nodelay1; +wire a7ddrphy_dq_i_nodelay1; +wire a7ddrphy_dq_i_delayed1; +wire a7ddrphy_dq_t1; +reg [7:0] a7ddrphy_bitslip12 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value2 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r2 = 16'd0; +wire [7:0] a7ddrphy_bitslip13; +reg [7:0] a7ddrphy_bitslip14 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value3 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r3 = 16'd0; +wire a7ddrphy_dq_o_nodelay2; +wire a7ddrphy_dq_i_nodelay2; +wire a7ddrphy_dq_i_delayed2; +wire a7ddrphy_dq_t2; +reg [7:0] a7ddrphy_bitslip20 = 8'd0; +reg [2:0] a7ddrphy_bitslip2_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip2_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip21; +reg [7:0] a7ddrphy_bitslip22 = 8'd0; +reg [2:0] a7ddrphy_bitslip2_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip2_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay3; +wire a7ddrphy_dq_i_nodelay3; +wire a7ddrphy_dq_i_delayed3; +wire a7ddrphy_dq_t3; +reg [7:0] a7ddrphy_bitslip30 = 8'd0; +reg [2:0] a7ddrphy_bitslip3_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip3_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip31; +reg [7:0] a7ddrphy_bitslip32 = 8'd0; +reg [2:0] a7ddrphy_bitslip3_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip3_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay4; +wire a7ddrphy_dq_i_nodelay4; +wire a7ddrphy_dq_i_delayed4; +wire a7ddrphy_dq_t4; +reg [7:0] a7ddrphy_bitslip40 = 8'd0; +reg [2:0] a7ddrphy_bitslip4_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip4_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip41; +reg [7:0] a7ddrphy_bitslip42 = 8'd0; +reg [2:0] a7ddrphy_bitslip4_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip4_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay5; +wire a7ddrphy_dq_i_nodelay5; +wire a7ddrphy_dq_i_delayed5; +wire a7ddrphy_dq_t5; +reg [7:0] a7ddrphy_bitslip50 = 8'd0; +reg [2:0] a7ddrphy_bitslip5_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip5_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip51; +reg [7:0] a7ddrphy_bitslip52 = 8'd0; +reg [2:0] a7ddrphy_bitslip5_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip5_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay6; +wire a7ddrphy_dq_i_nodelay6; +wire a7ddrphy_dq_i_delayed6; +wire a7ddrphy_dq_t6; +reg [7:0] a7ddrphy_bitslip60 = 8'd0; +reg [2:0] a7ddrphy_bitslip6_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip6_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip61; +reg [7:0] a7ddrphy_bitslip62 = 8'd0; +reg [2:0] a7ddrphy_bitslip6_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip6_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay7; +wire a7ddrphy_dq_i_nodelay7; +wire a7ddrphy_dq_i_delayed7; +wire a7ddrphy_dq_t7; +reg [7:0] a7ddrphy_bitslip70 = 8'd0; +reg [2:0] a7ddrphy_bitslip7_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip7_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip71; +reg [7:0] a7ddrphy_bitslip72 = 8'd0; +reg [2:0] a7ddrphy_bitslip7_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip7_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay8; +wire a7ddrphy_dq_i_nodelay8; +wire a7ddrphy_dq_i_delayed8; +wire a7ddrphy_dq_t8; +reg [7:0] a7ddrphy_bitslip80 = 8'd0; +reg [2:0] a7ddrphy_bitslip8_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip8_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip81; +reg [7:0] a7ddrphy_bitslip82 = 8'd0; +reg [2:0] a7ddrphy_bitslip8_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip8_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay9; +wire a7ddrphy_dq_i_nodelay9; +wire a7ddrphy_dq_i_delayed9; +wire a7ddrphy_dq_t9; +reg [7:0] a7ddrphy_bitslip90 = 8'd0; +reg [2:0] a7ddrphy_bitslip9_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip9_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip91; +reg [7:0] a7ddrphy_bitslip92 = 8'd0; +reg [2:0] a7ddrphy_bitslip9_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip9_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay10; +wire a7ddrphy_dq_i_nodelay10; +wire a7ddrphy_dq_i_delayed10; +wire a7ddrphy_dq_t10; +reg [7:0] a7ddrphy_bitslip100 = 8'd0; +reg [2:0] a7ddrphy_bitslip10_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip10_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip101; +reg [7:0] a7ddrphy_bitslip102 = 8'd0; +reg [2:0] a7ddrphy_bitslip10_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip10_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay11; +wire a7ddrphy_dq_i_nodelay11; +wire a7ddrphy_dq_i_delayed11; +wire a7ddrphy_dq_t11; +reg [7:0] a7ddrphy_bitslip110 = 8'd0; +reg [2:0] a7ddrphy_bitslip11_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip11_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip111; +reg [7:0] a7ddrphy_bitslip112 = 8'd0; +reg [2:0] a7ddrphy_bitslip11_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip11_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay12; +wire a7ddrphy_dq_i_nodelay12; +wire a7ddrphy_dq_i_delayed12; +wire a7ddrphy_dq_t12; +reg [7:0] a7ddrphy_bitslip120 = 8'd0; +reg [2:0] a7ddrphy_bitslip12_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip12_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip121; +reg [7:0] a7ddrphy_bitslip122 = 8'd0; +reg [2:0] a7ddrphy_bitslip12_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip12_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay13; +wire a7ddrphy_dq_i_nodelay13; +wire a7ddrphy_dq_i_delayed13; +wire a7ddrphy_dq_t13; +reg [7:0] a7ddrphy_bitslip130 = 8'd0; +reg [2:0] a7ddrphy_bitslip13_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip13_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip131; +reg [7:0] a7ddrphy_bitslip132 = 8'd0; +reg [2:0] a7ddrphy_bitslip13_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip13_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay14; +wire a7ddrphy_dq_i_nodelay14; +wire a7ddrphy_dq_i_delayed14; +wire a7ddrphy_dq_t14; +reg [7:0] a7ddrphy_bitslip140 = 8'd0; +reg [2:0] a7ddrphy_bitslip14_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip14_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip141; +reg [7:0] a7ddrphy_bitslip142 = 8'd0; +reg [2:0] a7ddrphy_bitslip14_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip14_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay15; +wire a7ddrphy_dq_i_nodelay15; +wire a7ddrphy_dq_i_delayed15; +wire a7ddrphy_dq_t15; +reg [7:0] a7ddrphy_bitslip150 = 8'd0; +reg [2:0] a7ddrphy_bitslip15_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip15_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip151; +reg [7:0] a7ddrphy_bitslip152 = 8'd0; +reg [2:0] a7ddrphy_bitslip15_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip15_r1 = 16'd0; +reg a7ddrphy_rddata_en_tappeddelayline0 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline1 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline2 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline3 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline4 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline5 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline6 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline7 = 1'd0; +reg a7ddrphy_wrdata_en_tappeddelayline0 = 1'd0; +reg a7ddrphy_wrdata_en_tappeddelayline1 = 1'd0; +reg a7ddrphy_wrdata_en_tappeddelayline2 = 1'd0; +wire [14:0] litedramcore_slave_p0_address; +wire [2:0] litedramcore_slave_p0_bank; +wire litedramcore_slave_p0_cas_n; +wire litedramcore_slave_p0_cs_n; +wire litedramcore_slave_p0_ras_n; +wire litedramcore_slave_p0_we_n; +wire litedramcore_slave_p0_cke; +wire litedramcore_slave_p0_odt; +wire litedramcore_slave_p0_reset_n; +wire litedramcore_slave_p0_act_n; +wire [31:0] litedramcore_slave_p0_wrdata; +wire litedramcore_slave_p0_wrdata_en; +wire [3:0] litedramcore_slave_p0_wrdata_mask; +wire litedramcore_slave_p0_rddata_en; +reg [31:0] litedramcore_slave_p0_rddata = 32'd0; +reg litedramcore_slave_p0_rddata_valid = 1'd0; +wire [14:0] litedramcore_slave_p1_address; +wire [2:0] litedramcore_slave_p1_bank; +wire litedramcore_slave_p1_cas_n; +wire litedramcore_slave_p1_cs_n; +wire litedramcore_slave_p1_ras_n; +wire litedramcore_slave_p1_we_n; +wire litedramcore_slave_p1_cke; +wire litedramcore_slave_p1_odt; +wire litedramcore_slave_p1_reset_n; +wire litedramcore_slave_p1_act_n; +wire [31:0] litedramcore_slave_p1_wrdata; +wire litedramcore_slave_p1_wrdata_en; +wire [3:0] litedramcore_slave_p1_wrdata_mask; +wire litedramcore_slave_p1_rddata_en; +reg [31:0] litedramcore_slave_p1_rddata = 32'd0; +reg litedramcore_slave_p1_rddata_valid = 1'd0; +wire [14:0] litedramcore_slave_p2_address; +wire [2:0] litedramcore_slave_p2_bank; +wire litedramcore_slave_p2_cas_n; +wire litedramcore_slave_p2_cs_n; +wire litedramcore_slave_p2_ras_n; +wire litedramcore_slave_p2_we_n; +wire litedramcore_slave_p2_cke; +wire litedramcore_slave_p2_odt; +wire litedramcore_slave_p2_reset_n; +wire litedramcore_slave_p2_act_n; +wire [31:0] litedramcore_slave_p2_wrdata; +wire litedramcore_slave_p2_wrdata_en; +wire [3:0] litedramcore_slave_p2_wrdata_mask; +wire litedramcore_slave_p2_rddata_en; +reg [31:0] litedramcore_slave_p2_rddata = 32'd0; +reg litedramcore_slave_p2_rddata_valid = 1'd0; +wire [14:0] litedramcore_slave_p3_address; +wire [2:0] litedramcore_slave_p3_bank; +wire litedramcore_slave_p3_cas_n; +wire litedramcore_slave_p3_cs_n; +wire litedramcore_slave_p3_ras_n; +wire litedramcore_slave_p3_we_n; +wire litedramcore_slave_p3_cke; +wire litedramcore_slave_p3_odt; +wire litedramcore_slave_p3_reset_n; +wire litedramcore_slave_p3_act_n; +wire [31:0] litedramcore_slave_p3_wrdata; +wire litedramcore_slave_p3_wrdata_en; +wire [3:0] litedramcore_slave_p3_wrdata_mask; +wire litedramcore_slave_p3_rddata_en; +reg [31:0] litedramcore_slave_p3_rddata = 32'd0; +reg litedramcore_slave_p3_rddata_valid = 1'd0; +reg [14:0] litedramcore_master_p0_address = 15'd0; +reg [2:0] litedramcore_master_p0_bank = 3'd0; +reg litedramcore_master_p0_cas_n = 1'd1; +reg litedramcore_master_p0_cs_n = 1'd1; +reg litedramcore_master_p0_ras_n = 1'd1; +reg litedramcore_master_p0_we_n = 1'd1; +reg litedramcore_master_p0_cke = 1'd0; +reg litedramcore_master_p0_odt = 1'd0; +reg litedramcore_master_p0_reset_n = 1'd0; +reg litedramcore_master_p0_act_n = 1'd1; +reg [31:0] litedramcore_master_p0_wrdata = 32'd0; +reg litedramcore_master_p0_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p0_wrdata_mask = 4'd0; +reg litedramcore_master_p0_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p0_rddata; +wire litedramcore_master_p0_rddata_valid; +reg [14:0] litedramcore_master_p1_address = 15'd0; +reg [2:0] litedramcore_master_p1_bank = 3'd0; +reg litedramcore_master_p1_cas_n = 1'd1; +reg litedramcore_master_p1_cs_n = 1'd1; +reg litedramcore_master_p1_ras_n = 1'd1; +reg litedramcore_master_p1_we_n = 1'd1; +reg litedramcore_master_p1_cke = 1'd0; +reg litedramcore_master_p1_odt = 1'd0; +reg litedramcore_master_p1_reset_n = 1'd0; +reg litedramcore_master_p1_act_n = 1'd1; +reg [31:0] litedramcore_master_p1_wrdata = 32'd0; +reg litedramcore_master_p1_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p1_wrdata_mask = 4'd0; +reg litedramcore_master_p1_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p1_rddata; +wire litedramcore_master_p1_rddata_valid; +reg [14:0] litedramcore_master_p2_address = 15'd0; +reg [2:0] litedramcore_master_p2_bank = 3'd0; +reg litedramcore_master_p2_cas_n = 1'd1; +reg litedramcore_master_p2_cs_n = 1'd1; +reg litedramcore_master_p2_ras_n = 1'd1; +reg litedramcore_master_p2_we_n = 1'd1; +reg litedramcore_master_p2_cke = 1'd0; +reg litedramcore_master_p2_odt = 1'd0; +reg litedramcore_master_p2_reset_n = 1'd0; +reg litedramcore_master_p2_act_n = 1'd1; +reg [31:0] litedramcore_master_p2_wrdata = 32'd0; +reg litedramcore_master_p2_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p2_wrdata_mask = 4'd0; +reg litedramcore_master_p2_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p2_rddata; +wire litedramcore_master_p2_rddata_valid; +reg [14:0] litedramcore_master_p3_address = 15'd0; +reg [2:0] litedramcore_master_p3_bank = 3'd0; +reg litedramcore_master_p3_cas_n = 1'd1; +reg litedramcore_master_p3_cs_n = 1'd1; +reg litedramcore_master_p3_ras_n = 1'd1; +reg litedramcore_master_p3_we_n = 1'd1; +reg litedramcore_master_p3_cke = 1'd0; +reg litedramcore_master_p3_odt = 1'd0; +reg litedramcore_master_p3_reset_n = 1'd0; +reg litedramcore_master_p3_act_n = 1'd1; +reg [31:0] litedramcore_master_p3_wrdata = 32'd0; +reg litedramcore_master_p3_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p3_wrdata_mask = 4'd0; +reg litedramcore_master_p3_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p3_rddata; +wire litedramcore_master_p3_rddata_valid; +wire [14:0] litedramcore_csr_dfi_p0_address; +wire [2:0] litedramcore_csr_dfi_p0_bank; +reg litedramcore_csr_dfi_p0_cas_n = 1'd1; +reg litedramcore_csr_dfi_p0_cs_n = 1'd1; +reg litedramcore_csr_dfi_p0_ras_n = 1'd1; +reg litedramcore_csr_dfi_p0_we_n = 1'd1; +wire litedramcore_csr_dfi_p0_cke; +wire litedramcore_csr_dfi_p0_odt; +wire litedramcore_csr_dfi_p0_reset_n; +reg litedramcore_csr_dfi_p0_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p0_wrdata; +wire litedramcore_csr_dfi_p0_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p0_wrdata_mask; +wire litedramcore_csr_dfi_p0_rddata_en; +reg [31:0] litedramcore_csr_dfi_p0_rddata = 32'd0; +reg litedramcore_csr_dfi_p0_rddata_valid = 1'd0; +wire [14:0] litedramcore_csr_dfi_p1_address; +wire [2:0] litedramcore_csr_dfi_p1_bank; +reg litedramcore_csr_dfi_p1_cas_n = 1'd1; +reg litedramcore_csr_dfi_p1_cs_n = 1'd1; +reg litedramcore_csr_dfi_p1_ras_n = 1'd1; +reg litedramcore_csr_dfi_p1_we_n = 1'd1; +wire litedramcore_csr_dfi_p1_cke; +wire litedramcore_csr_dfi_p1_odt; +wire litedramcore_csr_dfi_p1_reset_n; +reg litedramcore_csr_dfi_p1_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p1_wrdata; +wire litedramcore_csr_dfi_p1_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p1_wrdata_mask; +wire litedramcore_csr_dfi_p1_rddata_en; +reg [31:0] litedramcore_csr_dfi_p1_rddata = 32'd0; +reg litedramcore_csr_dfi_p1_rddata_valid = 1'd0; +wire [14:0] litedramcore_csr_dfi_p2_address; +wire [2:0] litedramcore_csr_dfi_p2_bank; +reg litedramcore_csr_dfi_p2_cas_n = 1'd1; +reg litedramcore_csr_dfi_p2_cs_n = 1'd1; +reg litedramcore_csr_dfi_p2_ras_n = 1'd1; +reg litedramcore_csr_dfi_p2_we_n = 1'd1; +wire litedramcore_csr_dfi_p2_cke; +wire litedramcore_csr_dfi_p2_odt; +wire litedramcore_csr_dfi_p2_reset_n; +reg litedramcore_csr_dfi_p2_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p2_wrdata; +wire litedramcore_csr_dfi_p2_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p2_wrdata_mask; +wire litedramcore_csr_dfi_p2_rddata_en; +reg [31:0] litedramcore_csr_dfi_p2_rddata = 32'd0; +reg litedramcore_csr_dfi_p2_rddata_valid = 1'd0; +wire [14:0] litedramcore_csr_dfi_p3_address; +wire [2:0] litedramcore_csr_dfi_p3_bank; +reg litedramcore_csr_dfi_p3_cas_n = 1'd1; +reg litedramcore_csr_dfi_p3_cs_n = 1'd1; +reg litedramcore_csr_dfi_p3_ras_n = 1'd1; +reg litedramcore_csr_dfi_p3_we_n = 1'd1; +wire litedramcore_csr_dfi_p3_cke; +wire litedramcore_csr_dfi_p3_odt; +wire litedramcore_csr_dfi_p3_reset_n; +reg litedramcore_csr_dfi_p3_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p3_wrdata; +wire litedramcore_csr_dfi_p3_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p3_wrdata_mask; +wire litedramcore_csr_dfi_p3_rddata_en; +reg [31:0] litedramcore_csr_dfi_p3_rddata = 32'd0; +reg litedramcore_csr_dfi_p3_rddata_valid = 1'd0; +reg [14:0] litedramcore_ext_dfi_p0_address = 15'd0; +reg [2:0] litedramcore_ext_dfi_p0_bank = 3'd0; +reg litedramcore_ext_dfi_p0_cas_n = 1'd1; +reg litedramcore_ext_dfi_p0_cs_n = 1'd1; +reg litedramcore_ext_dfi_p0_ras_n = 1'd1; +reg litedramcore_ext_dfi_p0_we_n = 1'd1; +reg litedramcore_ext_dfi_p0_cke = 1'd0; +reg litedramcore_ext_dfi_p0_odt = 1'd0; +reg litedramcore_ext_dfi_p0_reset_n = 1'd0; +reg litedramcore_ext_dfi_p0_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p0_wrdata = 32'd0; +reg litedramcore_ext_dfi_p0_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p0_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p0_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p0_rddata = 32'd0; +reg litedramcore_ext_dfi_p0_rddata_valid = 1'd0; +reg [14:0] litedramcore_ext_dfi_p1_address = 15'd0; +reg [2:0] litedramcore_ext_dfi_p1_bank = 3'd0; +reg litedramcore_ext_dfi_p1_cas_n = 1'd1; +reg litedramcore_ext_dfi_p1_cs_n = 1'd1; +reg litedramcore_ext_dfi_p1_ras_n = 1'd1; +reg litedramcore_ext_dfi_p1_we_n = 1'd1; +reg litedramcore_ext_dfi_p1_cke = 1'd0; +reg litedramcore_ext_dfi_p1_odt = 1'd0; +reg litedramcore_ext_dfi_p1_reset_n = 1'd0; +reg litedramcore_ext_dfi_p1_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p1_wrdata = 32'd0; +reg litedramcore_ext_dfi_p1_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p1_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p1_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p1_rddata = 32'd0; +reg litedramcore_ext_dfi_p1_rddata_valid = 1'd0; +reg [14:0] litedramcore_ext_dfi_p2_address = 15'd0; +reg [2:0] litedramcore_ext_dfi_p2_bank = 3'd0; +reg litedramcore_ext_dfi_p2_cas_n = 1'd1; +reg litedramcore_ext_dfi_p2_cs_n = 1'd1; +reg litedramcore_ext_dfi_p2_ras_n = 1'd1; +reg litedramcore_ext_dfi_p2_we_n = 1'd1; +reg litedramcore_ext_dfi_p2_cke = 1'd0; +reg litedramcore_ext_dfi_p2_odt = 1'd0; +reg litedramcore_ext_dfi_p2_reset_n = 1'd0; +reg litedramcore_ext_dfi_p2_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p2_wrdata = 32'd0; +reg litedramcore_ext_dfi_p2_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p2_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p2_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p2_rddata = 32'd0; +reg litedramcore_ext_dfi_p2_rddata_valid = 1'd0; +reg [14:0] litedramcore_ext_dfi_p3_address = 15'd0; +reg [2:0] litedramcore_ext_dfi_p3_bank = 3'd0; +reg litedramcore_ext_dfi_p3_cas_n = 1'd1; +reg litedramcore_ext_dfi_p3_cs_n = 1'd1; +reg litedramcore_ext_dfi_p3_ras_n = 1'd1; +reg litedramcore_ext_dfi_p3_we_n = 1'd1; +reg litedramcore_ext_dfi_p3_cke = 1'd0; +reg litedramcore_ext_dfi_p3_odt = 1'd0; +reg litedramcore_ext_dfi_p3_reset_n = 1'd0; +reg litedramcore_ext_dfi_p3_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p3_wrdata = 32'd0; +reg litedramcore_ext_dfi_p3_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p3_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p3_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p3_rddata = 32'd0; +reg litedramcore_ext_dfi_p3_rddata_valid = 1'd0; +reg litedramcore_ext_dfi_sel = 1'd0; +wire litedramcore_sel; +wire litedramcore_cke; +wire litedramcore_odt; +wire litedramcore_reset_n; +reg [3:0] litedramcore_storage = 4'd1; +reg litedramcore_re = 1'd0; +wire litedramcore_phaseinjector0_csrfield_cs; +wire litedramcore_phaseinjector0_csrfield_we; +wire litedramcore_phaseinjector0_csrfield_cas; +wire litedramcore_phaseinjector0_csrfield_ras; +wire litedramcore_phaseinjector0_csrfield_wren; +wire litedramcore_phaseinjector0_csrfield_rden; +reg [5:0] litedramcore_phaseinjector0_command_storage = 6'd0; +reg litedramcore_phaseinjector0_command_re = 1'd0; +reg litedramcore_phaseinjector0_command_issue_re = 1'd0; +wire litedramcore_phaseinjector0_command_issue_r; +reg litedramcore_phaseinjector0_command_issue_we = 1'd0; +reg litedramcore_phaseinjector0_command_issue_w = 1'd0; +reg [14:0] litedramcore_phaseinjector0_address_storage = 15'd0; +reg litedramcore_phaseinjector0_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector0_baddress_storage = 3'd0; +reg litedramcore_phaseinjector0_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector0_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector0_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector0_rddata_status = 32'd0; +wire litedramcore_phaseinjector0_rddata_we; +reg litedramcore_phaseinjector0_rddata_re = 1'd0; +wire litedramcore_phaseinjector1_csrfield_cs; +wire litedramcore_phaseinjector1_csrfield_we; +wire litedramcore_phaseinjector1_csrfield_cas; +wire litedramcore_phaseinjector1_csrfield_ras; +wire litedramcore_phaseinjector1_csrfield_wren; +wire litedramcore_phaseinjector1_csrfield_rden; +reg [5:0] litedramcore_phaseinjector1_command_storage = 6'd0; +reg litedramcore_phaseinjector1_command_re = 1'd0; +reg litedramcore_phaseinjector1_command_issue_re = 1'd0; +wire litedramcore_phaseinjector1_command_issue_r; +reg litedramcore_phaseinjector1_command_issue_we = 1'd0; +reg litedramcore_phaseinjector1_command_issue_w = 1'd0; +reg [14:0] litedramcore_phaseinjector1_address_storage = 15'd0; +reg litedramcore_phaseinjector1_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector1_baddress_storage = 3'd0; +reg litedramcore_phaseinjector1_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector1_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector1_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector1_rddata_status = 32'd0; +wire litedramcore_phaseinjector1_rddata_we; +reg litedramcore_phaseinjector1_rddata_re = 1'd0; +wire litedramcore_phaseinjector2_csrfield_cs; +wire litedramcore_phaseinjector2_csrfield_we; +wire litedramcore_phaseinjector2_csrfield_cas; +wire litedramcore_phaseinjector2_csrfield_ras; +wire litedramcore_phaseinjector2_csrfield_wren; +wire litedramcore_phaseinjector2_csrfield_rden; +reg [5:0] litedramcore_phaseinjector2_command_storage = 6'd0; +reg litedramcore_phaseinjector2_command_re = 1'd0; +reg litedramcore_phaseinjector2_command_issue_re = 1'd0; +wire litedramcore_phaseinjector2_command_issue_r; +reg litedramcore_phaseinjector2_command_issue_we = 1'd0; +reg litedramcore_phaseinjector2_command_issue_w = 1'd0; +reg [14:0] litedramcore_phaseinjector2_address_storage = 15'd0; +reg litedramcore_phaseinjector2_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector2_baddress_storage = 3'd0; +reg litedramcore_phaseinjector2_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector2_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector2_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector2_rddata_status = 32'd0; +wire litedramcore_phaseinjector2_rddata_we; +reg litedramcore_phaseinjector2_rddata_re = 1'd0; +wire litedramcore_phaseinjector3_csrfield_cs; +wire litedramcore_phaseinjector3_csrfield_we; +wire litedramcore_phaseinjector3_csrfield_cas; +wire litedramcore_phaseinjector3_csrfield_ras; +wire litedramcore_phaseinjector3_csrfield_wren; +wire litedramcore_phaseinjector3_csrfield_rden; +reg [5:0] litedramcore_phaseinjector3_command_storage = 6'd0; +reg litedramcore_phaseinjector3_command_re = 1'd0; +reg litedramcore_phaseinjector3_command_issue_re = 1'd0; +wire litedramcore_phaseinjector3_command_issue_r; +reg litedramcore_phaseinjector3_command_issue_we = 1'd0; +reg litedramcore_phaseinjector3_command_issue_w = 1'd0; +reg [14:0] litedramcore_phaseinjector3_address_storage = 15'd0; +reg litedramcore_phaseinjector3_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector3_baddress_storage = 3'd0; +reg litedramcore_phaseinjector3_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector3_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector3_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector3_rddata_status = 32'd0; +wire litedramcore_phaseinjector3_rddata_we; +reg litedramcore_phaseinjector3_rddata_re = 1'd0; +wire litedramcore_interface_bank0_valid; +wire litedramcore_interface_bank0_ready; +wire litedramcore_interface_bank0_we; +wire [21:0] litedramcore_interface_bank0_addr; +wire litedramcore_interface_bank0_lock; +wire litedramcore_interface_bank0_wdata_ready; +wire litedramcore_interface_bank0_rdata_valid; +wire litedramcore_interface_bank1_valid; +wire litedramcore_interface_bank1_ready; +wire litedramcore_interface_bank1_we; +wire [21:0] litedramcore_interface_bank1_addr; +wire litedramcore_interface_bank1_lock; +wire litedramcore_interface_bank1_wdata_ready; +wire litedramcore_interface_bank1_rdata_valid; +wire litedramcore_interface_bank2_valid; +wire litedramcore_interface_bank2_ready; +wire litedramcore_interface_bank2_we; +wire [21:0] litedramcore_interface_bank2_addr; +wire litedramcore_interface_bank2_lock; +wire litedramcore_interface_bank2_wdata_ready; +wire litedramcore_interface_bank2_rdata_valid; +wire litedramcore_interface_bank3_valid; +wire litedramcore_interface_bank3_ready; +wire litedramcore_interface_bank3_we; +wire [21:0] litedramcore_interface_bank3_addr; +wire litedramcore_interface_bank3_lock; +wire litedramcore_interface_bank3_wdata_ready; +wire litedramcore_interface_bank3_rdata_valid; +wire litedramcore_interface_bank4_valid; +wire litedramcore_interface_bank4_ready; +wire litedramcore_interface_bank4_we; +wire [21:0] litedramcore_interface_bank4_addr; +wire litedramcore_interface_bank4_lock; +wire litedramcore_interface_bank4_wdata_ready; +wire litedramcore_interface_bank4_rdata_valid; +wire litedramcore_interface_bank5_valid; +wire litedramcore_interface_bank5_ready; +wire litedramcore_interface_bank5_we; +wire [21:0] litedramcore_interface_bank5_addr; +wire litedramcore_interface_bank5_lock; +wire litedramcore_interface_bank5_wdata_ready; +wire litedramcore_interface_bank5_rdata_valid; +wire litedramcore_interface_bank6_valid; +wire litedramcore_interface_bank6_ready; +wire litedramcore_interface_bank6_we; +wire [21:0] litedramcore_interface_bank6_addr; +wire litedramcore_interface_bank6_lock; +wire litedramcore_interface_bank6_wdata_ready; +wire litedramcore_interface_bank6_rdata_valid; +wire litedramcore_interface_bank7_valid; +wire litedramcore_interface_bank7_ready; +wire litedramcore_interface_bank7_we; +wire [21:0] litedramcore_interface_bank7_addr; +wire litedramcore_interface_bank7_lock; +wire litedramcore_interface_bank7_wdata_ready; +wire litedramcore_interface_bank7_rdata_valid; +reg [127:0] litedramcore_interface_wdata = 128'd0; +reg [15:0] litedramcore_interface_wdata_we = 16'd0; +wire [127:0] litedramcore_interface_rdata; +reg [14:0] litedramcore_dfi_p0_address = 15'd0; +reg [2:0] litedramcore_dfi_p0_bank = 3'd0; +reg litedramcore_dfi_p0_cas_n = 1'd1; +reg litedramcore_dfi_p0_cs_n = 1'd1; +reg litedramcore_dfi_p0_ras_n = 1'd1; +reg litedramcore_dfi_p0_we_n = 1'd1; +wire litedramcore_dfi_p0_cke; +wire litedramcore_dfi_p0_odt; +wire litedramcore_dfi_p0_reset_n; +reg litedramcore_dfi_p0_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p0_wrdata; +reg litedramcore_dfi_p0_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p0_wrdata_mask; +reg litedramcore_dfi_p0_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p0_rddata; +wire litedramcore_dfi_p0_rddata_valid; +reg [14:0] litedramcore_dfi_p1_address = 15'd0; +reg [2:0] litedramcore_dfi_p1_bank = 3'd0; +reg litedramcore_dfi_p1_cas_n = 1'd1; +reg litedramcore_dfi_p1_cs_n = 1'd1; +reg litedramcore_dfi_p1_ras_n = 1'd1; +reg litedramcore_dfi_p1_we_n = 1'd1; +wire litedramcore_dfi_p1_cke; +wire litedramcore_dfi_p1_odt; +wire litedramcore_dfi_p1_reset_n; +reg litedramcore_dfi_p1_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p1_wrdata; +reg litedramcore_dfi_p1_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p1_wrdata_mask; +reg litedramcore_dfi_p1_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p1_rddata; +wire litedramcore_dfi_p1_rddata_valid; +reg [14:0] litedramcore_dfi_p2_address = 15'd0; +reg [2:0] litedramcore_dfi_p2_bank = 3'd0; +reg litedramcore_dfi_p2_cas_n = 1'd1; +reg litedramcore_dfi_p2_cs_n = 1'd1; +reg litedramcore_dfi_p2_ras_n = 1'd1; +reg litedramcore_dfi_p2_we_n = 1'd1; +wire litedramcore_dfi_p2_cke; +wire litedramcore_dfi_p2_odt; +wire litedramcore_dfi_p2_reset_n; +reg litedramcore_dfi_p2_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p2_wrdata; +reg litedramcore_dfi_p2_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p2_wrdata_mask; +reg litedramcore_dfi_p2_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p2_rddata; +wire litedramcore_dfi_p2_rddata_valid; +reg [14:0] litedramcore_dfi_p3_address = 15'd0; +reg [2:0] litedramcore_dfi_p3_bank = 3'd0; +reg litedramcore_dfi_p3_cas_n = 1'd1; +reg litedramcore_dfi_p3_cs_n = 1'd1; +reg litedramcore_dfi_p3_ras_n = 1'd1; +reg litedramcore_dfi_p3_we_n = 1'd1; +wire litedramcore_dfi_p3_cke; +wire litedramcore_dfi_p3_odt; +wire litedramcore_dfi_p3_reset_n; +reg litedramcore_dfi_p3_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p3_wrdata; +reg litedramcore_dfi_p3_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p3_wrdata_mask; +reg litedramcore_dfi_p3_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p3_rddata; +wire litedramcore_dfi_p3_rddata_valid; +reg litedramcore_cmd_valid = 1'd0; +reg litedramcore_cmd_ready = 1'd0; +reg litedramcore_cmd_last = 1'd0; +reg [14:0] litedramcore_cmd_payload_a = 15'd0; +reg [2:0] litedramcore_cmd_payload_ba = 3'd0; +reg litedramcore_cmd_payload_cas = 1'd0; +reg litedramcore_cmd_payload_ras = 1'd0; +reg litedramcore_cmd_payload_we = 1'd0; +reg litedramcore_cmd_payload_is_read = 1'd0; +reg litedramcore_cmd_payload_is_write = 1'd0; +wire litedramcore_wants_refresh; +wire litedramcore_wants_zqcs; +wire litedramcore_timer_wait; +wire litedramcore_timer_done0; +wire [9:0] litedramcore_timer_count0; +wire litedramcore_timer_done1; +reg [9:0] litedramcore_timer_count1 = 10'd781; +wire litedramcore_postponer_req_i; +reg litedramcore_postponer_req_o = 1'd0; +reg litedramcore_postponer_count = 1'd0; +reg litedramcore_sequencer_start0 = 1'd0; +wire litedramcore_sequencer_done0; +wire litedramcore_sequencer_start1; +reg litedramcore_sequencer_done1 = 1'd0; +reg [5:0] litedramcore_sequencer_counter = 6'd0; +reg litedramcore_sequencer_count = 1'd0; +wire litedramcore_zqcs_timer_wait; +wire litedramcore_zqcs_timer_done0; +wire [26:0] litedramcore_zqcs_timer_count0; +wire litedramcore_zqcs_timer_done1; +reg [26:0] litedramcore_zqcs_timer_count1 = 27'd99999999; +reg litedramcore_zqcs_executer_start = 1'd0; +reg litedramcore_zqcs_executer_done = 1'd0; +reg [4:0] litedramcore_zqcs_executer_counter = 5'd0; +wire litedramcore_bankmachine0_req_valid; +wire litedramcore_bankmachine0_req_ready; +wire litedramcore_bankmachine0_req_we; +wire [21:0] litedramcore_bankmachine0_req_addr; +wire litedramcore_bankmachine0_req_lock; +reg litedramcore_bankmachine0_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine0_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine0_refresh_req; +reg litedramcore_bankmachine0_refresh_gnt = 1'd0; +reg litedramcore_bankmachine0_cmd_valid = 1'd0; +reg litedramcore_bankmachine0_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine0_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine0_cmd_payload_ba; +reg litedramcore_bankmachine0_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine0_auto_precharge = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; +wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; +wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +reg [4:0] litedramcore_bankmachine0_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine0_cmd_buffer_sink_valid; +wire litedramcore_bankmachine0_cmd_buffer_sink_ready; +wire litedramcore_bankmachine0_cmd_buffer_sink_first; +wire litedramcore_bankmachine0_cmd_buffer_sink_last; +wire litedramcore_bankmachine0_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine0_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_source_ready; +reg litedramcore_bankmachine0_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine0_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine0_row = 15'd0; +reg litedramcore_bankmachine0_row_opened = 1'd0; +wire litedramcore_bankmachine0_row_hit; +reg litedramcore_bankmachine0_row_open = 1'd0; +reg litedramcore_bankmachine0_row_close = 1'd0; +reg litedramcore_bankmachine0_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine0_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_twtpcon_count = 3'd0; +wire litedramcore_bankmachine0_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_trccon_count = 3'd0; +wire litedramcore_bankmachine0_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_trascon_count = 3'd0; +wire litedramcore_bankmachine1_req_valid; +wire litedramcore_bankmachine1_req_ready; +wire litedramcore_bankmachine1_req_we; +wire [21:0] litedramcore_bankmachine1_req_addr; +wire litedramcore_bankmachine1_req_lock; +reg litedramcore_bankmachine1_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine1_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine1_refresh_req; +reg litedramcore_bankmachine1_refresh_gnt = 1'd0; +reg litedramcore_bankmachine1_cmd_valid = 1'd0; +reg litedramcore_bankmachine1_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine1_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine1_cmd_payload_ba; +reg litedramcore_bankmachine1_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine1_auto_precharge = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; +wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; +wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +reg [4:0] litedramcore_bankmachine1_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine1_cmd_buffer_sink_valid; +wire litedramcore_bankmachine1_cmd_buffer_sink_ready; +wire litedramcore_bankmachine1_cmd_buffer_sink_first; +wire litedramcore_bankmachine1_cmd_buffer_sink_last; +wire litedramcore_bankmachine1_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine1_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_source_ready; +reg litedramcore_bankmachine1_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine1_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine1_row = 15'd0; +reg litedramcore_bankmachine1_row_opened = 1'd0; +wire litedramcore_bankmachine1_row_hit; +reg litedramcore_bankmachine1_row_open = 1'd0; +reg litedramcore_bankmachine1_row_close = 1'd0; +reg litedramcore_bankmachine1_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine1_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_twtpcon_count = 3'd0; +wire litedramcore_bankmachine1_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_trccon_count = 3'd0; +wire litedramcore_bankmachine1_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_trascon_count = 3'd0; +wire litedramcore_bankmachine2_req_valid; +wire litedramcore_bankmachine2_req_ready; +wire litedramcore_bankmachine2_req_we; +wire [21:0] litedramcore_bankmachine2_req_addr; +wire litedramcore_bankmachine2_req_lock; +reg litedramcore_bankmachine2_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine2_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine2_refresh_req; +reg litedramcore_bankmachine2_refresh_gnt = 1'd0; +reg litedramcore_bankmachine2_cmd_valid = 1'd0; +reg litedramcore_bankmachine2_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine2_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine2_cmd_payload_ba; +reg litedramcore_bankmachine2_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine2_auto_precharge = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; +wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; +wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +reg [4:0] litedramcore_bankmachine2_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine2_cmd_buffer_sink_valid; +wire litedramcore_bankmachine2_cmd_buffer_sink_ready; +wire litedramcore_bankmachine2_cmd_buffer_sink_first; +wire litedramcore_bankmachine2_cmd_buffer_sink_last; +wire litedramcore_bankmachine2_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine2_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_source_ready; +reg litedramcore_bankmachine2_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine2_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine2_row = 15'd0; +reg litedramcore_bankmachine2_row_opened = 1'd0; +wire litedramcore_bankmachine2_row_hit; +reg litedramcore_bankmachine2_row_open = 1'd0; +reg litedramcore_bankmachine2_row_close = 1'd0; +reg litedramcore_bankmachine2_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine2_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_twtpcon_count = 3'd0; +wire litedramcore_bankmachine2_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_trccon_count = 3'd0; +wire litedramcore_bankmachine2_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_trascon_count = 3'd0; +wire litedramcore_bankmachine3_req_valid; +wire litedramcore_bankmachine3_req_ready; +wire litedramcore_bankmachine3_req_we; +wire [21:0] litedramcore_bankmachine3_req_addr; +wire litedramcore_bankmachine3_req_lock; +reg litedramcore_bankmachine3_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine3_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine3_refresh_req; +reg litedramcore_bankmachine3_refresh_gnt = 1'd0; +reg litedramcore_bankmachine3_cmd_valid = 1'd0; +reg litedramcore_bankmachine3_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine3_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine3_cmd_payload_ba; +reg litedramcore_bankmachine3_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine3_auto_precharge = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; +wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; +wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +reg [4:0] litedramcore_bankmachine3_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine3_cmd_buffer_sink_valid; +wire litedramcore_bankmachine3_cmd_buffer_sink_ready; +wire litedramcore_bankmachine3_cmd_buffer_sink_first; +wire litedramcore_bankmachine3_cmd_buffer_sink_last; +wire litedramcore_bankmachine3_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine3_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_source_ready; +reg litedramcore_bankmachine3_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine3_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine3_row = 15'd0; +reg litedramcore_bankmachine3_row_opened = 1'd0; +wire litedramcore_bankmachine3_row_hit; +reg litedramcore_bankmachine3_row_open = 1'd0; +reg litedramcore_bankmachine3_row_close = 1'd0; +reg litedramcore_bankmachine3_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine3_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_twtpcon_count = 3'd0; +wire litedramcore_bankmachine3_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_trccon_count = 3'd0; +wire litedramcore_bankmachine3_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_trascon_count = 3'd0; +wire litedramcore_bankmachine4_req_valid; +wire litedramcore_bankmachine4_req_ready; +wire litedramcore_bankmachine4_req_we; +wire [21:0] litedramcore_bankmachine4_req_addr; +wire litedramcore_bankmachine4_req_lock; +reg litedramcore_bankmachine4_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine4_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine4_refresh_req; +reg litedramcore_bankmachine4_refresh_gnt = 1'd0; +reg litedramcore_bankmachine4_cmd_valid = 1'd0; +reg litedramcore_bankmachine4_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine4_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine4_cmd_payload_ba; +reg litedramcore_bankmachine4_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine4_auto_precharge = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; +wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; +wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +reg [4:0] litedramcore_bankmachine4_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine4_cmd_buffer_sink_valid; +wire litedramcore_bankmachine4_cmd_buffer_sink_ready; +wire litedramcore_bankmachine4_cmd_buffer_sink_first; +wire litedramcore_bankmachine4_cmd_buffer_sink_last; +wire litedramcore_bankmachine4_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine4_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_source_ready; +reg litedramcore_bankmachine4_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine4_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine4_row = 15'd0; +reg litedramcore_bankmachine4_row_opened = 1'd0; +wire litedramcore_bankmachine4_row_hit; +reg litedramcore_bankmachine4_row_open = 1'd0; +reg litedramcore_bankmachine4_row_close = 1'd0; +reg litedramcore_bankmachine4_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine4_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_twtpcon_count = 3'd0; +wire litedramcore_bankmachine4_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_trccon_count = 3'd0; +wire litedramcore_bankmachine4_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_trascon_count = 3'd0; +wire litedramcore_bankmachine5_req_valid; +wire litedramcore_bankmachine5_req_ready; +wire litedramcore_bankmachine5_req_we; +wire [21:0] litedramcore_bankmachine5_req_addr; +wire litedramcore_bankmachine5_req_lock; +reg litedramcore_bankmachine5_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine5_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine5_refresh_req; +reg litedramcore_bankmachine5_refresh_gnt = 1'd0; +reg litedramcore_bankmachine5_cmd_valid = 1'd0; +reg litedramcore_bankmachine5_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine5_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine5_cmd_payload_ba; +reg litedramcore_bankmachine5_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine5_auto_precharge = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; +wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; +wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +reg [4:0] litedramcore_bankmachine5_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine5_cmd_buffer_sink_valid; +wire litedramcore_bankmachine5_cmd_buffer_sink_ready; +wire litedramcore_bankmachine5_cmd_buffer_sink_first; +wire litedramcore_bankmachine5_cmd_buffer_sink_last; +wire litedramcore_bankmachine5_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine5_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_source_ready; +reg litedramcore_bankmachine5_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine5_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine5_row = 15'd0; +reg litedramcore_bankmachine5_row_opened = 1'd0; +wire litedramcore_bankmachine5_row_hit; +reg litedramcore_bankmachine5_row_open = 1'd0; +reg litedramcore_bankmachine5_row_close = 1'd0; +reg litedramcore_bankmachine5_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine5_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_twtpcon_count = 3'd0; +wire litedramcore_bankmachine5_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_trccon_count = 3'd0; +wire litedramcore_bankmachine5_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_trascon_count = 3'd0; +wire litedramcore_bankmachine6_req_valid; +wire litedramcore_bankmachine6_req_ready; +wire litedramcore_bankmachine6_req_we; +wire [21:0] litedramcore_bankmachine6_req_addr; +wire litedramcore_bankmachine6_req_lock; +reg litedramcore_bankmachine6_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine6_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine6_refresh_req; +reg litedramcore_bankmachine6_refresh_gnt = 1'd0; +reg litedramcore_bankmachine6_cmd_valid = 1'd0; +reg litedramcore_bankmachine6_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine6_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine6_cmd_payload_ba; +reg litedramcore_bankmachine6_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine6_auto_precharge = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; +wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; +wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +reg [4:0] litedramcore_bankmachine6_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine6_cmd_buffer_sink_valid; +wire litedramcore_bankmachine6_cmd_buffer_sink_ready; +wire litedramcore_bankmachine6_cmd_buffer_sink_first; +wire litedramcore_bankmachine6_cmd_buffer_sink_last; +wire litedramcore_bankmachine6_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine6_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_source_ready; +reg litedramcore_bankmachine6_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine6_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine6_row = 15'd0; +reg litedramcore_bankmachine6_row_opened = 1'd0; +wire litedramcore_bankmachine6_row_hit; +reg litedramcore_bankmachine6_row_open = 1'd0; +reg litedramcore_bankmachine6_row_close = 1'd0; +reg litedramcore_bankmachine6_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine6_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_twtpcon_count = 3'd0; +wire litedramcore_bankmachine6_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_trccon_count = 3'd0; +wire litedramcore_bankmachine6_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_trascon_count = 3'd0; +wire litedramcore_bankmachine7_req_valid; +wire litedramcore_bankmachine7_req_ready; +wire litedramcore_bankmachine7_req_we; +wire [21:0] litedramcore_bankmachine7_req_addr; +wire litedramcore_bankmachine7_req_lock; +reg litedramcore_bankmachine7_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine7_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine7_refresh_req; +reg litedramcore_bankmachine7_refresh_gnt = 1'd0; +reg litedramcore_bankmachine7_cmd_valid = 1'd0; +reg litedramcore_bankmachine7_cmd_ready = 1'd0; +reg [14:0] litedramcore_bankmachine7_cmd_payload_a = 15'd0; +wire [2:0] litedramcore_bankmachine7_cmd_payload_ba; +reg litedramcore_bankmachine7_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine7_auto_precharge = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; +wire [21:0] litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; +wire [21:0] litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; +wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; +wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +reg [4:0] litedramcore_bankmachine7_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we; +wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr; +wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we; +wire [21:0] litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; +wire [21:0] litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine7_cmd_buffer_sink_valid; +wire litedramcore_bankmachine7_cmd_buffer_sink_ready; +wire litedramcore_bankmachine7_cmd_buffer_sink_first; +wire litedramcore_bankmachine7_cmd_buffer_sink_last; +wire litedramcore_bankmachine7_cmd_buffer_sink_payload_we; +wire [21:0] litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine7_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_source_ready; +reg litedramcore_bankmachine7_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_source_payload_we = 1'd0; +reg [21:0] litedramcore_bankmachine7_cmd_buffer_source_payload_addr = 22'd0; +reg [14:0] litedramcore_bankmachine7_row = 15'd0; +reg litedramcore_bankmachine7_row_opened = 1'd0; +wire litedramcore_bankmachine7_row_hit; +reg litedramcore_bankmachine7_row_open = 1'd0; +reg litedramcore_bankmachine7_row_close = 1'd0; +reg litedramcore_bankmachine7_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine7_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_twtpcon_count = 3'd0; +wire litedramcore_bankmachine7_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_trccon_count = 3'd0; +wire litedramcore_bankmachine7_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_trascon_count = 3'd0; +wire litedramcore_ras_allowed; +wire litedramcore_cas_allowed; +wire [1:0] litedramcore_rdcmdphase; +wire [1:0] litedramcore_wrcmdphase; +reg litedramcore_choose_cmd_want_reads = 1'd0; +reg litedramcore_choose_cmd_want_writes = 1'd0; +reg litedramcore_choose_cmd_want_cmds = 1'd0; +reg litedramcore_choose_cmd_want_activates = 1'd0; +wire litedramcore_choose_cmd_cmd_valid; +reg litedramcore_choose_cmd_cmd_ready = 1'd0; +wire [14:0] litedramcore_choose_cmd_cmd_payload_a; +wire [2:0] litedramcore_choose_cmd_cmd_payload_ba; +reg litedramcore_choose_cmd_cmd_payload_cas = 1'd0; +reg litedramcore_choose_cmd_cmd_payload_ras = 1'd0; +reg litedramcore_choose_cmd_cmd_payload_we = 1'd0; +wire litedramcore_choose_cmd_cmd_payload_is_cmd; +wire litedramcore_choose_cmd_cmd_payload_is_read; +wire litedramcore_choose_cmd_cmd_payload_is_write; +reg [7:0] litedramcore_choose_cmd_valids = 8'd0; +wire [7:0] litedramcore_choose_cmd_request; +reg [2:0] litedramcore_choose_cmd_grant = 3'd0; +wire litedramcore_choose_cmd_ce; +reg litedramcore_choose_req_want_reads = 1'd0; +reg litedramcore_choose_req_want_writes = 1'd0; +reg litedramcore_choose_req_want_cmds = 1'd0; +reg litedramcore_choose_req_want_activates = 1'd0; +wire litedramcore_choose_req_cmd_valid; +reg litedramcore_choose_req_cmd_ready = 1'd0; +wire [14:0] litedramcore_choose_req_cmd_payload_a; +wire [2:0] litedramcore_choose_req_cmd_payload_ba; +reg litedramcore_choose_req_cmd_payload_cas = 1'd0; +reg litedramcore_choose_req_cmd_payload_ras = 1'd0; +reg litedramcore_choose_req_cmd_payload_we = 1'd0; +wire litedramcore_choose_req_cmd_payload_is_cmd; +wire litedramcore_choose_req_cmd_payload_is_read; +wire litedramcore_choose_req_cmd_payload_is_write; +reg [7:0] litedramcore_choose_req_valids = 8'd0; +wire [7:0] litedramcore_choose_req_request; +reg [2:0] litedramcore_choose_req_grant = 3'd0; +wire litedramcore_choose_req_ce; +reg [14:0] litedramcore_nop_a = 15'd0; +reg [2:0] litedramcore_nop_ba = 3'd0; +reg [1:0] litedramcore_steerer_sel0 = 2'd0; +reg [1:0] litedramcore_steerer_sel1 = 2'd0; +reg [1:0] litedramcore_steerer_sel2 = 2'd0; +reg [1:0] litedramcore_steerer_sel3 = 2'd0; +reg litedramcore_steerer0 = 1'd1; +reg litedramcore_steerer1 = 1'd1; +reg litedramcore_steerer2 = 1'd1; +reg litedramcore_steerer3 = 1'd1; +reg litedramcore_steerer4 = 1'd1; +reg litedramcore_steerer5 = 1'd1; +reg litedramcore_steerer6 = 1'd1; +reg litedramcore_steerer7 = 1'd1; +wire litedramcore_trrdcon_valid; +(* dont_touch = "true" *) reg litedramcore_trrdcon_ready = 1'd0; +reg litedramcore_trrdcon_count = 1'd0; +wire litedramcore_tfawcon_valid; +(* dont_touch = "true" *) reg litedramcore_tfawcon_ready = 1'd1; +wire [2:0] litedramcore_tfawcon_count; +reg [4:0] litedramcore_tfawcon_window = 5'd0; +wire litedramcore_tccdcon_valid; +(* dont_touch = "true" *) reg litedramcore_tccdcon_ready = 1'd0; +reg litedramcore_tccdcon_count = 1'd0; +wire litedramcore_twtrcon_valid; +(* dont_touch = "true" *) reg litedramcore_twtrcon_ready = 1'd0; +reg [2:0] litedramcore_twtrcon_count = 3'd0; +wire litedramcore_read_available; +wire litedramcore_write_available; +reg litedramcore_en0 = 1'd0; +wire litedramcore_max_time0; +reg [4:0] litedramcore_time0 = 5'd0; +reg litedramcore_en1 = 1'd0; +wire litedramcore_max_time1; +reg [3:0] litedramcore_time1 = 4'd0; +wire litedramcore_go_to_refresh; +reg init_done_storage = 1'd0; +reg init_done_re = 1'd0; +reg init_error_storage = 1'd0; +reg init_error_re = 1'd0; +wire [29:0] wb_bus_adr; +wire [31:0] wb_bus_dat_w; +wire [31:0] wb_bus_dat_r; +wire [3:0] wb_bus_sel; +wire wb_bus_cyc; +wire wb_bus_stb; +wire wb_bus_ack; +wire wb_bus_we; +wire [2:0] wb_bus_cti; +wire [1:0] wb_bus_bte; +wire wb_bus_err; +wire user_enable; +wire user_port_cmd_valid; +wire user_port_cmd_ready; +wire user_port_cmd_payload_we; +wire [24:0] user_port_cmd_payload_addr; +wire user_port_wdata_valid; +wire user_port_wdata_ready; +wire [127:0] user_port_wdata_payload_data; +wire [15:0] user_port_wdata_payload_we; +wire user_port_rdata_valid; +wire user_port_rdata_ready; +wire [127:0] user_port_rdata_payload_data; +reg [13:0] litedramcore_adr = 14'd0; +reg litedramcore_we = 1'd0; +reg [31:0] litedramcore_dat_w = 32'd0; +wire [31:0] litedramcore_dat_r; +wire [29:0] litedramcore_wishbone_adr; +wire [31:0] litedramcore_wishbone_dat_w; +reg [31:0] litedramcore_wishbone_dat_r = 32'd0; +wire [3:0] litedramcore_wishbone_sel; +wire litedramcore_wishbone_cyc; +wire litedramcore_wishbone_stb; +reg litedramcore_wishbone_ack = 1'd0; +wire litedramcore_wishbone_we; +wire [2:0] litedramcore_wishbone_cti; +wire [1:0] litedramcore_wishbone_bte; +reg litedramcore_wishbone_err = 1'd0; +wire [13:0] interface0_bank_bus_adr; +wire interface0_bank_bus_we; +wire [31:0] interface0_bank_bus_dat_w; +reg [31:0] interface0_bank_bus_dat_r = 32'd0; +reg csrbank0_init_done0_re = 1'd0; +wire csrbank0_init_done0_r; +reg csrbank0_init_done0_we = 1'd0; +wire csrbank0_init_done0_w; +reg csrbank0_init_error0_re = 1'd0; +wire csrbank0_init_error0_r; +reg csrbank0_init_error0_we = 1'd0; +wire csrbank0_init_error0_w; +wire csrbank0_sel; +wire [13:0] interface1_bank_bus_adr; +wire interface1_bank_bus_we; +wire [31:0] interface1_bank_bus_dat_w; +reg [31:0] interface1_bank_bus_dat_r = 32'd0; +reg csrbank1_rst0_re = 1'd0; +wire csrbank1_rst0_r; +reg csrbank1_rst0_we = 1'd0; +wire csrbank1_rst0_w; +reg csrbank1_dly_sel0_re = 1'd0; +wire [1:0] csrbank1_dly_sel0_r; +reg csrbank1_dly_sel0_we = 1'd0; +wire [1:0] csrbank1_dly_sel0_w; +reg csrbank1_half_sys8x_taps0_re = 1'd0; +wire [4:0] csrbank1_half_sys8x_taps0_r; +reg csrbank1_half_sys8x_taps0_we = 1'd0; +wire [4:0] csrbank1_half_sys8x_taps0_w; +reg csrbank1_wlevel_en0_re = 1'd0; +wire csrbank1_wlevel_en0_r; +reg csrbank1_wlevel_en0_we = 1'd0; +wire csrbank1_wlevel_en0_w; +reg csrbank1_rdphase0_re = 1'd0; +wire [1:0] csrbank1_rdphase0_r; +reg csrbank1_rdphase0_we = 1'd0; +wire [1:0] csrbank1_rdphase0_w; +reg csrbank1_wrphase0_re = 1'd0; +wire [1:0] csrbank1_wrphase0_r; +reg csrbank1_wrphase0_we = 1'd0; +wire [1:0] csrbank1_wrphase0_w; +wire csrbank1_sel; +wire [13:0] interface2_bank_bus_adr; +wire interface2_bank_bus_we; +wire [31:0] interface2_bank_bus_dat_w; +reg [31:0] interface2_bank_bus_dat_r = 32'd0; +reg csrbank2_dfii_control0_re = 1'd0; +wire [3:0] csrbank2_dfii_control0_r; +reg csrbank2_dfii_control0_we = 1'd0; +wire [3:0] csrbank2_dfii_control0_w; +reg csrbank2_dfii_pi0_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi0_command0_r; +reg csrbank2_dfii_pi0_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi0_command0_w; +reg csrbank2_dfii_pi0_address0_re = 1'd0; +wire [14:0] csrbank2_dfii_pi0_address0_r; +reg csrbank2_dfii_pi0_address0_we = 1'd0; +wire [14:0] csrbank2_dfii_pi0_address0_w; +reg csrbank2_dfii_pi0_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi0_baddress0_r; +reg csrbank2_dfii_pi0_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi0_baddress0_w; +reg csrbank2_dfii_pi0_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi0_wrdata0_r; +reg csrbank2_dfii_pi0_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi0_wrdata0_w; +reg csrbank2_dfii_pi0_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi0_rddata_r; +reg csrbank2_dfii_pi0_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi0_rddata_w; +reg csrbank2_dfii_pi1_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi1_command0_r; +reg csrbank2_dfii_pi1_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi1_command0_w; +reg csrbank2_dfii_pi1_address0_re = 1'd0; +wire [14:0] csrbank2_dfii_pi1_address0_r; +reg csrbank2_dfii_pi1_address0_we = 1'd0; +wire [14:0] csrbank2_dfii_pi1_address0_w; +reg csrbank2_dfii_pi1_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi1_baddress0_r; +reg csrbank2_dfii_pi1_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi1_baddress0_w; +reg csrbank2_dfii_pi1_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi1_wrdata0_r; +reg csrbank2_dfii_pi1_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi1_wrdata0_w; +reg csrbank2_dfii_pi1_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi1_rddata_r; +reg csrbank2_dfii_pi1_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi1_rddata_w; +reg csrbank2_dfii_pi2_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi2_command0_r; +reg csrbank2_dfii_pi2_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi2_command0_w; +reg csrbank2_dfii_pi2_address0_re = 1'd0; +wire [14:0] csrbank2_dfii_pi2_address0_r; +reg csrbank2_dfii_pi2_address0_we = 1'd0; +wire [14:0] csrbank2_dfii_pi2_address0_w; +reg csrbank2_dfii_pi2_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi2_baddress0_r; +reg csrbank2_dfii_pi2_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi2_baddress0_w; +reg csrbank2_dfii_pi2_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi2_wrdata0_r; +reg csrbank2_dfii_pi2_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi2_wrdata0_w; +reg csrbank2_dfii_pi2_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi2_rddata_r; +reg csrbank2_dfii_pi2_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi2_rddata_w; +reg csrbank2_dfii_pi3_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi3_command0_r; +reg csrbank2_dfii_pi3_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi3_command0_w; +reg csrbank2_dfii_pi3_address0_re = 1'd0; +wire [14:0] csrbank2_dfii_pi3_address0_r; +reg csrbank2_dfii_pi3_address0_we = 1'd0; +wire [14:0] csrbank2_dfii_pi3_address0_w; +reg csrbank2_dfii_pi3_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi3_baddress0_r; +reg csrbank2_dfii_pi3_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi3_baddress0_w; +reg csrbank2_dfii_pi3_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi3_wrdata0_r; +reg csrbank2_dfii_pi3_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi3_wrdata0_w; +reg csrbank2_dfii_pi3_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi3_rddata_r; +reg csrbank2_dfii_pi3_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi3_rddata_w; +wire csrbank2_sel; +wire [13:0] csr_interconnect_adr; +wire csr_interconnect_we; +wire [31:0] csr_interconnect_dat_w; +wire [31:0] csr_interconnect_dat_r; +wire litedramcore_reset0; +wire litedramcore_reset1; +wire litedramcore_reset2; +wire litedramcore_reset3; +wire litedramcore_reset4; +wire litedramcore_reset5; +wire litedramcore_reset6; +wire litedramcore_reset7; +wire litedramcore_pll_fb; +reg [1:0] litedramcore_refresher_state = 2'd0; +reg [1:0] litedramcore_refresher_next_state = 2'd0; +reg [3:0] litedramcore_bankmachine0_state = 4'd0; +reg [3:0] litedramcore_bankmachine0_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine1_state = 4'd0; +reg [3:0] litedramcore_bankmachine1_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine2_state = 4'd0; +reg [3:0] litedramcore_bankmachine2_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine3_state = 4'd0; +reg [3:0] litedramcore_bankmachine3_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine4_state = 4'd0; +reg [3:0] litedramcore_bankmachine4_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine5_state = 4'd0; +reg [3:0] litedramcore_bankmachine5_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine6_state = 4'd0; +reg [3:0] litedramcore_bankmachine6_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine7_state = 4'd0; +reg [3:0] litedramcore_bankmachine7_next_state = 4'd0; +reg [3:0] litedramcore_multiplexer_state = 4'd0; +reg [3:0] litedramcore_multiplexer_next_state = 4'd0; +wire litedramcore_roundrobin0_request; +wire litedramcore_roundrobin0_grant; +wire litedramcore_roundrobin0_ce; +wire litedramcore_roundrobin1_request; +wire litedramcore_roundrobin1_grant; +wire litedramcore_roundrobin1_ce; +wire litedramcore_roundrobin2_request; +wire litedramcore_roundrobin2_grant; +wire litedramcore_roundrobin2_ce; +wire litedramcore_roundrobin3_request; +wire litedramcore_roundrobin3_grant; +wire litedramcore_roundrobin3_ce; +wire litedramcore_roundrobin4_request; +wire litedramcore_roundrobin4_grant; +wire litedramcore_roundrobin4_ce; +wire litedramcore_roundrobin5_request; +wire litedramcore_roundrobin5_grant; +wire litedramcore_roundrobin5_ce; +wire litedramcore_roundrobin6_request; +wire litedramcore_roundrobin6_grant; +wire litedramcore_roundrobin6_ce; +wire litedramcore_roundrobin7_request; +wire litedramcore_roundrobin7_grant; +wire litedramcore_roundrobin7_ce; +reg litedramcore_locked0 = 1'd0; +reg litedramcore_locked1 = 1'd0; +reg litedramcore_locked2 = 1'd0; +reg litedramcore_locked3 = 1'd0; +reg litedramcore_locked4 = 1'd0; +reg litedramcore_locked5 = 1'd0; +reg litedramcore_locked6 = 1'd0; +reg litedramcore_locked7 = 1'd0; +reg litedramcore_new_master_wdata_ready0 = 1'd0; +reg litedramcore_new_master_wdata_ready1 = 1'd0; +reg litedramcore_new_master_rdata_valid0 = 1'd0; +reg litedramcore_new_master_rdata_valid1 = 1'd0; +reg litedramcore_new_master_rdata_valid2 = 1'd0; +reg litedramcore_new_master_rdata_valid3 = 1'd0; +reg litedramcore_new_master_rdata_valid4 = 1'd0; +reg litedramcore_new_master_rdata_valid5 = 1'd0; +reg litedramcore_new_master_rdata_valid6 = 1'd0; +reg litedramcore_new_master_rdata_valid7 = 1'd0; +reg litedramcore_new_master_rdata_valid8 = 1'd0; +reg [1:0] litedramcore_state = 2'd0; +reg [1:0] litedramcore_next_state = 2'd0; +reg [31:0] litedramcore_dat_w_next_value0 = 32'd0; +reg litedramcore_dat_w_next_value_ce0 = 1'd0; +reg [13:0] litedramcore_adr_next_value1 = 14'd0; +reg litedramcore_adr_next_value_ce1 = 1'd0; +reg litedramcore_we_next_value2 = 1'd0; +reg litedramcore_we_next_value_ce2 = 1'd0; +reg rhs_array_muxed0 = 1'd0; +reg [14:0] rhs_array_muxed1 = 15'd0; +reg [2:0] rhs_array_muxed2 = 3'd0; +reg rhs_array_muxed3 = 1'd0; +reg rhs_array_muxed4 = 1'd0; +reg rhs_array_muxed5 = 1'd0; +reg t_array_muxed0 = 1'd0; +reg t_array_muxed1 = 1'd0; +reg t_array_muxed2 = 1'd0; +reg rhs_array_muxed6 = 1'd0; +reg [14:0] rhs_array_muxed7 = 15'd0; +reg [2:0] rhs_array_muxed8 = 3'd0; +reg rhs_array_muxed9 = 1'd0; +reg rhs_array_muxed10 = 1'd0; +reg rhs_array_muxed11 = 1'd0; +reg t_array_muxed3 = 1'd0; +reg t_array_muxed4 = 1'd0; +reg t_array_muxed5 = 1'd0; +reg [21:0] rhs_array_muxed12 = 22'd0; +reg rhs_array_muxed13 = 1'd0; +reg rhs_array_muxed14 = 1'd0; +reg [21:0] rhs_array_muxed15 = 22'd0; +reg rhs_array_muxed16 = 1'd0; +reg rhs_array_muxed17 = 1'd0; +reg [21:0] rhs_array_muxed18 = 22'd0; +reg rhs_array_muxed19 = 1'd0; +reg rhs_array_muxed20 = 1'd0; +reg [21:0] rhs_array_muxed21 = 22'd0; +reg rhs_array_muxed22 = 1'd0; +reg rhs_array_muxed23 = 1'd0; +reg [21:0] rhs_array_muxed24 = 22'd0; +reg rhs_array_muxed25 = 1'd0; +reg rhs_array_muxed26 = 1'd0; +reg [21:0] rhs_array_muxed27 = 22'd0; +reg rhs_array_muxed28 = 1'd0; +reg rhs_array_muxed29 = 1'd0; +reg [21:0] rhs_array_muxed30 = 22'd0; +reg rhs_array_muxed31 = 1'd0; +reg rhs_array_muxed32 = 1'd0; +reg [21:0] rhs_array_muxed33 = 22'd0; +reg rhs_array_muxed34 = 1'd0; +reg rhs_array_muxed35 = 1'd0; +reg [2:0] array_muxed0 = 3'd0; +reg [14:0] array_muxed1 = 15'd0; +reg array_muxed2 = 1'd0; +reg array_muxed3 = 1'd0; +reg array_muxed4 = 1'd0; +reg array_muxed5 = 1'd0; +reg array_muxed6 = 1'd0; +reg [2:0] array_muxed7 = 3'd0; +reg [14:0] array_muxed8 = 15'd0; +reg array_muxed9 = 1'd0; +reg array_muxed10 = 1'd0; +reg array_muxed11 = 1'd0; +reg array_muxed12 = 1'd0; +reg array_muxed13 = 1'd0; +reg [2:0] array_muxed14 = 3'd0; +reg [14:0] array_muxed15 = 15'd0; +reg array_muxed16 = 1'd0; +reg array_muxed17 = 1'd0; +reg array_muxed18 = 1'd0; +reg array_muxed19 = 1'd0; +reg array_muxed20 = 1'd0; +reg [2:0] array_muxed21 = 3'd0; +reg [14:0] array_muxed22 = 15'd0; +reg array_muxed23 = 1'd0; +reg array_muxed24 = 1'd0; +reg array_muxed25 = 1'd0; +reg array_muxed26 = 1'd0; +reg array_muxed27 = 1'd0; +wire xilinxasyncresetsynchronizerimpl0; +wire xilinxasyncresetsynchronizerimpl0_rst_meta; +wire xilinxasyncresetsynchronizerimpl1; +wire xilinxasyncresetsynchronizerimpl1_rst_meta; +wire xilinxasyncresetsynchronizerimpl2; +wire xilinxasyncresetsynchronizerimpl2_rst_meta; +wire xilinxasyncresetsynchronizerimpl2_expr; +wire xilinxasyncresetsynchronizerimpl3; +wire xilinxasyncresetsynchronizerimpl3_rst_meta; +wire xilinxasyncresetsynchronizerimpl3_expr; //------------------------------------------------------------------------------ // Combinatorial Logic //------------------------------------------------------------------------------ -assign init_done = main_init_done_storage; -assign init_error = main_init_error_storage; -assign main_wb_bus_adr = wb_ctrl_adr; -assign main_wb_bus_dat_w = wb_ctrl_dat_w; -assign wb_ctrl_dat_r = main_wb_bus_dat_r; -assign main_wb_bus_sel = wb_ctrl_sel; -assign main_wb_bus_cyc = wb_ctrl_cyc; -assign main_wb_bus_stb = wb_ctrl_stb; -assign wb_ctrl_ack = main_wb_bus_ack; -assign main_wb_bus_we = wb_ctrl_we; -assign main_wb_bus_cti = wb_ctrl_cti; -assign main_wb_bus_bte = wb_ctrl_bte; -assign wb_ctrl_err = main_wb_bus_err; +assign init_done = init_done_storage; +assign init_error = init_error_storage; +assign wb_bus_adr = wb_ctrl_adr; +assign wb_bus_dat_w = wb_ctrl_dat_w; +assign wb_ctrl_dat_r = wb_bus_dat_r; +assign wb_bus_sel = wb_ctrl_sel; +assign wb_bus_cyc = wb_ctrl_cyc; +assign wb_bus_stb = wb_ctrl_stb; +assign wb_ctrl_ack = wb_bus_ack; +assign wb_bus_we = wb_ctrl_we; +assign wb_bus_cti = wb_ctrl_cti; +assign wb_bus_bte = wb_ctrl_bte; +assign wb_ctrl_err = wb_bus_err; assign user_clk = sys_clk; assign user_rst = sys_rst; -assign main_user_enable = 1'd1; -assign main_user_port_cmd_valid = (user_port_native_0_cmd_valid & main_user_enable); -assign user_port_native_0_cmd_ready = (main_user_port_cmd_ready & main_user_enable); -assign main_user_port_cmd_payload_we = user_port_native_0_cmd_we; -assign main_user_port_cmd_payload_addr = user_port_native_0_cmd_addr; -assign main_user_port_wdata_valid = (user_port_native_0_wdata_valid & main_user_enable); -assign user_port_native_0_wdata_ready = (main_user_port_wdata_ready & main_user_enable); -assign main_user_port_wdata_payload_we = user_port_native_0_wdata_we; -assign main_user_port_wdata_payload_data = user_port_native_0_wdata_data; -assign user_port_native_0_rdata_valid = (main_user_port_rdata_valid & main_user_enable); -assign main_user_port_rdata_ready = (user_port_native_0_rdata_ready & main_user_enable); -assign user_port_native_0_rdata_data = main_user_port_rdata_payload_data; -assign main_reset = (rst | main_rst); -assign pll_locked = main_locked; -assign main_clkin = clk; -assign iodelay_clk = main_clkout_buf0; -assign sys_clk = main_clkout_buf1; -assign sys4x_clk = main_clkout_buf2; -assign sys4x_dqs_clk = main_clkout_buf3; -assign main_a7ddrphy_dqs_oe_delay_tappeddelayline = ((main_a7ddrphy_dqs_preamble | main_a7ddrphy_dqs_oe) | main_a7ddrphy_dqs_postamble); -assign main_a7ddrphy_dq_oe_delay_tappeddelayline = ((main_a7ddrphy_dqs_preamble | main_a7ddrphy_dq_oe) | main_a7ddrphy_dqs_postamble); -always @(*) begin - main_a7ddrphy_dfi_p0_rddata <= 32'd0; - main_a7ddrphy_dfi_p0_rddata[0] <= main_a7ddrphy_bitslip04[0]; - main_a7ddrphy_dfi_p0_rddata[16] <= main_a7ddrphy_bitslip04[1]; - main_a7ddrphy_dfi_p0_rddata[1] <= main_a7ddrphy_bitslip14[0]; - main_a7ddrphy_dfi_p0_rddata[17] <= main_a7ddrphy_bitslip14[1]; - main_a7ddrphy_dfi_p0_rddata[2] <= main_a7ddrphy_bitslip22[0]; - main_a7ddrphy_dfi_p0_rddata[18] <= main_a7ddrphy_bitslip22[1]; - main_a7ddrphy_dfi_p0_rddata[3] <= main_a7ddrphy_bitslip32[0]; - main_a7ddrphy_dfi_p0_rddata[19] <= main_a7ddrphy_bitslip32[1]; - main_a7ddrphy_dfi_p0_rddata[4] <= main_a7ddrphy_bitslip42[0]; - main_a7ddrphy_dfi_p0_rddata[20] <= main_a7ddrphy_bitslip42[1]; - main_a7ddrphy_dfi_p0_rddata[5] <= main_a7ddrphy_bitslip52[0]; - main_a7ddrphy_dfi_p0_rddata[21] <= main_a7ddrphy_bitslip52[1]; - main_a7ddrphy_dfi_p0_rddata[6] <= main_a7ddrphy_bitslip62[0]; - main_a7ddrphy_dfi_p0_rddata[22] <= main_a7ddrphy_bitslip62[1]; - main_a7ddrphy_dfi_p0_rddata[7] <= main_a7ddrphy_bitslip72[0]; - main_a7ddrphy_dfi_p0_rddata[23] <= main_a7ddrphy_bitslip72[1]; - main_a7ddrphy_dfi_p0_rddata[8] <= main_a7ddrphy_bitslip82[0]; - main_a7ddrphy_dfi_p0_rddata[24] <= main_a7ddrphy_bitslip82[1]; - main_a7ddrphy_dfi_p0_rddata[9] <= main_a7ddrphy_bitslip92[0]; - main_a7ddrphy_dfi_p0_rddata[25] <= main_a7ddrphy_bitslip92[1]; - main_a7ddrphy_dfi_p0_rddata[10] <= main_a7ddrphy_bitslip102[0]; - main_a7ddrphy_dfi_p0_rddata[26] <= main_a7ddrphy_bitslip102[1]; - main_a7ddrphy_dfi_p0_rddata[11] <= main_a7ddrphy_bitslip112[0]; - main_a7ddrphy_dfi_p0_rddata[27] <= main_a7ddrphy_bitslip112[1]; - main_a7ddrphy_dfi_p0_rddata[12] <= main_a7ddrphy_bitslip122[0]; - main_a7ddrphy_dfi_p0_rddata[28] <= main_a7ddrphy_bitslip122[1]; - main_a7ddrphy_dfi_p0_rddata[13] <= main_a7ddrphy_bitslip132[0]; - main_a7ddrphy_dfi_p0_rddata[29] <= main_a7ddrphy_bitslip132[1]; - main_a7ddrphy_dfi_p0_rddata[14] <= main_a7ddrphy_bitslip142[0]; - main_a7ddrphy_dfi_p0_rddata[30] <= main_a7ddrphy_bitslip142[1]; - main_a7ddrphy_dfi_p0_rddata[15] <= main_a7ddrphy_bitslip152[0]; - main_a7ddrphy_dfi_p0_rddata[31] <= main_a7ddrphy_bitslip152[1]; -end -always @(*) begin - main_a7ddrphy_dfi_p1_rddata <= 32'd0; - main_a7ddrphy_dfi_p1_rddata[0] <= main_a7ddrphy_bitslip04[2]; - main_a7ddrphy_dfi_p1_rddata[16] <= main_a7ddrphy_bitslip04[3]; - main_a7ddrphy_dfi_p1_rddata[1] <= main_a7ddrphy_bitslip14[2]; - main_a7ddrphy_dfi_p1_rddata[17] <= main_a7ddrphy_bitslip14[3]; - main_a7ddrphy_dfi_p1_rddata[2] <= main_a7ddrphy_bitslip22[2]; - main_a7ddrphy_dfi_p1_rddata[18] <= main_a7ddrphy_bitslip22[3]; - main_a7ddrphy_dfi_p1_rddata[3] <= main_a7ddrphy_bitslip32[2]; - main_a7ddrphy_dfi_p1_rddata[19] <= main_a7ddrphy_bitslip32[3]; - main_a7ddrphy_dfi_p1_rddata[4] <= main_a7ddrphy_bitslip42[2]; - main_a7ddrphy_dfi_p1_rddata[20] <= main_a7ddrphy_bitslip42[3]; - main_a7ddrphy_dfi_p1_rddata[5] <= main_a7ddrphy_bitslip52[2]; - main_a7ddrphy_dfi_p1_rddata[21] <= main_a7ddrphy_bitslip52[3]; - main_a7ddrphy_dfi_p1_rddata[6] <= main_a7ddrphy_bitslip62[2]; - main_a7ddrphy_dfi_p1_rddata[22] <= main_a7ddrphy_bitslip62[3]; - main_a7ddrphy_dfi_p1_rddata[7] <= main_a7ddrphy_bitslip72[2]; - main_a7ddrphy_dfi_p1_rddata[23] <= main_a7ddrphy_bitslip72[3]; - main_a7ddrphy_dfi_p1_rddata[8] <= main_a7ddrphy_bitslip82[2]; - main_a7ddrphy_dfi_p1_rddata[24] <= main_a7ddrphy_bitslip82[3]; - main_a7ddrphy_dfi_p1_rddata[9] <= main_a7ddrphy_bitslip92[2]; - main_a7ddrphy_dfi_p1_rddata[25] <= main_a7ddrphy_bitslip92[3]; - main_a7ddrphy_dfi_p1_rddata[10] <= main_a7ddrphy_bitslip102[2]; - main_a7ddrphy_dfi_p1_rddata[26] <= main_a7ddrphy_bitslip102[3]; - main_a7ddrphy_dfi_p1_rddata[11] <= main_a7ddrphy_bitslip112[2]; - main_a7ddrphy_dfi_p1_rddata[27] <= main_a7ddrphy_bitslip112[3]; - main_a7ddrphy_dfi_p1_rddata[12] <= main_a7ddrphy_bitslip122[2]; - main_a7ddrphy_dfi_p1_rddata[28] <= main_a7ddrphy_bitslip122[3]; - main_a7ddrphy_dfi_p1_rddata[13] <= main_a7ddrphy_bitslip132[2]; - main_a7ddrphy_dfi_p1_rddata[29] <= main_a7ddrphy_bitslip132[3]; - main_a7ddrphy_dfi_p1_rddata[14] <= main_a7ddrphy_bitslip142[2]; - main_a7ddrphy_dfi_p1_rddata[30] <= main_a7ddrphy_bitslip142[3]; - main_a7ddrphy_dfi_p1_rddata[15] <= main_a7ddrphy_bitslip152[2]; - main_a7ddrphy_dfi_p1_rddata[31] <= main_a7ddrphy_bitslip152[3]; -end -always @(*) begin - main_a7ddrphy_dfi_p2_rddata <= 32'd0; - main_a7ddrphy_dfi_p2_rddata[0] <= main_a7ddrphy_bitslip04[4]; - main_a7ddrphy_dfi_p2_rddata[16] <= main_a7ddrphy_bitslip04[5]; - main_a7ddrphy_dfi_p2_rddata[1] <= main_a7ddrphy_bitslip14[4]; - main_a7ddrphy_dfi_p2_rddata[17] <= main_a7ddrphy_bitslip14[5]; - main_a7ddrphy_dfi_p2_rddata[2] <= main_a7ddrphy_bitslip22[4]; - main_a7ddrphy_dfi_p2_rddata[18] <= main_a7ddrphy_bitslip22[5]; - main_a7ddrphy_dfi_p2_rddata[3] <= main_a7ddrphy_bitslip32[4]; - main_a7ddrphy_dfi_p2_rddata[19] <= main_a7ddrphy_bitslip32[5]; - main_a7ddrphy_dfi_p2_rddata[4] <= main_a7ddrphy_bitslip42[4]; - main_a7ddrphy_dfi_p2_rddata[20] <= main_a7ddrphy_bitslip42[5]; - main_a7ddrphy_dfi_p2_rddata[5] <= main_a7ddrphy_bitslip52[4]; - main_a7ddrphy_dfi_p2_rddata[21] <= main_a7ddrphy_bitslip52[5]; - main_a7ddrphy_dfi_p2_rddata[6] <= main_a7ddrphy_bitslip62[4]; - main_a7ddrphy_dfi_p2_rddata[22] <= main_a7ddrphy_bitslip62[5]; - main_a7ddrphy_dfi_p2_rddata[7] <= main_a7ddrphy_bitslip72[4]; - main_a7ddrphy_dfi_p2_rddata[23] <= main_a7ddrphy_bitslip72[5]; - main_a7ddrphy_dfi_p2_rddata[8] <= main_a7ddrphy_bitslip82[4]; - main_a7ddrphy_dfi_p2_rddata[24] <= main_a7ddrphy_bitslip82[5]; - main_a7ddrphy_dfi_p2_rddata[9] <= main_a7ddrphy_bitslip92[4]; - main_a7ddrphy_dfi_p2_rddata[25] <= main_a7ddrphy_bitslip92[5]; - main_a7ddrphy_dfi_p2_rddata[10] <= main_a7ddrphy_bitslip102[4]; - main_a7ddrphy_dfi_p2_rddata[26] <= main_a7ddrphy_bitslip102[5]; - main_a7ddrphy_dfi_p2_rddata[11] <= main_a7ddrphy_bitslip112[4]; - main_a7ddrphy_dfi_p2_rddata[27] <= main_a7ddrphy_bitslip112[5]; - main_a7ddrphy_dfi_p2_rddata[12] <= main_a7ddrphy_bitslip122[4]; - main_a7ddrphy_dfi_p2_rddata[28] <= main_a7ddrphy_bitslip122[5]; - main_a7ddrphy_dfi_p2_rddata[13] <= main_a7ddrphy_bitslip132[4]; - main_a7ddrphy_dfi_p2_rddata[29] <= main_a7ddrphy_bitslip132[5]; - main_a7ddrphy_dfi_p2_rddata[14] <= main_a7ddrphy_bitslip142[4]; - main_a7ddrphy_dfi_p2_rddata[30] <= main_a7ddrphy_bitslip142[5]; - main_a7ddrphy_dfi_p2_rddata[15] <= main_a7ddrphy_bitslip152[4]; - main_a7ddrphy_dfi_p2_rddata[31] <= main_a7ddrphy_bitslip152[5]; -end -always @(*) begin - main_a7ddrphy_dfi_p3_rddata <= 32'd0; - main_a7ddrphy_dfi_p3_rddata[0] <= main_a7ddrphy_bitslip04[6]; - main_a7ddrphy_dfi_p3_rddata[16] <= main_a7ddrphy_bitslip04[7]; - main_a7ddrphy_dfi_p3_rddata[1] <= main_a7ddrphy_bitslip14[6]; - main_a7ddrphy_dfi_p3_rddata[17] <= main_a7ddrphy_bitslip14[7]; - main_a7ddrphy_dfi_p3_rddata[2] <= main_a7ddrphy_bitslip22[6]; - main_a7ddrphy_dfi_p3_rddata[18] <= main_a7ddrphy_bitslip22[7]; - main_a7ddrphy_dfi_p3_rddata[3] <= main_a7ddrphy_bitslip32[6]; - main_a7ddrphy_dfi_p3_rddata[19] <= main_a7ddrphy_bitslip32[7]; - main_a7ddrphy_dfi_p3_rddata[4] <= main_a7ddrphy_bitslip42[6]; - main_a7ddrphy_dfi_p3_rddata[20] <= main_a7ddrphy_bitslip42[7]; - main_a7ddrphy_dfi_p3_rddata[5] <= main_a7ddrphy_bitslip52[6]; - main_a7ddrphy_dfi_p3_rddata[21] <= main_a7ddrphy_bitslip52[7]; - main_a7ddrphy_dfi_p3_rddata[6] <= main_a7ddrphy_bitslip62[6]; - main_a7ddrphy_dfi_p3_rddata[22] <= main_a7ddrphy_bitslip62[7]; - main_a7ddrphy_dfi_p3_rddata[7] <= main_a7ddrphy_bitslip72[6]; - main_a7ddrphy_dfi_p3_rddata[23] <= main_a7ddrphy_bitslip72[7]; - main_a7ddrphy_dfi_p3_rddata[8] <= main_a7ddrphy_bitslip82[6]; - main_a7ddrphy_dfi_p3_rddata[24] <= main_a7ddrphy_bitslip82[7]; - main_a7ddrphy_dfi_p3_rddata[9] <= main_a7ddrphy_bitslip92[6]; - main_a7ddrphy_dfi_p3_rddata[25] <= main_a7ddrphy_bitslip92[7]; - main_a7ddrphy_dfi_p3_rddata[10] <= main_a7ddrphy_bitslip102[6]; - main_a7ddrphy_dfi_p3_rddata[26] <= main_a7ddrphy_bitslip102[7]; - main_a7ddrphy_dfi_p3_rddata[11] <= main_a7ddrphy_bitslip112[6]; - main_a7ddrphy_dfi_p3_rddata[27] <= main_a7ddrphy_bitslip112[7]; - main_a7ddrphy_dfi_p3_rddata[12] <= main_a7ddrphy_bitslip122[6]; - main_a7ddrphy_dfi_p3_rddata[28] <= main_a7ddrphy_bitslip122[7]; - main_a7ddrphy_dfi_p3_rddata[13] <= main_a7ddrphy_bitslip132[6]; - main_a7ddrphy_dfi_p3_rddata[29] <= main_a7ddrphy_bitslip132[7]; - main_a7ddrphy_dfi_p3_rddata[14] <= main_a7ddrphy_bitslip142[6]; - main_a7ddrphy_dfi_p3_rddata[30] <= main_a7ddrphy_bitslip142[7]; - main_a7ddrphy_dfi_p3_rddata[15] <= main_a7ddrphy_bitslip152[6]; - main_a7ddrphy_dfi_p3_rddata[31] <= main_a7ddrphy_bitslip152[7]; -end -assign main_a7ddrphy_dfi_p0_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dfi_p1_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dfi_p2_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dfi_p3_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dq_oe = main_a7ddrphy_wrdata_en_tappeddelayline1; -always @(*) begin - main_a7ddrphy_dqs_oe <= 1'd0; - if (main_a7ddrphy_wlevel_en_storage) begin - main_a7ddrphy_dqs_oe <= 1'd1; - end else begin - main_a7ddrphy_dqs_oe <= main_a7ddrphy_dq_oe; - end -end -assign main_a7ddrphy_dqs_preamble = (main_a7ddrphy_wrdata_en_tappeddelayline0 & (~main_a7ddrphy_wrdata_en_tappeddelayline1)); -assign main_a7ddrphy_dqs_postamble = (main_a7ddrphy_wrdata_en_tappeddelayline2 & (~main_a7ddrphy_wrdata_en_tappeddelayline1)); -always @(*) begin - main_a7ddrphy_dqspattern_o0 <= 8'd0; - main_a7ddrphy_dqspattern_o0 <= 7'd85; - if (main_a7ddrphy_dqspattern0) begin - main_a7ddrphy_dqspattern_o0 <= 5'd21; - end - if (main_a7ddrphy_dqspattern1) begin - main_a7ddrphy_dqspattern_o0 <= 7'd84; - end - if (main_a7ddrphy_wlevel_en_storage) begin - main_a7ddrphy_dqspattern_o0 <= 1'd0; - if (main_a7ddrphy_wlevel_strobe_re) begin - main_a7ddrphy_dqspattern_o0 <= 1'd1; - end - end -end -always @(*) begin - main_a7ddrphy_bitslip00 <= 8'd0; - case (main_a7ddrphy_bitslip0_value0) +assign user_enable = 1'd1; +assign user_port_cmd_valid = (user_port_native_0_cmd_valid & user_enable); +assign user_port_native_0_cmd_ready = (user_port_cmd_ready & user_enable); +assign user_port_cmd_payload_we = user_port_native_0_cmd_we; +assign user_port_cmd_payload_addr = user_port_native_0_cmd_addr; +assign user_port_wdata_valid = (user_port_native_0_wdata_valid & user_enable); +assign user_port_native_0_wdata_ready = (user_port_wdata_ready & user_enable); +assign user_port_wdata_payload_we = user_port_native_0_wdata_we; +assign user_port_wdata_payload_data = user_port_native_0_wdata_data; +assign user_port_native_0_rdata_valid = (user_port_rdata_valid & user_enable); +assign user_port_rdata_ready = (user_port_native_0_rdata_ready & user_enable); +assign user_port_native_0_rdata_data = user_port_rdata_payload_data; +assign reset = (rst | rst_1); +assign pll_locked = locked; +assign clkin = clk; +assign iodelay_clk = clkout_buf0; +assign sys_clk = clkout_buf1; +assign sys4x_clk = clkout_buf2; +assign sys4x_dqs_clk = clkout_buf3; +assign ddram_ba = a7ddrphy_pads_ba; +assign a7ddrphy_dqs_oe_delay_tappeddelayline = ((a7ddrphy_dqs_preamble | a7ddrphy_dqs_oe) | a7ddrphy_dqs_postamble); +assign a7ddrphy_dq_oe_delay_tappeddelayline = ((a7ddrphy_dqs_preamble | a7ddrphy_dq_oe) | a7ddrphy_dqs_postamble); +always @(*) begin + a7ddrphy_dfi_p0_rddata <= 32'd0; + a7ddrphy_dfi_p0_rddata[0] <= a7ddrphy_bitslip04[0]; + a7ddrphy_dfi_p0_rddata[16] <= a7ddrphy_bitslip04[1]; + a7ddrphy_dfi_p0_rddata[1] <= a7ddrphy_bitslip14[0]; + a7ddrphy_dfi_p0_rddata[17] <= a7ddrphy_bitslip14[1]; + a7ddrphy_dfi_p0_rddata[2] <= a7ddrphy_bitslip22[0]; + a7ddrphy_dfi_p0_rddata[18] <= a7ddrphy_bitslip22[1]; + a7ddrphy_dfi_p0_rddata[3] <= a7ddrphy_bitslip32[0]; + a7ddrphy_dfi_p0_rddata[19] <= a7ddrphy_bitslip32[1]; + a7ddrphy_dfi_p0_rddata[4] <= a7ddrphy_bitslip42[0]; + a7ddrphy_dfi_p0_rddata[20] <= a7ddrphy_bitslip42[1]; + a7ddrphy_dfi_p0_rddata[5] <= a7ddrphy_bitslip52[0]; + a7ddrphy_dfi_p0_rddata[21] <= a7ddrphy_bitslip52[1]; + a7ddrphy_dfi_p0_rddata[6] <= a7ddrphy_bitslip62[0]; + a7ddrphy_dfi_p0_rddata[22] <= a7ddrphy_bitslip62[1]; + a7ddrphy_dfi_p0_rddata[7] <= a7ddrphy_bitslip72[0]; + a7ddrphy_dfi_p0_rddata[23] <= a7ddrphy_bitslip72[1]; + a7ddrphy_dfi_p0_rddata[8] <= a7ddrphy_bitslip82[0]; + a7ddrphy_dfi_p0_rddata[24] <= a7ddrphy_bitslip82[1]; + a7ddrphy_dfi_p0_rddata[9] <= a7ddrphy_bitslip92[0]; + a7ddrphy_dfi_p0_rddata[25] <= a7ddrphy_bitslip92[1]; + a7ddrphy_dfi_p0_rddata[10] <= a7ddrphy_bitslip102[0]; + a7ddrphy_dfi_p0_rddata[26] <= a7ddrphy_bitslip102[1]; + a7ddrphy_dfi_p0_rddata[11] <= a7ddrphy_bitslip112[0]; + a7ddrphy_dfi_p0_rddata[27] <= a7ddrphy_bitslip112[1]; + a7ddrphy_dfi_p0_rddata[12] <= a7ddrphy_bitslip122[0]; + a7ddrphy_dfi_p0_rddata[28] <= a7ddrphy_bitslip122[1]; + a7ddrphy_dfi_p0_rddata[13] <= a7ddrphy_bitslip132[0]; + a7ddrphy_dfi_p0_rddata[29] <= a7ddrphy_bitslip132[1]; + a7ddrphy_dfi_p0_rddata[14] <= a7ddrphy_bitslip142[0]; + a7ddrphy_dfi_p0_rddata[30] <= a7ddrphy_bitslip142[1]; + a7ddrphy_dfi_p0_rddata[15] <= a7ddrphy_bitslip152[0]; + a7ddrphy_dfi_p0_rddata[31] <= a7ddrphy_bitslip152[1]; +end +always @(*) begin + a7ddrphy_dfi_p1_rddata <= 32'd0; + a7ddrphy_dfi_p1_rddata[0] <= a7ddrphy_bitslip04[2]; + a7ddrphy_dfi_p1_rddata[16] <= a7ddrphy_bitslip04[3]; + a7ddrphy_dfi_p1_rddata[1] <= a7ddrphy_bitslip14[2]; + a7ddrphy_dfi_p1_rddata[17] <= a7ddrphy_bitslip14[3]; + a7ddrphy_dfi_p1_rddata[2] <= a7ddrphy_bitslip22[2]; + a7ddrphy_dfi_p1_rddata[18] <= a7ddrphy_bitslip22[3]; + a7ddrphy_dfi_p1_rddata[3] <= a7ddrphy_bitslip32[2]; + a7ddrphy_dfi_p1_rddata[19] <= a7ddrphy_bitslip32[3]; + a7ddrphy_dfi_p1_rddata[4] <= a7ddrphy_bitslip42[2]; + a7ddrphy_dfi_p1_rddata[20] <= a7ddrphy_bitslip42[3]; + a7ddrphy_dfi_p1_rddata[5] <= a7ddrphy_bitslip52[2]; + a7ddrphy_dfi_p1_rddata[21] <= a7ddrphy_bitslip52[3]; + a7ddrphy_dfi_p1_rddata[6] <= a7ddrphy_bitslip62[2]; + a7ddrphy_dfi_p1_rddata[22] <= a7ddrphy_bitslip62[3]; + a7ddrphy_dfi_p1_rddata[7] <= a7ddrphy_bitslip72[2]; + a7ddrphy_dfi_p1_rddata[23] <= a7ddrphy_bitslip72[3]; + a7ddrphy_dfi_p1_rddata[8] <= a7ddrphy_bitslip82[2]; + a7ddrphy_dfi_p1_rddata[24] <= a7ddrphy_bitslip82[3]; + a7ddrphy_dfi_p1_rddata[9] <= a7ddrphy_bitslip92[2]; + a7ddrphy_dfi_p1_rddata[25] <= a7ddrphy_bitslip92[3]; + a7ddrphy_dfi_p1_rddata[10] <= a7ddrphy_bitslip102[2]; + a7ddrphy_dfi_p1_rddata[26] <= a7ddrphy_bitslip102[3]; + a7ddrphy_dfi_p1_rddata[11] <= a7ddrphy_bitslip112[2]; + a7ddrphy_dfi_p1_rddata[27] <= a7ddrphy_bitslip112[3]; + a7ddrphy_dfi_p1_rddata[12] <= a7ddrphy_bitslip122[2]; + a7ddrphy_dfi_p1_rddata[28] <= a7ddrphy_bitslip122[3]; + a7ddrphy_dfi_p1_rddata[13] <= a7ddrphy_bitslip132[2]; + a7ddrphy_dfi_p1_rddata[29] <= a7ddrphy_bitslip132[3]; + a7ddrphy_dfi_p1_rddata[14] <= a7ddrphy_bitslip142[2]; + a7ddrphy_dfi_p1_rddata[30] <= a7ddrphy_bitslip142[3]; + a7ddrphy_dfi_p1_rddata[15] <= a7ddrphy_bitslip152[2]; + a7ddrphy_dfi_p1_rddata[31] <= a7ddrphy_bitslip152[3]; +end +always @(*) begin + a7ddrphy_dfi_p2_rddata <= 32'd0; + a7ddrphy_dfi_p2_rddata[0] <= a7ddrphy_bitslip04[4]; + a7ddrphy_dfi_p2_rddata[16] <= a7ddrphy_bitslip04[5]; + a7ddrphy_dfi_p2_rddata[1] <= a7ddrphy_bitslip14[4]; + a7ddrphy_dfi_p2_rddata[17] <= a7ddrphy_bitslip14[5]; + a7ddrphy_dfi_p2_rddata[2] <= a7ddrphy_bitslip22[4]; + a7ddrphy_dfi_p2_rddata[18] <= a7ddrphy_bitslip22[5]; + a7ddrphy_dfi_p2_rddata[3] <= a7ddrphy_bitslip32[4]; + a7ddrphy_dfi_p2_rddata[19] <= a7ddrphy_bitslip32[5]; + a7ddrphy_dfi_p2_rddata[4] <= a7ddrphy_bitslip42[4]; + a7ddrphy_dfi_p2_rddata[20] <= a7ddrphy_bitslip42[5]; + a7ddrphy_dfi_p2_rddata[5] <= a7ddrphy_bitslip52[4]; + a7ddrphy_dfi_p2_rddata[21] <= a7ddrphy_bitslip52[5]; + a7ddrphy_dfi_p2_rddata[6] <= a7ddrphy_bitslip62[4]; + a7ddrphy_dfi_p2_rddata[22] <= a7ddrphy_bitslip62[5]; + a7ddrphy_dfi_p2_rddata[7] <= a7ddrphy_bitslip72[4]; + a7ddrphy_dfi_p2_rddata[23] <= a7ddrphy_bitslip72[5]; + a7ddrphy_dfi_p2_rddata[8] <= a7ddrphy_bitslip82[4]; + a7ddrphy_dfi_p2_rddata[24] <= a7ddrphy_bitslip82[5]; + a7ddrphy_dfi_p2_rddata[9] <= a7ddrphy_bitslip92[4]; + a7ddrphy_dfi_p2_rddata[25] <= a7ddrphy_bitslip92[5]; + a7ddrphy_dfi_p2_rddata[10] <= a7ddrphy_bitslip102[4]; + a7ddrphy_dfi_p2_rddata[26] <= a7ddrphy_bitslip102[5]; + a7ddrphy_dfi_p2_rddata[11] <= a7ddrphy_bitslip112[4]; + a7ddrphy_dfi_p2_rddata[27] <= a7ddrphy_bitslip112[5]; + a7ddrphy_dfi_p2_rddata[12] <= a7ddrphy_bitslip122[4]; + a7ddrphy_dfi_p2_rddata[28] <= a7ddrphy_bitslip122[5]; + a7ddrphy_dfi_p2_rddata[13] <= a7ddrphy_bitslip132[4]; + a7ddrphy_dfi_p2_rddata[29] <= a7ddrphy_bitslip132[5]; + a7ddrphy_dfi_p2_rddata[14] <= a7ddrphy_bitslip142[4]; + a7ddrphy_dfi_p2_rddata[30] <= a7ddrphy_bitslip142[5]; + a7ddrphy_dfi_p2_rddata[15] <= a7ddrphy_bitslip152[4]; + a7ddrphy_dfi_p2_rddata[31] <= a7ddrphy_bitslip152[5]; +end +always @(*) begin + a7ddrphy_dfi_p3_rddata <= 32'd0; + a7ddrphy_dfi_p3_rddata[0] <= a7ddrphy_bitslip04[6]; + a7ddrphy_dfi_p3_rddata[16] <= a7ddrphy_bitslip04[7]; + a7ddrphy_dfi_p3_rddata[1] <= a7ddrphy_bitslip14[6]; + a7ddrphy_dfi_p3_rddata[17] <= a7ddrphy_bitslip14[7]; + a7ddrphy_dfi_p3_rddata[2] <= a7ddrphy_bitslip22[6]; + a7ddrphy_dfi_p3_rddata[18] <= a7ddrphy_bitslip22[7]; + a7ddrphy_dfi_p3_rddata[3] <= a7ddrphy_bitslip32[6]; + a7ddrphy_dfi_p3_rddata[19] <= a7ddrphy_bitslip32[7]; + a7ddrphy_dfi_p3_rddata[4] <= a7ddrphy_bitslip42[6]; + a7ddrphy_dfi_p3_rddata[20] <= a7ddrphy_bitslip42[7]; + a7ddrphy_dfi_p3_rddata[5] <= a7ddrphy_bitslip52[6]; + a7ddrphy_dfi_p3_rddata[21] <= a7ddrphy_bitslip52[7]; + a7ddrphy_dfi_p3_rddata[6] <= a7ddrphy_bitslip62[6]; + a7ddrphy_dfi_p3_rddata[22] <= a7ddrphy_bitslip62[7]; + a7ddrphy_dfi_p3_rddata[7] <= a7ddrphy_bitslip72[6]; + a7ddrphy_dfi_p3_rddata[23] <= a7ddrphy_bitslip72[7]; + a7ddrphy_dfi_p3_rddata[8] <= a7ddrphy_bitslip82[6]; + a7ddrphy_dfi_p3_rddata[24] <= a7ddrphy_bitslip82[7]; + a7ddrphy_dfi_p3_rddata[9] <= a7ddrphy_bitslip92[6]; + a7ddrphy_dfi_p3_rddata[25] <= a7ddrphy_bitslip92[7]; + a7ddrphy_dfi_p3_rddata[10] <= a7ddrphy_bitslip102[6]; + a7ddrphy_dfi_p3_rddata[26] <= a7ddrphy_bitslip102[7]; + a7ddrphy_dfi_p3_rddata[11] <= a7ddrphy_bitslip112[6]; + a7ddrphy_dfi_p3_rddata[27] <= a7ddrphy_bitslip112[7]; + a7ddrphy_dfi_p3_rddata[12] <= a7ddrphy_bitslip122[6]; + a7ddrphy_dfi_p3_rddata[28] <= a7ddrphy_bitslip122[7]; + a7ddrphy_dfi_p3_rddata[13] <= a7ddrphy_bitslip132[6]; + a7ddrphy_dfi_p3_rddata[29] <= a7ddrphy_bitslip132[7]; + a7ddrphy_dfi_p3_rddata[14] <= a7ddrphy_bitslip142[6]; + a7ddrphy_dfi_p3_rddata[30] <= a7ddrphy_bitslip142[7]; + a7ddrphy_dfi_p3_rddata[15] <= a7ddrphy_bitslip152[6]; + a7ddrphy_dfi_p3_rddata[31] <= a7ddrphy_bitslip152[7]; +end +assign a7ddrphy_dfi_p0_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dfi_p1_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dfi_p2_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dfi_p3_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dq_oe = a7ddrphy_wrdata_en_tappeddelayline1; +always @(*) begin + a7ddrphy_dqs_oe <= 1'd0; + if (a7ddrphy_wlevel_en_storage) begin + a7ddrphy_dqs_oe <= 1'd1; + end else begin + a7ddrphy_dqs_oe <= a7ddrphy_dq_oe; + end +end +assign a7ddrphy_dqs_preamble = (a7ddrphy_wrdata_en_tappeddelayline0 & (~a7ddrphy_wrdata_en_tappeddelayline1)); +assign a7ddrphy_dqs_postamble = (a7ddrphy_wrdata_en_tappeddelayline2 & (~a7ddrphy_wrdata_en_tappeddelayline1)); +always @(*) begin + a7ddrphy_dqspattern_o0 <= 8'd0; + a7ddrphy_dqspattern_o0 <= 7'd85; + if (a7ddrphy_dqspattern0) begin + a7ddrphy_dqspattern_o0 <= 5'd21; + end + if (a7ddrphy_dqspattern1) begin + a7ddrphy_dqspattern_o0 <= 7'd84; + end + if (a7ddrphy_wlevel_en_storage) begin + a7ddrphy_dqspattern_o0 <= 1'd0; + if (a7ddrphy_wlevel_strobe_re) begin + a7ddrphy_dqspattern_o0 <= 1'd1; + end + end +end +always @(*) begin + a7ddrphy_bitslip00 <= 8'd0; + case (a7ddrphy_bitslip0_value0) 1'd0: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[8:1]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[9:2]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[10:3]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[11:4]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[12:5]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[13:6]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[14:7]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[15:8]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip10 <= 8'd0; - case (main_a7ddrphy_bitslip1_value0) + a7ddrphy_bitslip10 <= 8'd0; + case (a7ddrphy_bitslip1_value0) 1'd0: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[8:1]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[9:2]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[10:3]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[11:4]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[12:5]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[13:6]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[14:7]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[15:8]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip01 <= 8'd0; - case (main_a7ddrphy_bitslip0_value1) + a7ddrphy_bitslip01 <= 8'd0; + case (a7ddrphy_bitslip0_value1) 1'd0: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[8:1]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[9:2]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[10:3]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[11:4]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[12:5]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[13:6]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[14:7]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[15:8]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip11 <= 8'd0; - case (main_a7ddrphy_bitslip1_value1) + a7ddrphy_bitslip11 <= 8'd0; + case (a7ddrphy_bitslip1_value1) 1'd0: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[8:1]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[9:2]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[10:3]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[11:4]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[12:5]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[13:6]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[14:7]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[15:8]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip02 <= 8'd0; - case (main_a7ddrphy_bitslip0_value2) + a7ddrphy_bitslip02 <= 8'd0; + case (a7ddrphy_bitslip0_value2) 1'd0: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[8:1]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[9:2]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[10:3]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[11:4]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[12:5]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[13:6]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[14:7]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[15:8]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip04 <= 8'd0; - case (main_a7ddrphy_bitslip0_value3) + a7ddrphy_bitslip04 <= 8'd0; + case (a7ddrphy_bitslip0_value3) 1'd0: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[8:1]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[9:2]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[10:3]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[11:4]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[12:5]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[13:6]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[14:7]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[15:8]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip12 <= 8'd0; - case (main_a7ddrphy_bitslip1_value2) + a7ddrphy_bitslip12 <= 8'd0; + case (a7ddrphy_bitslip1_value2) 1'd0: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[8:1]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[9:2]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[10:3]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[11:4]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[12:5]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[13:6]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[14:7]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[15:8]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip14 <= 8'd0; - case (main_a7ddrphy_bitslip1_value3) + a7ddrphy_bitslip14 <= 8'd0; + case (a7ddrphy_bitslip1_value3) 1'd0: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[8:1]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[9:2]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[10:3]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[11:4]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[12:5]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[13:6]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[14:7]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[15:8]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip20 <= 8'd0; - case (main_a7ddrphy_bitslip2_value0) + a7ddrphy_bitslip20 <= 8'd0; + case (a7ddrphy_bitslip2_value0) 1'd0: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[8:1]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[9:2]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[10:3]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[11:4]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[12:5]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[13:6]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[14:7]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[15:8]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip22 <= 8'd0; - case (main_a7ddrphy_bitslip2_value1) + a7ddrphy_bitslip22 <= 8'd0; + case (a7ddrphy_bitslip2_value1) 1'd0: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[8:1]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[9:2]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[10:3]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[11:4]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[12:5]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[13:6]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[14:7]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[15:8]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip30 <= 8'd0; - case (main_a7ddrphy_bitslip3_value0) + a7ddrphy_bitslip30 <= 8'd0; + case (a7ddrphy_bitslip3_value0) 1'd0: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[8:1]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[9:2]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[10:3]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[11:4]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[12:5]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[13:6]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[14:7]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[15:8]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip32 <= 8'd0; - case (main_a7ddrphy_bitslip3_value1) + a7ddrphy_bitslip32 <= 8'd0; + case (a7ddrphy_bitslip3_value1) 1'd0: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[8:1]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[9:2]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[10:3]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[11:4]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[12:5]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[13:6]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[14:7]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[15:8]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip40 <= 8'd0; - case (main_a7ddrphy_bitslip4_value0) + a7ddrphy_bitslip40 <= 8'd0; + case (a7ddrphy_bitslip4_value0) 1'd0: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[8:1]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[9:2]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[10:3]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[11:4]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[12:5]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[13:6]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[14:7]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[15:8]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip42 <= 8'd0; - case (main_a7ddrphy_bitslip4_value1) + a7ddrphy_bitslip42 <= 8'd0; + case (a7ddrphy_bitslip4_value1) 1'd0: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[8:1]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[9:2]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[10:3]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[11:4]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[12:5]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[13:6]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[14:7]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[15:8]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip50 <= 8'd0; - case (main_a7ddrphy_bitslip5_value0) + a7ddrphy_bitslip50 <= 8'd0; + case (a7ddrphy_bitslip5_value0) 1'd0: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[8:1]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[9:2]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[10:3]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[11:4]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[12:5]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[13:6]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[14:7]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[15:8]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip52 <= 8'd0; - case (main_a7ddrphy_bitslip5_value1) + a7ddrphy_bitslip52 <= 8'd0; + case (a7ddrphy_bitslip5_value1) 1'd0: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[8:1]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[9:2]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[10:3]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[11:4]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[12:5]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[13:6]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[14:7]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[15:8]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip60 <= 8'd0; - case (main_a7ddrphy_bitslip6_value0) + a7ddrphy_bitslip60 <= 8'd0; + case (a7ddrphy_bitslip6_value0) 1'd0: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[8:1]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[9:2]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[10:3]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[11:4]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[12:5]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[13:6]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[14:7]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[15:8]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip62 <= 8'd0; - case (main_a7ddrphy_bitslip6_value1) + a7ddrphy_bitslip62 <= 8'd0; + case (a7ddrphy_bitslip6_value1) 1'd0: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[8:1]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[9:2]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[10:3]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[11:4]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[12:5]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[13:6]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[14:7]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[15:8]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip70 <= 8'd0; - case (main_a7ddrphy_bitslip7_value0) + a7ddrphy_bitslip70 <= 8'd0; + case (a7ddrphy_bitslip7_value0) 1'd0: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[8:1]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[9:2]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[10:3]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[11:4]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[12:5]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[13:6]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[14:7]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[15:8]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip72 <= 8'd0; - case (main_a7ddrphy_bitslip7_value1) + a7ddrphy_bitslip72 <= 8'd0; + case (a7ddrphy_bitslip7_value1) 1'd0: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[8:1]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[9:2]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[10:3]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[11:4]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[12:5]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[13:6]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[14:7]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[15:8]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip80 <= 8'd0; - case (main_a7ddrphy_bitslip8_value0) + a7ddrphy_bitslip80 <= 8'd0; + case (a7ddrphy_bitslip8_value0) 1'd0: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[8:1]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[9:2]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[10:3]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[11:4]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[12:5]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[13:6]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[14:7]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[15:8]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip82 <= 8'd0; - case (main_a7ddrphy_bitslip8_value1) + a7ddrphy_bitslip82 <= 8'd0; + case (a7ddrphy_bitslip8_value1) 1'd0: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[8:1]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[9:2]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[10:3]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[11:4]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[12:5]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[13:6]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[14:7]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[15:8]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip90 <= 8'd0; - case (main_a7ddrphy_bitslip9_value0) + a7ddrphy_bitslip90 <= 8'd0; + case (a7ddrphy_bitslip9_value0) 1'd0: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[8:1]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[9:2]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[10:3]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[11:4]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[12:5]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[13:6]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[14:7]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[15:8]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip92 <= 8'd0; - case (main_a7ddrphy_bitslip9_value1) + a7ddrphy_bitslip92 <= 8'd0; + case (a7ddrphy_bitslip9_value1) 1'd0: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[8:1]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[9:2]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[10:3]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[11:4]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[12:5]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[13:6]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[14:7]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[15:8]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip100 <= 8'd0; - case (main_a7ddrphy_bitslip10_value0) + a7ddrphy_bitslip100 <= 8'd0; + case (a7ddrphy_bitslip10_value0) 1'd0: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[8:1]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[9:2]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[10:3]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[11:4]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[12:5]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[13:6]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[14:7]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[15:8]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip102 <= 8'd0; - case (main_a7ddrphy_bitslip10_value1) + a7ddrphy_bitslip102 <= 8'd0; + case (a7ddrphy_bitslip10_value1) 1'd0: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[8:1]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[9:2]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[10:3]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[11:4]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[12:5]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[13:6]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[14:7]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[15:8]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip110 <= 8'd0; - case (main_a7ddrphy_bitslip11_value0) + a7ddrphy_bitslip110 <= 8'd0; + case (a7ddrphy_bitslip11_value0) 1'd0: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[8:1]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[9:2]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[10:3]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[11:4]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[12:5]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[13:6]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[14:7]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[15:8]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip112 <= 8'd0; - case (main_a7ddrphy_bitslip11_value1) + a7ddrphy_bitslip112 <= 8'd0; + case (a7ddrphy_bitslip11_value1) 1'd0: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[8:1]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[9:2]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[10:3]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[11:4]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[12:5]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[13:6]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[14:7]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[15:8]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip120 <= 8'd0; - case (main_a7ddrphy_bitslip12_value0) + a7ddrphy_bitslip120 <= 8'd0; + case (a7ddrphy_bitslip12_value0) 1'd0: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[8:1]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[9:2]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[10:3]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[11:4]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[12:5]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[13:6]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[14:7]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[15:8]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip122 <= 8'd0; - case (main_a7ddrphy_bitslip12_value1) + a7ddrphy_bitslip122 <= 8'd0; + case (a7ddrphy_bitslip12_value1) 1'd0: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[8:1]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[9:2]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[10:3]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[11:4]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[12:5]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[13:6]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[14:7]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[15:8]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip130 <= 8'd0; - case (main_a7ddrphy_bitslip13_value0) + a7ddrphy_bitslip130 <= 8'd0; + case (a7ddrphy_bitslip13_value0) 1'd0: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[8:1]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[9:2]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[10:3]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[11:4]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[12:5]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[13:6]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[14:7]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[15:8]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip132 <= 8'd0; - case (main_a7ddrphy_bitslip13_value1) + a7ddrphy_bitslip132 <= 8'd0; + case (a7ddrphy_bitslip13_value1) 1'd0: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[8:1]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[9:2]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[10:3]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[11:4]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[12:5]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[13:6]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[14:7]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[15:8]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip140 <= 8'd0; - case (main_a7ddrphy_bitslip14_value0) + a7ddrphy_bitslip140 <= 8'd0; + case (a7ddrphy_bitslip14_value0) 1'd0: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[8:1]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[9:2]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[10:3]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[11:4]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[12:5]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[13:6]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[14:7]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[15:8]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip142 <= 8'd0; - case (main_a7ddrphy_bitslip14_value1) + a7ddrphy_bitslip142 <= 8'd0; + case (a7ddrphy_bitslip14_value1) 1'd0: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[8:1]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[9:2]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[10:3]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[11:4]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[12:5]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[13:6]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[14:7]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[15:8]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip150 <= 8'd0; - case (main_a7ddrphy_bitslip15_value0) + a7ddrphy_bitslip150 <= 8'd0; + case (a7ddrphy_bitslip15_value0) 1'd0: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[8:1]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[9:2]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[10:3]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[11:4]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[12:5]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[13:6]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[14:7]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[15:8]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip152 <= 8'd0; - case (main_a7ddrphy_bitslip15_value1) + a7ddrphy_bitslip152 <= 8'd0; + case (a7ddrphy_bitslip15_value1) 1'd0: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[8:1]; - end - 1'd1: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[9:2]; - end - 2'd2: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[10:3]; - end - 2'd3: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[11:4]; - end - 3'd4: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[12:5]; - end - 3'd5: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[13:6]; - end - 3'd6: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[14:7]; - end - 3'd7: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[15:8]; - end - endcase -end -assign main_a7ddrphy_dfi_p0_address = main_litedramcore_master_p0_address; -assign main_a7ddrphy_dfi_p0_bank = main_litedramcore_master_p0_bank; -assign main_a7ddrphy_dfi_p0_cas_n = main_litedramcore_master_p0_cas_n; -assign main_a7ddrphy_dfi_p0_cs_n = main_litedramcore_master_p0_cs_n; -assign main_a7ddrphy_dfi_p0_ras_n = main_litedramcore_master_p0_ras_n; -assign main_a7ddrphy_dfi_p0_we_n = main_litedramcore_master_p0_we_n; -assign main_a7ddrphy_dfi_p0_cke = main_litedramcore_master_p0_cke; -assign main_a7ddrphy_dfi_p0_odt = main_litedramcore_master_p0_odt; -assign main_a7ddrphy_dfi_p0_reset_n = main_litedramcore_master_p0_reset_n; -assign main_a7ddrphy_dfi_p0_act_n = main_litedramcore_master_p0_act_n; -assign main_a7ddrphy_dfi_p0_wrdata = main_litedramcore_master_p0_wrdata; -assign main_a7ddrphy_dfi_p0_wrdata_en = main_litedramcore_master_p0_wrdata_en; -assign main_a7ddrphy_dfi_p0_wrdata_mask = main_litedramcore_master_p0_wrdata_mask; -assign main_a7ddrphy_dfi_p0_rddata_en = main_litedramcore_master_p0_rddata_en; -assign main_litedramcore_master_p0_rddata = main_a7ddrphy_dfi_p0_rddata; -assign main_litedramcore_master_p0_rddata_valid = main_a7ddrphy_dfi_p0_rddata_valid; -assign main_a7ddrphy_dfi_p1_address = main_litedramcore_master_p1_address; -assign main_a7ddrphy_dfi_p1_bank = main_litedramcore_master_p1_bank; -assign main_a7ddrphy_dfi_p1_cas_n = main_litedramcore_master_p1_cas_n; -assign main_a7ddrphy_dfi_p1_cs_n = main_litedramcore_master_p1_cs_n; -assign main_a7ddrphy_dfi_p1_ras_n = main_litedramcore_master_p1_ras_n; -assign main_a7ddrphy_dfi_p1_we_n = main_litedramcore_master_p1_we_n; -assign main_a7ddrphy_dfi_p1_cke = main_litedramcore_master_p1_cke; -assign main_a7ddrphy_dfi_p1_odt = main_litedramcore_master_p1_odt; -assign main_a7ddrphy_dfi_p1_reset_n = main_litedramcore_master_p1_reset_n; -assign main_a7ddrphy_dfi_p1_act_n = main_litedramcore_master_p1_act_n; -assign main_a7ddrphy_dfi_p1_wrdata = main_litedramcore_master_p1_wrdata; -assign main_a7ddrphy_dfi_p1_wrdata_en = main_litedramcore_master_p1_wrdata_en; -assign main_a7ddrphy_dfi_p1_wrdata_mask = main_litedramcore_master_p1_wrdata_mask; -assign main_a7ddrphy_dfi_p1_rddata_en = main_litedramcore_master_p1_rddata_en; -assign main_litedramcore_master_p1_rddata = main_a7ddrphy_dfi_p1_rddata; -assign main_litedramcore_master_p1_rddata_valid = main_a7ddrphy_dfi_p1_rddata_valid; -assign main_a7ddrphy_dfi_p2_address = main_litedramcore_master_p2_address; -assign main_a7ddrphy_dfi_p2_bank = main_litedramcore_master_p2_bank; -assign main_a7ddrphy_dfi_p2_cas_n = main_litedramcore_master_p2_cas_n; -assign main_a7ddrphy_dfi_p2_cs_n = main_litedramcore_master_p2_cs_n; -assign main_a7ddrphy_dfi_p2_ras_n = main_litedramcore_master_p2_ras_n; -assign main_a7ddrphy_dfi_p2_we_n = main_litedramcore_master_p2_we_n; -assign main_a7ddrphy_dfi_p2_cke = main_litedramcore_master_p2_cke; -assign main_a7ddrphy_dfi_p2_odt = main_litedramcore_master_p2_odt; -assign main_a7ddrphy_dfi_p2_reset_n = main_litedramcore_master_p2_reset_n; -assign main_a7ddrphy_dfi_p2_act_n = main_litedramcore_master_p2_act_n; -assign main_a7ddrphy_dfi_p2_wrdata = main_litedramcore_master_p2_wrdata; -assign main_a7ddrphy_dfi_p2_wrdata_en = main_litedramcore_master_p2_wrdata_en; -assign main_a7ddrphy_dfi_p2_wrdata_mask = main_litedramcore_master_p2_wrdata_mask; -assign main_a7ddrphy_dfi_p2_rddata_en = main_litedramcore_master_p2_rddata_en; -assign main_litedramcore_master_p2_rddata = main_a7ddrphy_dfi_p2_rddata; -assign main_litedramcore_master_p2_rddata_valid = main_a7ddrphy_dfi_p2_rddata_valid; -assign main_a7ddrphy_dfi_p3_address = main_litedramcore_master_p3_address; -assign main_a7ddrphy_dfi_p3_bank = main_litedramcore_master_p3_bank; -assign main_a7ddrphy_dfi_p3_cas_n = main_litedramcore_master_p3_cas_n; -assign main_a7ddrphy_dfi_p3_cs_n = main_litedramcore_master_p3_cs_n; -assign main_a7ddrphy_dfi_p3_ras_n = main_litedramcore_master_p3_ras_n; -assign main_a7ddrphy_dfi_p3_we_n = main_litedramcore_master_p3_we_n; -assign main_a7ddrphy_dfi_p3_cke = main_litedramcore_master_p3_cke; -assign main_a7ddrphy_dfi_p3_odt = main_litedramcore_master_p3_odt; -assign main_a7ddrphy_dfi_p3_reset_n = main_litedramcore_master_p3_reset_n; -assign main_a7ddrphy_dfi_p3_act_n = main_litedramcore_master_p3_act_n; -assign main_a7ddrphy_dfi_p3_wrdata = main_litedramcore_master_p3_wrdata; -assign main_a7ddrphy_dfi_p3_wrdata_en = main_litedramcore_master_p3_wrdata_en; -assign main_a7ddrphy_dfi_p3_wrdata_mask = main_litedramcore_master_p3_wrdata_mask; -assign main_a7ddrphy_dfi_p3_rddata_en = main_litedramcore_master_p3_rddata_en; -assign main_litedramcore_master_p3_rddata = main_a7ddrphy_dfi_p3_rddata; -assign main_litedramcore_master_p3_rddata_valid = main_a7ddrphy_dfi_p3_rddata_valid; -assign main_litedramcore_slave_p0_address = main_litedramcore_dfi_p0_address; -assign main_litedramcore_slave_p0_bank = main_litedramcore_dfi_p0_bank; -assign main_litedramcore_slave_p0_cas_n = main_litedramcore_dfi_p0_cas_n; -assign main_litedramcore_slave_p0_cs_n = main_litedramcore_dfi_p0_cs_n; -assign main_litedramcore_slave_p0_ras_n = main_litedramcore_dfi_p0_ras_n; -assign main_litedramcore_slave_p0_we_n = main_litedramcore_dfi_p0_we_n; -assign main_litedramcore_slave_p0_cke = main_litedramcore_dfi_p0_cke; -assign main_litedramcore_slave_p0_odt = main_litedramcore_dfi_p0_odt; -assign main_litedramcore_slave_p0_reset_n = main_litedramcore_dfi_p0_reset_n; -assign main_litedramcore_slave_p0_act_n = main_litedramcore_dfi_p0_act_n; -assign main_litedramcore_slave_p0_wrdata = main_litedramcore_dfi_p0_wrdata; -assign main_litedramcore_slave_p0_wrdata_en = main_litedramcore_dfi_p0_wrdata_en; -assign main_litedramcore_slave_p0_wrdata_mask = main_litedramcore_dfi_p0_wrdata_mask; -assign main_litedramcore_slave_p0_rddata_en = main_litedramcore_dfi_p0_rddata_en; -assign main_litedramcore_dfi_p0_rddata = main_litedramcore_slave_p0_rddata; -assign main_litedramcore_dfi_p0_rddata_valid = main_litedramcore_slave_p0_rddata_valid; -assign main_litedramcore_slave_p1_address = main_litedramcore_dfi_p1_address; -assign main_litedramcore_slave_p1_bank = main_litedramcore_dfi_p1_bank; -assign main_litedramcore_slave_p1_cas_n = main_litedramcore_dfi_p1_cas_n; -assign main_litedramcore_slave_p1_cs_n = main_litedramcore_dfi_p1_cs_n; -assign main_litedramcore_slave_p1_ras_n = main_litedramcore_dfi_p1_ras_n; -assign main_litedramcore_slave_p1_we_n = main_litedramcore_dfi_p1_we_n; -assign main_litedramcore_slave_p1_cke = main_litedramcore_dfi_p1_cke; -assign main_litedramcore_slave_p1_odt = main_litedramcore_dfi_p1_odt; -assign main_litedramcore_slave_p1_reset_n = main_litedramcore_dfi_p1_reset_n; -assign main_litedramcore_slave_p1_act_n = main_litedramcore_dfi_p1_act_n; -assign main_litedramcore_slave_p1_wrdata = main_litedramcore_dfi_p1_wrdata; -assign main_litedramcore_slave_p1_wrdata_en = main_litedramcore_dfi_p1_wrdata_en; -assign main_litedramcore_slave_p1_wrdata_mask = main_litedramcore_dfi_p1_wrdata_mask; -assign main_litedramcore_slave_p1_rddata_en = main_litedramcore_dfi_p1_rddata_en; -assign main_litedramcore_dfi_p1_rddata = main_litedramcore_slave_p1_rddata; -assign main_litedramcore_dfi_p1_rddata_valid = main_litedramcore_slave_p1_rddata_valid; -assign main_litedramcore_slave_p2_address = main_litedramcore_dfi_p2_address; -assign main_litedramcore_slave_p2_bank = main_litedramcore_dfi_p2_bank; -assign main_litedramcore_slave_p2_cas_n = main_litedramcore_dfi_p2_cas_n; -assign main_litedramcore_slave_p2_cs_n = main_litedramcore_dfi_p2_cs_n; -assign main_litedramcore_slave_p2_ras_n = main_litedramcore_dfi_p2_ras_n; -assign main_litedramcore_slave_p2_we_n = main_litedramcore_dfi_p2_we_n; -assign main_litedramcore_slave_p2_cke = main_litedramcore_dfi_p2_cke; -assign main_litedramcore_slave_p2_odt = main_litedramcore_dfi_p2_odt; -assign main_litedramcore_slave_p2_reset_n = main_litedramcore_dfi_p2_reset_n; -assign main_litedramcore_slave_p2_act_n = main_litedramcore_dfi_p2_act_n; -assign main_litedramcore_slave_p2_wrdata = main_litedramcore_dfi_p2_wrdata; -assign main_litedramcore_slave_p2_wrdata_en = main_litedramcore_dfi_p2_wrdata_en; -assign main_litedramcore_slave_p2_wrdata_mask = main_litedramcore_dfi_p2_wrdata_mask; -assign main_litedramcore_slave_p2_rddata_en = main_litedramcore_dfi_p2_rddata_en; -assign main_litedramcore_dfi_p2_rddata = main_litedramcore_slave_p2_rddata; -assign main_litedramcore_dfi_p2_rddata_valid = main_litedramcore_slave_p2_rddata_valid; -assign main_litedramcore_slave_p3_address = main_litedramcore_dfi_p3_address; -assign main_litedramcore_slave_p3_bank = main_litedramcore_dfi_p3_bank; -assign main_litedramcore_slave_p3_cas_n = main_litedramcore_dfi_p3_cas_n; -assign main_litedramcore_slave_p3_cs_n = main_litedramcore_dfi_p3_cs_n; -assign main_litedramcore_slave_p3_ras_n = main_litedramcore_dfi_p3_ras_n; -assign main_litedramcore_slave_p3_we_n = main_litedramcore_dfi_p3_we_n; -assign main_litedramcore_slave_p3_cke = main_litedramcore_dfi_p3_cke; -assign main_litedramcore_slave_p3_odt = main_litedramcore_dfi_p3_odt; -assign main_litedramcore_slave_p3_reset_n = main_litedramcore_dfi_p3_reset_n; -assign main_litedramcore_slave_p3_act_n = main_litedramcore_dfi_p3_act_n; -assign main_litedramcore_slave_p3_wrdata = main_litedramcore_dfi_p3_wrdata; -assign main_litedramcore_slave_p3_wrdata_en = main_litedramcore_dfi_p3_wrdata_en; -assign main_litedramcore_slave_p3_wrdata_mask = main_litedramcore_dfi_p3_wrdata_mask; -assign main_litedramcore_slave_p3_rddata_en = main_litedramcore_dfi_p3_rddata_en; -assign main_litedramcore_dfi_p3_rddata = main_litedramcore_slave_p3_rddata; -assign main_litedramcore_dfi_p3_rddata_valid = main_litedramcore_slave_p3_rddata_valid; + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[8:1]; + end + 1'd1: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[9:2]; + end + 2'd2: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[10:3]; + end + 2'd3: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[11:4]; + end + 3'd4: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[12:5]; + end + 3'd5: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[13:6]; + end + 3'd6: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[14:7]; + end + 3'd7: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[15:8]; + end + endcase +end +assign a7ddrphy_dfi_p0_address = litedramcore_master_p0_address; +assign a7ddrphy_dfi_p0_bank = litedramcore_master_p0_bank; +assign a7ddrphy_dfi_p0_cas_n = litedramcore_master_p0_cas_n; +assign a7ddrphy_dfi_p0_cs_n = litedramcore_master_p0_cs_n; +assign a7ddrphy_dfi_p0_ras_n = litedramcore_master_p0_ras_n; +assign a7ddrphy_dfi_p0_we_n = litedramcore_master_p0_we_n; +assign a7ddrphy_dfi_p0_cke = litedramcore_master_p0_cke; +assign a7ddrphy_dfi_p0_odt = litedramcore_master_p0_odt; +assign a7ddrphy_dfi_p0_reset_n = litedramcore_master_p0_reset_n; +assign a7ddrphy_dfi_p0_act_n = litedramcore_master_p0_act_n; +assign a7ddrphy_dfi_p0_wrdata = litedramcore_master_p0_wrdata; +assign a7ddrphy_dfi_p0_wrdata_en = litedramcore_master_p0_wrdata_en; +assign a7ddrphy_dfi_p0_wrdata_mask = litedramcore_master_p0_wrdata_mask; +assign a7ddrphy_dfi_p0_rddata_en = litedramcore_master_p0_rddata_en; +assign litedramcore_master_p0_rddata = a7ddrphy_dfi_p0_rddata; +assign litedramcore_master_p0_rddata_valid = a7ddrphy_dfi_p0_rddata_valid; +assign a7ddrphy_dfi_p1_address = litedramcore_master_p1_address; +assign a7ddrphy_dfi_p1_bank = litedramcore_master_p1_bank; +assign a7ddrphy_dfi_p1_cas_n = litedramcore_master_p1_cas_n; +assign a7ddrphy_dfi_p1_cs_n = litedramcore_master_p1_cs_n; +assign a7ddrphy_dfi_p1_ras_n = litedramcore_master_p1_ras_n; +assign a7ddrphy_dfi_p1_we_n = litedramcore_master_p1_we_n; +assign a7ddrphy_dfi_p1_cke = litedramcore_master_p1_cke; +assign a7ddrphy_dfi_p1_odt = litedramcore_master_p1_odt; +assign a7ddrphy_dfi_p1_reset_n = litedramcore_master_p1_reset_n; +assign a7ddrphy_dfi_p1_act_n = litedramcore_master_p1_act_n; +assign a7ddrphy_dfi_p1_wrdata = litedramcore_master_p1_wrdata; +assign a7ddrphy_dfi_p1_wrdata_en = litedramcore_master_p1_wrdata_en; +assign a7ddrphy_dfi_p1_wrdata_mask = litedramcore_master_p1_wrdata_mask; +assign a7ddrphy_dfi_p1_rddata_en = litedramcore_master_p1_rddata_en; +assign litedramcore_master_p1_rddata = a7ddrphy_dfi_p1_rddata; +assign litedramcore_master_p1_rddata_valid = a7ddrphy_dfi_p1_rddata_valid; +assign a7ddrphy_dfi_p2_address = litedramcore_master_p2_address; +assign a7ddrphy_dfi_p2_bank = litedramcore_master_p2_bank; +assign a7ddrphy_dfi_p2_cas_n = litedramcore_master_p2_cas_n; +assign a7ddrphy_dfi_p2_cs_n = litedramcore_master_p2_cs_n; +assign a7ddrphy_dfi_p2_ras_n = litedramcore_master_p2_ras_n; +assign a7ddrphy_dfi_p2_we_n = litedramcore_master_p2_we_n; +assign a7ddrphy_dfi_p2_cke = litedramcore_master_p2_cke; +assign a7ddrphy_dfi_p2_odt = litedramcore_master_p2_odt; +assign a7ddrphy_dfi_p2_reset_n = litedramcore_master_p2_reset_n; +assign a7ddrphy_dfi_p2_act_n = litedramcore_master_p2_act_n; +assign a7ddrphy_dfi_p2_wrdata = litedramcore_master_p2_wrdata; +assign a7ddrphy_dfi_p2_wrdata_en = litedramcore_master_p2_wrdata_en; +assign a7ddrphy_dfi_p2_wrdata_mask = litedramcore_master_p2_wrdata_mask; +assign a7ddrphy_dfi_p2_rddata_en = litedramcore_master_p2_rddata_en; +assign litedramcore_master_p2_rddata = a7ddrphy_dfi_p2_rddata; +assign litedramcore_master_p2_rddata_valid = a7ddrphy_dfi_p2_rddata_valid; +assign a7ddrphy_dfi_p3_address = litedramcore_master_p3_address; +assign a7ddrphy_dfi_p3_bank = litedramcore_master_p3_bank; +assign a7ddrphy_dfi_p3_cas_n = litedramcore_master_p3_cas_n; +assign a7ddrphy_dfi_p3_cs_n = litedramcore_master_p3_cs_n; +assign a7ddrphy_dfi_p3_ras_n = litedramcore_master_p3_ras_n; +assign a7ddrphy_dfi_p3_we_n = litedramcore_master_p3_we_n; +assign a7ddrphy_dfi_p3_cke = litedramcore_master_p3_cke; +assign a7ddrphy_dfi_p3_odt = litedramcore_master_p3_odt; +assign a7ddrphy_dfi_p3_reset_n = litedramcore_master_p3_reset_n; +assign a7ddrphy_dfi_p3_act_n = litedramcore_master_p3_act_n; +assign a7ddrphy_dfi_p3_wrdata = litedramcore_master_p3_wrdata; +assign a7ddrphy_dfi_p3_wrdata_en = litedramcore_master_p3_wrdata_en; +assign a7ddrphy_dfi_p3_wrdata_mask = litedramcore_master_p3_wrdata_mask; +assign a7ddrphy_dfi_p3_rddata_en = litedramcore_master_p3_rddata_en; +assign litedramcore_master_p3_rddata = a7ddrphy_dfi_p3_rddata; +assign litedramcore_master_p3_rddata_valid = a7ddrphy_dfi_p3_rddata_valid; +assign litedramcore_slave_p0_address = litedramcore_dfi_p0_address; +assign litedramcore_slave_p0_bank = litedramcore_dfi_p0_bank; +assign litedramcore_slave_p0_cas_n = litedramcore_dfi_p0_cas_n; +assign litedramcore_slave_p0_cs_n = litedramcore_dfi_p0_cs_n; +assign litedramcore_slave_p0_ras_n = litedramcore_dfi_p0_ras_n; +assign litedramcore_slave_p0_we_n = litedramcore_dfi_p0_we_n; +assign litedramcore_slave_p0_cke = litedramcore_dfi_p0_cke; +assign litedramcore_slave_p0_odt = litedramcore_dfi_p0_odt; +assign litedramcore_slave_p0_reset_n = litedramcore_dfi_p0_reset_n; +assign litedramcore_slave_p0_act_n = litedramcore_dfi_p0_act_n; +assign litedramcore_slave_p0_wrdata = litedramcore_dfi_p0_wrdata; +assign litedramcore_slave_p0_wrdata_en = litedramcore_dfi_p0_wrdata_en; +assign litedramcore_slave_p0_wrdata_mask = litedramcore_dfi_p0_wrdata_mask; +assign litedramcore_slave_p0_rddata_en = litedramcore_dfi_p0_rddata_en; +assign litedramcore_dfi_p0_rddata = litedramcore_slave_p0_rddata; +assign litedramcore_dfi_p0_rddata_valid = litedramcore_slave_p0_rddata_valid; +assign litedramcore_slave_p1_address = litedramcore_dfi_p1_address; +assign litedramcore_slave_p1_bank = litedramcore_dfi_p1_bank; +assign litedramcore_slave_p1_cas_n = litedramcore_dfi_p1_cas_n; +assign litedramcore_slave_p1_cs_n = litedramcore_dfi_p1_cs_n; +assign litedramcore_slave_p1_ras_n = litedramcore_dfi_p1_ras_n; +assign litedramcore_slave_p1_we_n = litedramcore_dfi_p1_we_n; +assign litedramcore_slave_p1_cke = litedramcore_dfi_p1_cke; +assign litedramcore_slave_p1_odt = litedramcore_dfi_p1_odt; +assign litedramcore_slave_p1_reset_n = litedramcore_dfi_p1_reset_n; +assign litedramcore_slave_p1_act_n = litedramcore_dfi_p1_act_n; +assign litedramcore_slave_p1_wrdata = litedramcore_dfi_p1_wrdata; +assign litedramcore_slave_p1_wrdata_en = litedramcore_dfi_p1_wrdata_en; +assign litedramcore_slave_p1_wrdata_mask = litedramcore_dfi_p1_wrdata_mask; +assign litedramcore_slave_p1_rddata_en = litedramcore_dfi_p1_rddata_en; +assign litedramcore_dfi_p1_rddata = litedramcore_slave_p1_rddata; +assign litedramcore_dfi_p1_rddata_valid = litedramcore_slave_p1_rddata_valid; +assign litedramcore_slave_p2_address = litedramcore_dfi_p2_address; +assign litedramcore_slave_p2_bank = litedramcore_dfi_p2_bank; +assign litedramcore_slave_p2_cas_n = litedramcore_dfi_p2_cas_n; +assign litedramcore_slave_p2_cs_n = litedramcore_dfi_p2_cs_n; +assign litedramcore_slave_p2_ras_n = litedramcore_dfi_p2_ras_n; +assign litedramcore_slave_p2_we_n = litedramcore_dfi_p2_we_n; +assign litedramcore_slave_p2_cke = litedramcore_dfi_p2_cke; +assign litedramcore_slave_p2_odt = litedramcore_dfi_p2_odt; +assign litedramcore_slave_p2_reset_n = litedramcore_dfi_p2_reset_n; +assign litedramcore_slave_p2_act_n = litedramcore_dfi_p2_act_n; +assign litedramcore_slave_p2_wrdata = litedramcore_dfi_p2_wrdata; +assign litedramcore_slave_p2_wrdata_en = litedramcore_dfi_p2_wrdata_en; +assign litedramcore_slave_p2_wrdata_mask = litedramcore_dfi_p2_wrdata_mask; +assign litedramcore_slave_p2_rddata_en = litedramcore_dfi_p2_rddata_en; +assign litedramcore_dfi_p2_rddata = litedramcore_slave_p2_rddata; +assign litedramcore_dfi_p2_rddata_valid = litedramcore_slave_p2_rddata_valid; +assign litedramcore_slave_p3_address = litedramcore_dfi_p3_address; +assign litedramcore_slave_p3_bank = litedramcore_dfi_p3_bank; +assign litedramcore_slave_p3_cas_n = litedramcore_dfi_p3_cas_n; +assign litedramcore_slave_p3_cs_n = litedramcore_dfi_p3_cs_n; +assign litedramcore_slave_p3_ras_n = litedramcore_dfi_p3_ras_n; +assign litedramcore_slave_p3_we_n = litedramcore_dfi_p3_we_n; +assign litedramcore_slave_p3_cke = litedramcore_dfi_p3_cke; +assign litedramcore_slave_p3_odt = litedramcore_dfi_p3_odt; +assign litedramcore_slave_p3_reset_n = litedramcore_dfi_p3_reset_n; +assign litedramcore_slave_p3_act_n = litedramcore_dfi_p3_act_n; +assign litedramcore_slave_p3_wrdata = litedramcore_dfi_p3_wrdata; +assign litedramcore_slave_p3_wrdata_en = litedramcore_dfi_p3_wrdata_en; +assign litedramcore_slave_p3_wrdata_mask = litedramcore_dfi_p3_wrdata_mask; +assign litedramcore_slave_p3_rddata_en = litedramcore_dfi_p3_rddata_en; +assign litedramcore_dfi_p3_rddata = litedramcore_slave_p3_rddata; +assign litedramcore_dfi_p3_rddata_valid = litedramcore_slave_p3_rddata_valid; +always @(*) begin + litedramcore_csr_dfi_p0_rddata <= 32'd0; + if (litedramcore_sel) begin + end else begin + litedramcore_csr_dfi_p0_rddata <= litedramcore_master_p0_rddata; + end +end +always @(*) begin + litedramcore_csr_dfi_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + end else begin + litedramcore_csr_dfi_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end +end +always @(*) begin + litedramcore_csr_dfi_p1_rddata <= 32'd0; + if (litedramcore_sel) begin + end else begin + litedramcore_csr_dfi_p1_rddata <= litedramcore_master_p1_rddata; + end +end +always @(*) begin + litedramcore_csr_dfi_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + end else begin + litedramcore_csr_dfi_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end +end always @(*) begin - main_litedramcore_master_p3_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cs_n <= main_litedramcore_slave_p3_cs_n; + litedramcore_csr_dfi_p2_rddata <= 32'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p3_cs_n <= main_litedramcore_inti_p3_cs_n; + litedramcore_csr_dfi_p2_rddata <= litedramcore_master_p2_rddata; end end always @(*) begin - main_litedramcore_master_p3_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_ras_n <= main_litedramcore_slave_p3_ras_n; + litedramcore_csr_dfi_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p3_ras_n <= main_litedramcore_inti_p3_ras_n; + litedramcore_csr_dfi_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; end end always @(*) begin - main_litedramcore_slave_p3_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p3_rddata <= main_litedramcore_master_p3_rddata; + litedramcore_csr_dfi_p3_rddata <= 32'd0; + if (litedramcore_sel) begin end else begin + litedramcore_csr_dfi_p3_rddata <= litedramcore_master_p3_rddata; end end always @(*) begin - main_litedramcore_master_p3_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_we_n <= main_litedramcore_slave_p3_we_n; + litedramcore_csr_dfi_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p3_we_n <= main_litedramcore_inti_p3_we_n; + litedramcore_csr_dfi_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; end end always @(*) begin - main_litedramcore_slave_p3_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p3_rddata_valid <= main_litedramcore_master_p3_rddata_valid; + litedramcore_ext_dfi_p0_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p0_rddata <= litedramcore_master_p0_rddata; + end else begin + end end else begin end end always @(*) begin - main_litedramcore_master_p3_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cke <= main_litedramcore_slave_p3_cke; + litedramcore_ext_dfi_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p3_cke <= main_litedramcore_inti_p3_cke; end end always @(*) begin - main_litedramcore_master_p3_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_odt <= main_litedramcore_slave_p3_odt; + litedramcore_ext_dfi_p1_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p1_rddata <= litedramcore_master_p1_rddata; + end else begin + end end else begin - main_litedramcore_master_p3_odt <= main_litedramcore_inti_p3_odt; end end always @(*) begin - main_litedramcore_master_p3_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_reset_n <= main_litedramcore_slave_p3_reset_n; + litedramcore_ext_dfi_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p3_reset_n <= main_litedramcore_inti_p3_reset_n; end end always @(*) begin - main_litedramcore_master_p3_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_act_n <= main_litedramcore_slave_p3_act_n; + litedramcore_ext_dfi_p2_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p2_rddata <= litedramcore_master_p2_rddata; + end else begin + end end else begin - main_litedramcore_master_p3_act_n <= main_litedramcore_inti_p3_act_n; end end always @(*) begin - main_litedramcore_master_p3_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata <= main_litedramcore_slave_p3_wrdata; + litedramcore_ext_dfi_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p3_wrdata <= main_litedramcore_inti_p3_wrdata; end end always @(*) begin - main_litedramcore_inti_p0_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_slave_p0_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p0_rddata <= litedramcore_master_p0_rddata; + end end else begin - main_litedramcore_inti_p0_rddata <= main_litedramcore_master_p0_rddata; end end always @(*) begin - main_litedramcore_master_p3_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata_en <= main_litedramcore_slave_p3_wrdata_en; + litedramcore_slave_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end end else begin - main_litedramcore_master_p3_wrdata_en <= main_litedramcore_inti_p3_wrdata_en; end end always @(*) begin - main_litedramcore_inti_p0_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_ext_dfi_p3_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p3_rddata <= litedramcore_master_p3_rddata; + end else begin + end end else begin - main_litedramcore_inti_p0_rddata_valid <= main_litedramcore_master_p0_rddata_valid; end end always @(*) begin - main_litedramcore_master_p3_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata_mask <= main_litedramcore_slave_p3_wrdata_mask; + litedramcore_ext_dfi_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p3_wrdata_mask <= main_litedramcore_inti_p3_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p3_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_rddata_en <= main_litedramcore_slave_p3_rddata_en; + litedramcore_slave_p1_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p1_rddata <= litedramcore_master_p1_rddata; + end end else begin - main_litedramcore_master_p3_rddata_en <= main_litedramcore_inti_p3_rddata_en; end end always @(*) begin - main_litedramcore_master_p0_address <= 15'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_address <= main_litedramcore_slave_p0_address; + litedramcore_slave_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end end else begin - main_litedramcore_master_p0_address <= main_litedramcore_inti_p0_address; end end always @(*) begin - main_litedramcore_master_p0_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_bank <= main_litedramcore_slave_p0_bank; + litedramcore_slave_p2_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p2_rddata <= litedramcore_master_p2_rddata; + end end else begin - main_litedramcore_master_p0_bank <= main_litedramcore_inti_p0_bank; end end always @(*) begin - main_litedramcore_master_p0_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cas_n <= main_litedramcore_slave_p0_cas_n; + litedramcore_slave_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; + end end else begin - main_litedramcore_master_p0_cas_n <= main_litedramcore_inti_p0_cas_n; end end always @(*) begin - main_litedramcore_master_p0_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cs_n <= main_litedramcore_slave_p0_cs_n; + litedramcore_slave_p3_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p3_rddata <= litedramcore_master_p3_rddata; + end end else begin - main_litedramcore_master_p0_cs_n <= main_litedramcore_inti_p0_cs_n; end end always @(*) begin - main_litedramcore_master_p0_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_ras_n <= main_litedramcore_slave_p0_ras_n; + litedramcore_slave_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; + end end else begin - main_litedramcore_master_p0_ras_n <= main_litedramcore_inti_p0_ras_n; end end always @(*) begin - main_litedramcore_slave_p0_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p0_rddata <= main_litedramcore_master_p0_rddata; + litedramcore_master_p0_address <= 15'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_address <= litedramcore_ext_dfi_p0_address; + end else begin + litedramcore_master_p0_address <= litedramcore_slave_p0_address; + end end else begin + litedramcore_master_p0_address <= litedramcore_csr_dfi_p0_address; end end always @(*) begin - main_litedramcore_master_p0_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_we_n <= main_litedramcore_slave_p0_we_n; + litedramcore_master_p0_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_bank <= litedramcore_ext_dfi_p0_bank; + end else begin + litedramcore_master_p0_bank <= litedramcore_slave_p0_bank; + end end else begin - main_litedramcore_master_p0_we_n <= main_litedramcore_inti_p0_we_n; + litedramcore_master_p0_bank <= litedramcore_csr_dfi_p0_bank; end end always @(*) begin - main_litedramcore_slave_p0_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p0_rddata_valid <= main_litedramcore_master_p0_rddata_valid; + litedramcore_master_p0_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cas_n <= litedramcore_ext_dfi_p0_cas_n; + end else begin + litedramcore_master_p0_cas_n <= litedramcore_slave_p0_cas_n; + end end else begin + litedramcore_master_p0_cas_n <= litedramcore_csr_dfi_p0_cas_n; end end always @(*) begin - main_litedramcore_master_p0_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cke <= main_litedramcore_slave_p0_cke; + litedramcore_master_p0_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cs_n <= litedramcore_ext_dfi_p0_cs_n; + end else begin + litedramcore_master_p0_cs_n <= litedramcore_slave_p0_cs_n; + end end else begin - main_litedramcore_master_p0_cke <= main_litedramcore_inti_p0_cke; + litedramcore_master_p0_cs_n <= litedramcore_csr_dfi_p0_cs_n; end end always @(*) begin - main_litedramcore_master_p0_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_odt <= main_litedramcore_slave_p0_odt; + litedramcore_master_p0_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_ras_n <= litedramcore_ext_dfi_p0_ras_n; + end else begin + litedramcore_master_p0_ras_n <= litedramcore_slave_p0_ras_n; + end end else begin - main_litedramcore_master_p0_odt <= main_litedramcore_inti_p0_odt; + litedramcore_master_p0_ras_n <= litedramcore_csr_dfi_p0_ras_n; end end always @(*) begin - main_litedramcore_master_p0_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_reset_n <= main_litedramcore_slave_p0_reset_n; + litedramcore_master_p0_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_we_n <= litedramcore_ext_dfi_p0_we_n; + end else begin + litedramcore_master_p0_we_n <= litedramcore_slave_p0_we_n; + end end else begin - main_litedramcore_master_p0_reset_n <= main_litedramcore_inti_p0_reset_n; + litedramcore_master_p0_we_n <= litedramcore_csr_dfi_p0_we_n; end end always @(*) begin - main_litedramcore_master_p0_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_act_n <= main_litedramcore_slave_p0_act_n; + litedramcore_master_p0_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cke <= litedramcore_ext_dfi_p0_cke; + end else begin + litedramcore_master_p0_cke <= litedramcore_slave_p0_cke; + end end else begin - main_litedramcore_master_p0_act_n <= main_litedramcore_inti_p0_act_n; + litedramcore_master_p0_cke <= litedramcore_csr_dfi_p0_cke; end end always @(*) begin - main_litedramcore_master_p0_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata <= main_litedramcore_slave_p0_wrdata; + litedramcore_master_p0_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_odt <= litedramcore_ext_dfi_p0_odt; + end else begin + litedramcore_master_p0_odt <= litedramcore_slave_p0_odt; + end end else begin - main_litedramcore_master_p0_wrdata <= main_litedramcore_inti_p0_wrdata; + litedramcore_master_p0_odt <= litedramcore_csr_dfi_p0_odt; end end always @(*) begin - main_litedramcore_inti_p1_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p0_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_reset_n <= litedramcore_ext_dfi_p0_reset_n; + end else begin + litedramcore_master_p0_reset_n <= litedramcore_slave_p0_reset_n; + end end else begin - main_litedramcore_inti_p1_rddata <= main_litedramcore_master_p1_rddata; + litedramcore_master_p0_reset_n <= litedramcore_csr_dfi_p0_reset_n; end end always @(*) begin - main_litedramcore_master_p0_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata_en <= main_litedramcore_slave_p0_wrdata_en; + litedramcore_master_p0_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_act_n <= litedramcore_ext_dfi_p0_act_n; + end else begin + litedramcore_master_p0_act_n <= litedramcore_slave_p0_act_n; + end end else begin - main_litedramcore_master_p0_wrdata_en <= main_litedramcore_inti_p0_wrdata_en; + litedramcore_master_p0_act_n <= litedramcore_csr_dfi_p0_act_n; end end always @(*) begin - main_litedramcore_inti_p1_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p0_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata <= litedramcore_ext_dfi_p0_wrdata; + end else begin + litedramcore_master_p0_wrdata <= litedramcore_slave_p0_wrdata; + end end else begin - main_litedramcore_inti_p1_rddata_valid <= main_litedramcore_master_p1_rddata_valid; + litedramcore_master_p0_wrdata <= litedramcore_csr_dfi_p0_wrdata; end end always @(*) begin - main_litedramcore_master_p0_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata_mask <= main_litedramcore_slave_p0_wrdata_mask; + litedramcore_master_p0_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata_en <= litedramcore_ext_dfi_p0_wrdata_en; + end else begin + litedramcore_master_p0_wrdata_en <= litedramcore_slave_p0_wrdata_en; + end end else begin - main_litedramcore_master_p0_wrdata_mask <= main_litedramcore_inti_p0_wrdata_mask; + litedramcore_master_p0_wrdata_en <= litedramcore_csr_dfi_p0_wrdata_en; end end always @(*) begin - main_litedramcore_master_p0_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_rddata_en <= main_litedramcore_slave_p0_rddata_en; + litedramcore_master_p0_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata_mask <= litedramcore_ext_dfi_p0_wrdata_mask; + end else begin + litedramcore_master_p0_wrdata_mask <= litedramcore_slave_p0_wrdata_mask; + end end else begin - main_litedramcore_master_p0_rddata_en <= main_litedramcore_inti_p0_rddata_en; + litedramcore_master_p0_wrdata_mask <= litedramcore_csr_dfi_p0_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p1_address <= 15'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_address <= main_litedramcore_slave_p1_address; + litedramcore_master_p0_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_rddata_en <= litedramcore_ext_dfi_p0_rddata_en; + end else begin + litedramcore_master_p0_rddata_en <= litedramcore_slave_p0_rddata_en; + end end else begin - main_litedramcore_master_p1_address <= main_litedramcore_inti_p1_address; + litedramcore_master_p0_rddata_en <= litedramcore_csr_dfi_p0_rddata_en; end end always @(*) begin - main_litedramcore_master_p1_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_bank <= main_litedramcore_slave_p1_bank; + litedramcore_master_p1_address <= 15'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_address <= litedramcore_ext_dfi_p1_address; + end else begin + litedramcore_master_p1_address <= litedramcore_slave_p1_address; + end end else begin - main_litedramcore_master_p1_bank <= main_litedramcore_inti_p1_bank; + litedramcore_master_p1_address <= litedramcore_csr_dfi_p1_address; end end always @(*) begin - main_litedramcore_master_p1_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cas_n <= main_litedramcore_slave_p1_cas_n; + litedramcore_master_p1_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_bank <= litedramcore_ext_dfi_p1_bank; + end else begin + litedramcore_master_p1_bank <= litedramcore_slave_p1_bank; + end end else begin - main_litedramcore_master_p1_cas_n <= main_litedramcore_inti_p1_cas_n; + litedramcore_master_p1_bank <= litedramcore_csr_dfi_p1_bank; end end always @(*) begin - main_litedramcore_master_p1_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cs_n <= main_litedramcore_slave_p1_cs_n; + litedramcore_master_p1_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cas_n <= litedramcore_ext_dfi_p1_cas_n; + end else begin + litedramcore_master_p1_cas_n <= litedramcore_slave_p1_cas_n; + end end else begin - main_litedramcore_master_p1_cs_n <= main_litedramcore_inti_p1_cs_n; + litedramcore_master_p1_cas_n <= litedramcore_csr_dfi_p1_cas_n; end end always @(*) begin - main_litedramcore_master_p1_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_ras_n <= main_litedramcore_slave_p1_ras_n; + litedramcore_master_p1_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cs_n <= litedramcore_ext_dfi_p1_cs_n; + end else begin + litedramcore_master_p1_cs_n <= litedramcore_slave_p1_cs_n; + end end else begin - main_litedramcore_master_p1_ras_n <= main_litedramcore_inti_p1_ras_n; + litedramcore_master_p1_cs_n <= litedramcore_csr_dfi_p1_cs_n; end end always @(*) begin - main_litedramcore_slave_p1_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p1_rddata <= main_litedramcore_master_p1_rddata; + litedramcore_master_p1_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_ras_n <= litedramcore_ext_dfi_p1_ras_n; + end else begin + litedramcore_master_p1_ras_n <= litedramcore_slave_p1_ras_n; + end end else begin + litedramcore_master_p1_ras_n <= litedramcore_csr_dfi_p1_ras_n; end end always @(*) begin - main_litedramcore_master_p1_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_we_n <= main_litedramcore_slave_p1_we_n; + litedramcore_master_p1_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_we_n <= litedramcore_ext_dfi_p1_we_n; + end else begin + litedramcore_master_p1_we_n <= litedramcore_slave_p1_we_n; + end end else begin - main_litedramcore_master_p1_we_n <= main_litedramcore_inti_p1_we_n; + litedramcore_master_p1_we_n <= litedramcore_csr_dfi_p1_we_n; end end always @(*) begin - main_litedramcore_slave_p1_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p1_rddata_valid <= main_litedramcore_master_p1_rddata_valid; + litedramcore_master_p1_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cke <= litedramcore_ext_dfi_p1_cke; + end else begin + litedramcore_master_p1_cke <= litedramcore_slave_p1_cke; + end end else begin + litedramcore_master_p1_cke <= litedramcore_csr_dfi_p1_cke; end end always @(*) begin - main_litedramcore_master_p1_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cke <= main_litedramcore_slave_p1_cke; + litedramcore_master_p1_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_odt <= litedramcore_ext_dfi_p1_odt; + end else begin + litedramcore_master_p1_odt <= litedramcore_slave_p1_odt; + end end else begin - main_litedramcore_master_p1_cke <= main_litedramcore_inti_p1_cke; + litedramcore_master_p1_odt <= litedramcore_csr_dfi_p1_odt; end end always @(*) begin - main_litedramcore_master_p1_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_odt <= main_litedramcore_slave_p1_odt; + litedramcore_master_p1_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_reset_n <= litedramcore_ext_dfi_p1_reset_n; + end else begin + litedramcore_master_p1_reset_n <= litedramcore_slave_p1_reset_n; + end end else begin - main_litedramcore_master_p1_odt <= main_litedramcore_inti_p1_odt; + litedramcore_master_p1_reset_n <= litedramcore_csr_dfi_p1_reset_n; end end always @(*) begin - main_litedramcore_master_p1_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_reset_n <= main_litedramcore_slave_p1_reset_n; + litedramcore_master_p1_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_act_n <= litedramcore_ext_dfi_p1_act_n; + end else begin + litedramcore_master_p1_act_n <= litedramcore_slave_p1_act_n; + end end else begin - main_litedramcore_master_p1_reset_n <= main_litedramcore_inti_p1_reset_n; + litedramcore_master_p1_act_n <= litedramcore_csr_dfi_p1_act_n; end end always @(*) begin - main_litedramcore_master_p1_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_act_n <= main_litedramcore_slave_p1_act_n; + litedramcore_master_p1_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata <= litedramcore_ext_dfi_p1_wrdata; + end else begin + litedramcore_master_p1_wrdata <= litedramcore_slave_p1_wrdata; + end end else begin - main_litedramcore_master_p1_act_n <= main_litedramcore_inti_p1_act_n; + litedramcore_master_p1_wrdata <= litedramcore_csr_dfi_p1_wrdata; end end always @(*) begin - main_litedramcore_master_p1_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata <= main_litedramcore_slave_p1_wrdata; + litedramcore_master_p1_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata_en <= litedramcore_ext_dfi_p1_wrdata_en; + end else begin + litedramcore_master_p1_wrdata_en <= litedramcore_slave_p1_wrdata_en; + end end else begin - main_litedramcore_master_p1_wrdata <= main_litedramcore_inti_p1_wrdata; + litedramcore_master_p1_wrdata_en <= litedramcore_csr_dfi_p1_wrdata_en; end end always @(*) begin - main_litedramcore_inti_p2_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p1_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata_mask <= litedramcore_ext_dfi_p1_wrdata_mask; + end else begin + litedramcore_master_p1_wrdata_mask <= litedramcore_slave_p1_wrdata_mask; + end end else begin - main_litedramcore_inti_p2_rddata <= main_litedramcore_master_p2_rddata; + litedramcore_master_p1_wrdata_mask <= litedramcore_csr_dfi_p1_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p1_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata_en <= main_litedramcore_slave_p1_wrdata_en; + litedramcore_master_p1_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_rddata_en <= litedramcore_ext_dfi_p1_rddata_en; + end else begin + litedramcore_master_p1_rddata_en <= litedramcore_slave_p1_rddata_en; + end end else begin - main_litedramcore_master_p1_wrdata_en <= main_litedramcore_inti_p1_wrdata_en; + litedramcore_master_p1_rddata_en <= litedramcore_csr_dfi_p1_rddata_en; end end always @(*) begin - main_litedramcore_inti_p2_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p2_address <= 15'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_address <= litedramcore_ext_dfi_p2_address; + end else begin + litedramcore_master_p2_address <= litedramcore_slave_p2_address; + end end else begin - main_litedramcore_inti_p2_rddata_valid <= main_litedramcore_master_p2_rddata_valid; + litedramcore_master_p2_address <= litedramcore_csr_dfi_p2_address; end end always @(*) begin - main_litedramcore_master_p1_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata_mask <= main_litedramcore_slave_p1_wrdata_mask; + litedramcore_master_p2_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_bank <= litedramcore_ext_dfi_p2_bank; + end else begin + litedramcore_master_p2_bank <= litedramcore_slave_p2_bank; + end end else begin - main_litedramcore_master_p1_wrdata_mask <= main_litedramcore_inti_p1_wrdata_mask; + litedramcore_master_p2_bank <= litedramcore_csr_dfi_p2_bank; end end always @(*) begin - main_litedramcore_master_p1_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_rddata_en <= main_litedramcore_slave_p1_rddata_en; + litedramcore_master_p2_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cas_n <= litedramcore_ext_dfi_p2_cas_n; + end else begin + litedramcore_master_p2_cas_n <= litedramcore_slave_p2_cas_n; + end end else begin - main_litedramcore_master_p1_rddata_en <= main_litedramcore_inti_p1_rddata_en; + litedramcore_master_p2_cas_n <= litedramcore_csr_dfi_p2_cas_n; end end always @(*) begin - main_litedramcore_master_p2_address <= 15'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_address <= main_litedramcore_slave_p2_address; + litedramcore_master_p2_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cs_n <= litedramcore_ext_dfi_p2_cs_n; + end else begin + litedramcore_master_p2_cs_n <= litedramcore_slave_p2_cs_n; + end end else begin - main_litedramcore_master_p2_address <= main_litedramcore_inti_p2_address; + litedramcore_master_p2_cs_n <= litedramcore_csr_dfi_p2_cs_n; end end always @(*) begin - main_litedramcore_master_p2_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_bank <= main_litedramcore_slave_p2_bank; + litedramcore_master_p2_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_ras_n <= litedramcore_ext_dfi_p2_ras_n; + end else begin + litedramcore_master_p2_ras_n <= litedramcore_slave_p2_ras_n; + end end else begin - main_litedramcore_master_p2_bank <= main_litedramcore_inti_p2_bank; + litedramcore_master_p2_ras_n <= litedramcore_csr_dfi_p2_ras_n; end end always @(*) begin - main_litedramcore_master_p2_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cas_n <= main_litedramcore_slave_p2_cas_n; + litedramcore_master_p2_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_we_n <= litedramcore_ext_dfi_p2_we_n; + end else begin + litedramcore_master_p2_we_n <= litedramcore_slave_p2_we_n; + end end else begin - main_litedramcore_master_p2_cas_n <= main_litedramcore_inti_p2_cas_n; + litedramcore_master_p2_we_n <= litedramcore_csr_dfi_p2_we_n; end end always @(*) begin - main_litedramcore_master_p2_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cs_n <= main_litedramcore_slave_p2_cs_n; + litedramcore_master_p2_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cke <= litedramcore_ext_dfi_p2_cke; + end else begin + litedramcore_master_p2_cke <= litedramcore_slave_p2_cke; + end end else begin - main_litedramcore_master_p2_cs_n <= main_litedramcore_inti_p2_cs_n; + litedramcore_master_p2_cke <= litedramcore_csr_dfi_p2_cke; end end always @(*) begin - main_litedramcore_master_p2_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_ras_n <= main_litedramcore_slave_p2_ras_n; + litedramcore_master_p2_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_odt <= litedramcore_ext_dfi_p2_odt; + end else begin + litedramcore_master_p2_odt <= litedramcore_slave_p2_odt; + end end else begin - main_litedramcore_master_p2_ras_n <= main_litedramcore_inti_p2_ras_n; + litedramcore_master_p2_odt <= litedramcore_csr_dfi_p2_odt; end end always @(*) begin - main_litedramcore_slave_p2_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p2_rddata <= main_litedramcore_master_p2_rddata; + litedramcore_master_p2_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_reset_n <= litedramcore_ext_dfi_p2_reset_n; + end else begin + litedramcore_master_p2_reset_n <= litedramcore_slave_p2_reset_n; + end end else begin + litedramcore_master_p2_reset_n <= litedramcore_csr_dfi_p2_reset_n; end end always @(*) begin - main_litedramcore_master_p2_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_we_n <= main_litedramcore_slave_p2_we_n; + litedramcore_master_p2_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_act_n <= litedramcore_ext_dfi_p2_act_n; + end else begin + litedramcore_master_p2_act_n <= litedramcore_slave_p2_act_n; + end end else begin - main_litedramcore_master_p2_we_n <= main_litedramcore_inti_p2_we_n; + litedramcore_master_p2_act_n <= litedramcore_csr_dfi_p2_act_n; end end always @(*) begin - main_litedramcore_slave_p2_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p2_rddata_valid <= main_litedramcore_master_p2_rddata_valid; + litedramcore_master_p2_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata <= litedramcore_ext_dfi_p2_wrdata; + end else begin + litedramcore_master_p2_wrdata <= litedramcore_slave_p2_wrdata; + end end else begin + litedramcore_master_p2_wrdata <= litedramcore_csr_dfi_p2_wrdata; end end always @(*) begin - main_litedramcore_master_p2_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cke <= main_litedramcore_slave_p2_cke; + litedramcore_master_p2_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata_en <= litedramcore_ext_dfi_p2_wrdata_en; + end else begin + litedramcore_master_p2_wrdata_en <= litedramcore_slave_p2_wrdata_en; + end end else begin - main_litedramcore_master_p2_cke <= main_litedramcore_inti_p2_cke; + litedramcore_master_p2_wrdata_en <= litedramcore_csr_dfi_p2_wrdata_en; end end always @(*) begin - main_litedramcore_master_p2_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_odt <= main_litedramcore_slave_p2_odt; + litedramcore_master_p2_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata_mask <= litedramcore_ext_dfi_p2_wrdata_mask; + end else begin + litedramcore_master_p2_wrdata_mask <= litedramcore_slave_p2_wrdata_mask; + end end else begin - main_litedramcore_master_p2_odt <= main_litedramcore_inti_p2_odt; + litedramcore_master_p2_wrdata_mask <= litedramcore_csr_dfi_p2_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p2_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_reset_n <= main_litedramcore_slave_p2_reset_n; + litedramcore_master_p2_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_rddata_en <= litedramcore_ext_dfi_p2_rddata_en; + end else begin + litedramcore_master_p2_rddata_en <= litedramcore_slave_p2_rddata_en; + end end else begin - main_litedramcore_master_p2_reset_n <= main_litedramcore_inti_p2_reset_n; + litedramcore_master_p2_rddata_en <= litedramcore_csr_dfi_p2_rddata_en; end end always @(*) begin - main_litedramcore_master_p2_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_act_n <= main_litedramcore_slave_p2_act_n; + litedramcore_master_p3_address <= 15'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_address <= litedramcore_ext_dfi_p3_address; + end else begin + litedramcore_master_p3_address <= litedramcore_slave_p3_address; + end end else begin - main_litedramcore_master_p2_act_n <= main_litedramcore_inti_p2_act_n; + litedramcore_master_p3_address <= litedramcore_csr_dfi_p3_address; end end always @(*) begin - main_litedramcore_master_p2_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata <= main_litedramcore_slave_p2_wrdata; + litedramcore_master_p3_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_bank <= litedramcore_ext_dfi_p3_bank; + end else begin + litedramcore_master_p3_bank <= litedramcore_slave_p3_bank; + end + end else begin + litedramcore_master_p3_bank <= litedramcore_csr_dfi_p3_bank; + end +end +always @(*) begin + litedramcore_master_p3_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cas_n <= litedramcore_ext_dfi_p3_cas_n; + end else begin + litedramcore_master_p3_cas_n <= litedramcore_slave_p3_cas_n; + end + end else begin + litedramcore_master_p3_cas_n <= litedramcore_csr_dfi_p3_cas_n; + end +end +always @(*) begin + litedramcore_master_p3_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cs_n <= litedramcore_ext_dfi_p3_cs_n; + end else begin + litedramcore_master_p3_cs_n <= litedramcore_slave_p3_cs_n; + end + end else begin + litedramcore_master_p3_cs_n <= litedramcore_csr_dfi_p3_cs_n; + end +end +always @(*) begin + litedramcore_master_p3_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_ras_n <= litedramcore_ext_dfi_p3_ras_n; + end else begin + litedramcore_master_p3_ras_n <= litedramcore_slave_p3_ras_n; + end end else begin - main_litedramcore_master_p2_wrdata <= main_litedramcore_inti_p2_wrdata; + litedramcore_master_p3_ras_n <= litedramcore_csr_dfi_p3_ras_n; end end always @(*) begin - main_litedramcore_inti_p3_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p3_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_we_n <= litedramcore_ext_dfi_p3_we_n; + end else begin + litedramcore_master_p3_we_n <= litedramcore_slave_p3_we_n; + end end else begin - main_litedramcore_inti_p3_rddata <= main_litedramcore_master_p3_rddata; + litedramcore_master_p3_we_n <= litedramcore_csr_dfi_p3_we_n; end end always @(*) begin - main_litedramcore_master_p2_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata_en <= main_litedramcore_slave_p2_wrdata_en; + litedramcore_master_p3_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cke <= litedramcore_ext_dfi_p3_cke; + end else begin + litedramcore_master_p3_cke <= litedramcore_slave_p3_cke; + end end else begin - main_litedramcore_master_p2_wrdata_en <= main_litedramcore_inti_p2_wrdata_en; + litedramcore_master_p3_cke <= litedramcore_csr_dfi_p3_cke; end end always @(*) begin - main_litedramcore_inti_p3_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p3_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_odt <= litedramcore_ext_dfi_p3_odt; + end else begin + litedramcore_master_p3_odt <= litedramcore_slave_p3_odt; + end + end else begin + litedramcore_master_p3_odt <= litedramcore_csr_dfi_p3_odt; + end +end +always @(*) begin + litedramcore_master_p3_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_reset_n <= litedramcore_ext_dfi_p3_reset_n; + end else begin + litedramcore_master_p3_reset_n <= litedramcore_slave_p3_reset_n; + end end else begin - main_litedramcore_inti_p3_rddata_valid <= main_litedramcore_master_p3_rddata_valid; + litedramcore_master_p3_reset_n <= litedramcore_csr_dfi_p3_reset_n; end end always @(*) begin - main_litedramcore_master_p2_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata_mask <= main_litedramcore_slave_p2_wrdata_mask; + litedramcore_master_p3_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_act_n <= litedramcore_ext_dfi_p3_act_n; + end else begin + litedramcore_master_p3_act_n <= litedramcore_slave_p3_act_n; + end end else begin - main_litedramcore_master_p2_wrdata_mask <= main_litedramcore_inti_p2_wrdata_mask; + litedramcore_master_p3_act_n <= litedramcore_csr_dfi_p3_act_n; end end always @(*) begin - main_litedramcore_master_p2_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_rddata_en <= main_litedramcore_slave_p2_rddata_en; + litedramcore_master_p3_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata <= litedramcore_ext_dfi_p3_wrdata; + end else begin + litedramcore_master_p3_wrdata <= litedramcore_slave_p3_wrdata; + end end else begin - main_litedramcore_master_p2_rddata_en <= main_litedramcore_inti_p2_rddata_en; + litedramcore_master_p3_wrdata <= litedramcore_csr_dfi_p3_wrdata; end end always @(*) begin - main_litedramcore_master_p3_address <= 15'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_address <= main_litedramcore_slave_p3_address; + litedramcore_master_p3_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata_en <= litedramcore_ext_dfi_p3_wrdata_en; + end else begin + litedramcore_master_p3_wrdata_en <= litedramcore_slave_p3_wrdata_en; + end end else begin - main_litedramcore_master_p3_address <= main_litedramcore_inti_p3_address; + litedramcore_master_p3_wrdata_en <= litedramcore_csr_dfi_p3_wrdata_en; end end always @(*) begin - main_litedramcore_master_p3_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_bank <= main_litedramcore_slave_p3_bank; + litedramcore_master_p3_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata_mask <= litedramcore_ext_dfi_p3_wrdata_mask; + end else begin + litedramcore_master_p3_wrdata_mask <= litedramcore_slave_p3_wrdata_mask; + end end else begin - main_litedramcore_master_p3_bank <= main_litedramcore_inti_p3_bank; + litedramcore_master_p3_wrdata_mask <= litedramcore_csr_dfi_p3_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p3_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cas_n <= main_litedramcore_slave_p3_cas_n; + litedramcore_master_p3_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_rddata_en <= litedramcore_ext_dfi_p3_rddata_en; + end else begin + litedramcore_master_p3_rddata_en <= litedramcore_slave_p3_rddata_en; + end end else begin - main_litedramcore_master_p3_cas_n <= main_litedramcore_inti_p3_cas_n; + litedramcore_master_p3_rddata_en <= litedramcore_csr_dfi_p3_rddata_en; end end -assign main_litedramcore_inti_p0_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p1_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p2_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p3_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p0_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p1_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p2_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p3_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p0_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p1_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p2_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p3_reset_n = main_litedramcore_reset_n; +assign litedramcore_csr_dfi_p0_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p1_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p2_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p3_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p0_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p1_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p2_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p3_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p0_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p1_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p2_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p3_reset_n = litedramcore_reset_n; always @(*) begin - main_litedramcore_inti_p0_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_cs_n <= {1{(~main_litedramcore_phaseinjector0_command_storage[0])}}; + litedramcore_csr_dfi_p0_ras_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_ras_n <= (~litedramcore_phaseinjector0_csrfield_ras); end else begin - main_litedramcore_inti_p0_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p0_ras_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p0_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_ras_n <= (~main_litedramcore_phaseinjector0_command_storage[3]); + litedramcore_csr_dfi_p0_we_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_we_n <= (~litedramcore_phaseinjector0_csrfield_we); end else begin - main_litedramcore_inti_p0_ras_n <= 1'd1; + litedramcore_csr_dfi_p0_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p0_we_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_we_n <= (~main_litedramcore_phaseinjector0_command_storage[1]); + litedramcore_csr_dfi_p0_cas_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_cas_n <= (~litedramcore_phaseinjector0_csrfield_cas); end else begin - main_litedramcore_inti_p0_we_n <= 1'd1; + litedramcore_csr_dfi_p0_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p0_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_cas_n <= (~main_litedramcore_phaseinjector0_command_storage[2]); + litedramcore_csr_dfi_p0_cs_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_cs_n <= {1{(~litedramcore_phaseinjector0_csrfield_cs)}}; end else begin - main_litedramcore_inti_p0_cas_n <= 1'd1; + litedramcore_csr_dfi_p0_cs_n <= {1{1'd1}}; end end -assign main_litedramcore_inti_p0_address = main_litedramcore_phaseinjector0_address_storage; -assign main_litedramcore_inti_p0_bank = main_litedramcore_phaseinjector0_baddress_storage; -assign main_litedramcore_inti_p0_wrdata_en = (main_litedramcore_phaseinjector0_command_issue_re & main_litedramcore_phaseinjector0_command_storage[4]); -assign main_litedramcore_inti_p0_rddata_en = (main_litedramcore_phaseinjector0_command_issue_re & main_litedramcore_phaseinjector0_command_storage[5]); -assign main_litedramcore_inti_p0_wrdata = main_litedramcore_phaseinjector0_wrdata_storage; -assign main_litedramcore_inti_p0_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p0_address = litedramcore_phaseinjector0_address_storage; +assign litedramcore_csr_dfi_p0_bank = litedramcore_phaseinjector0_baddress_storage; +assign litedramcore_csr_dfi_p0_wrdata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_csrfield_wren); +assign litedramcore_csr_dfi_p0_rddata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_csrfield_rden); +assign litedramcore_csr_dfi_p0_wrdata = litedramcore_phaseinjector0_wrdata_storage; +assign litedramcore_csr_dfi_p0_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p1_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_cs_n <= {1{(~main_litedramcore_phaseinjector1_command_storage[0])}}; + litedramcore_csr_dfi_p1_ras_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_ras_n <= (~litedramcore_phaseinjector1_csrfield_ras); end else begin - main_litedramcore_inti_p1_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p1_ras_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p1_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_ras_n <= (~main_litedramcore_phaseinjector1_command_storage[3]); + litedramcore_csr_dfi_p1_we_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_we_n <= (~litedramcore_phaseinjector1_csrfield_we); end else begin - main_litedramcore_inti_p1_ras_n <= 1'd1; + litedramcore_csr_dfi_p1_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p1_we_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_we_n <= (~main_litedramcore_phaseinjector1_command_storage[1]); + litedramcore_csr_dfi_p1_cas_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_cas_n <= (~litedramcore_phaseinjector1_csrfield_cas); end else begin - main_litedramcore_inti_p1_we_n <= 1'd1; + litedramcore_csr_dfi_p1_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p1_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_cas_n <= (~main_litedramcore_phaseinjector1_command_storage[2]); + litedramcore_csr_dfi_p1_cs_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_cs_n <= {1{(~litedramcore_phaseinjector1_csrfield_cs)}}; end else begin - main_litedramcore_inti_p1_cas_n <= 1'd1; + litedramcore_csr_dfi_p1_cs_n <= {1{1'd1}}; end end -assign main_litedramcore_inti_p1_address = main_litedramcore_phaseinjector1_address_storage; -assign main_litedramcore_inti_p1_bank = main_litedramcore_phaseinjector1_baddress_storage; -assign main_litedramcore_inti_p1_wrdata_en = (main_litedramcore_phaseinjector1_command_issue_re & main_litedramcore_phaseinjector1_command_storage[4]); -assign main_litedramcore_inti_p1_rddata_en = (main_litedramcore_phaseinjector1_command_issue_re & main_litedramcore_phaseinjector1_command_storage[5]); -assign main_litedramcore_inti_p1_wrdata = main_litedramcore_phaseinjector1_wrdata_storage; -assign main_litedramcore_inti_p1_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p1_address = litedramcore_phaseinjector1_address_storage; +assign litedramcore_csr_dfi_p1_bank = litedramcore_phaseinjector1_baddress_storage; +assign litedramcore_csr_dfi_p1_wrdata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_csrfield_wren); +assign litedramcore_csr_dfi_p1_rddata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_csrfield_rden); +assign litedramcore_csr_dfi_p1_wrdata = litedramcore_phaseinjector1_wrdata_storage; +assign litedramcore_csr_dfi_p1_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p2_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_cs_n <= {1{(~main_litedramcore_phaseinjector2_command_storage[0])}}; + litedramcore_csr_dfi_p2_ras_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_ras_n <= (~litedramcore_phaseinjector2_csrfield_ras); end else begin - main_litedramcore_inti_p2_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p2_ras_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p2_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_ras_n <= (~main_litedramcore_phaseinjector2_command_storage[3]); + litedramcore_csr_dfi_p2_we_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_we_n <= (~litedramcore_phaseinjector2_csrfield_we); end else begin - main_litedramcore_inti_p2_ras_n <= 1'd1; + litedramcore_csr_dfi_p2_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p2_we_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_we_n <= (~main_litedramcore_phaseinjector2_command_storage[1]); + litedramcore_csr_dfi_p2_cas_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_cas_n <= (~litedramcore_phaseinjector2_csrfield_cas); end else begin - main_litedramcore_inti_p2_we_n <= 1'd1; + litedramcore_csr_dfi_p2_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p2_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_cas_n <= (~main_litedramcore_phaseinjector2_command_storage[2]); + litedramcore_csr_dfi_p2_cs_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_cs_n <= {1{(~litedramcore_phaseinjector2_csrfield_cs)}}; end else begin - main_litedramcore_inti_p2_cas_n <= 1'd1; + litedramcore_csr_dfi_p2_cs_n <= {1{1'd1}}; end end -assign main_litedramcore_inti_p2_address = main_litedramcore_phaseinjector2_address_storage; -assign main_litedramcore_inti_p2_bank = main_litedramcore_phaseinjector2_baddress_storage; -assign main_litedramcore_inti_p2_wrdata_en = (main_litedramcore_phaseinjector2_command_issue_re & main_litedramcore_phaseinjector2_command_storage[4]); -assign main_litedramcore_inti_p2_rddata_en = (main_litedramcore_phaseinjector2_command_issue_re & main_litedramcore_phaseinjector2_command_storage[5]); -assign main_litedramcore_inti_p2_wrdata = main_litedramcore_phaseinjector2_wrdata_storage; -assign main_litedramcore_inti_p2_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p2_address = litedramcore_phaseinjector2_address_storage; +assign litedramcore_csr_dfi_p2_bank = litedramcore_phaseinjector2_baddress_storage; +assign litedramcore_csr_dfi_p2_wrdata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_csrfield_wren); +assign litedramcore_csr_dfi_p2_rddata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_csrfield_rden); +assign litedramcore_csr_dfi_p2_wrdata = litedramcore_phaseinjector2_wrdata_storage; +assign litedramcore_csr_dfi_p2_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p3_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_cs_n <= {1{(~main_litedramcore_phaseinjector3_command_storage[0])}}; + litedramcore_csr_dfi_p3_ras_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_ras_n <= (~litedramcore_phaseinjector3_csrfield_ras); end else begin - main_litedramcore_inti_p3_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p3_ras_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p3_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_ras_n <= (~main_litedramcore_phaseinjector3_command_storage[3]); + litedramcore_csr_dfi_p3_we_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_we_n <= (~litedramcore_phaseinjector3_csrfield_we); end else begin - main_litedramcore_inti_p3_ras_n <= 1'd1; + litedramcore_csr_dfi_p3_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p3_we_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_we_n <= (~main_litedramcore_phaseinjector3_command_storage[1]); + litedramcore_csr_dfi_p3_cas_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_cas_n <= (~litedramcore_phaseinjector3_csrfield_cas); end else begin - main_litedramcore_inti_p3_we_n <= 1'd1; + litedramcore_csr_dfi_p3_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p3_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_cas_n <= (~main_litedramcore_phaseinjector3_command_storage[2]); + litedramcore_csr_dfi_p3_cs_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_cs_n <= {1{(~litedramcore_phaseinjector3_csrfield_cs)}}; end else begin - main_litedramcore_inti_p3_cas_n <= 1'd1; + litedramcore_csr_dfi_p3_cs_n <= {1{1'd1}}; end end -assign main_litedramcore_inti_p3_address = main_litedramcore_phaseinjector3_address_storage; -assign main_litedramcore_inti_p3_bank = main_litedramcore_phaseinjector3_baddress_storage; -assign main_litedramcore_inti_p3_wrdata_en = (main_litedramcore_phaseinjector3_command_issue_re & main_litedramcore_phaseinjector3_command_storage[4]); -assign main_litedramcore_inti_p3_rddata_en = (main_litedramcore_phaseinjector3_command_issue_re & main_litedramcore_phaseinjector3_command_storage[5]); -assign main_litedramcore_inti_p3_wrdata = main_litedramcore_phaseinjector3_wrdata_storage; -assign main_litedramcore_inti_p3_wrdata_mask = 1'd0; -assign main_litedramcore_bankmachine0_req_valid = main_litedramcore_interface_bank0_valid; -assign main_litedramcore_interface_bank0_ready = main_litedramcore_bankmachine0_req_ready; -assign main_litedramcore_bankmachine0_req_we = main_litedramcore_interface_bank0_we; -assign main_litedramcore_bankmachine0_req_addr = main_litedramcore_interface_bank0_addr; -assign main_litedramcore_interface_bank0_lock = main_litedramcore_bankmachine0_req_lock; -assign main_litedramcore_interface_bank0_wdata_ready = main_litedramcore_bankmachine0_req_wdata_ready; -assign main_litedramcore_interface_bank0_rdata_valid = main_litedramcore_bankmachine0_req_rdata_valid; -assign main_litedramcore_bankmachine1_req_valid = main_litedramcore_interface_bank1_valid; -assign main_litedramcore_interface_bank1_ready = main_litedramcore_bankmachine1_req_ready; -assign main_litedramcore_bankmachine1_req_we = main_litedramcore_interface_bank1_we; -assign main_litedramcore_bankmachine1_req_addr = main_litedramcore_interface_bank1_addr; -assign main_litedramcore_interface_bank1_lock = main_litedramcore_bankmachine1_req_lock; -assign main_litedramcore_interface_bank1_wdata_ready = main_litedramcore_bankmachine1_req_wdata_ready; -assign main_litedramcore_interface_bank1_rdata_valid = main_litedramcore_bankmachine1_req_rdata_valid; -assign main_litedramcore_bankmachine2_req_valid = main_litedramcore_interface_bank2_valid; -assign main_litedramcore_interface_bank2_ready = main_litedramcore_bankmachine2_req_ready; -assign main_litedramcore_bankmachine2_req_we = main_litedramcore_interface_bank2_we; -assign main_litedramcore_bankmachine2_req_addr = main_litedramcore_interface_bank2_addr; -assign main_litedramcore_interface_bank2_lock = main_litedramcore_bankmachine2_req_lock; -assign main_litedramcore_interface_bank2_wdata_ready = main_litedramcore_bankmachine2_req_wdata_ready; -assign main_litedramcore_interface_bank2_rdata_valid = main_litedramcore_bankmachine2_req_rdata_valid; -assign main_litedramcore_bankmachine3_req_valid = main_litedramcore_interface_bank3_valid; -assign main_litedramcore_interface_bank3_ready = main_litedramcore_bankmachine3_req_ready; -assign main_litedramcore_bankmachine3_req_we = main_litedramcore_interface_bank3_we; -assign main_litedramcore_bankmachine3_req_addr = main_litedramcore_interface_bank3_addr; -assign main_litedramcore_interface_bank3_lock = main_litedramcore_bankmachine3_req_lock; -assign main_litedramcore_interface_bank3_wdata_ready = main_litedramcore_bankmachine3_req_wdata_ready; -assign main_litedramcore_interface_bank3_rdata_valid = main_litedramcore_bankmachine3_req_rdata_valid; -assign main_litedramcore_bankmachine4_req_valid = main_litedramcore_interface_bank4_valid; -assign main_litedramcore_interface_bank4_ready = main_litedramcore_bankmachine4_req_ready; -assign main_litedramcore_bankmachine4_req_we = main_litedramcore_interface_bank4_we; -assign main_litedramcore_bankmachine4_req_addr = main_litedramcore_interface_bank4_addr; -assign main_litedramcore_interface_bank4_lock = main_litedramcore_bankmachine4_req_lock; -assign main_litedramcore_interface_bank4_wdata_ready = main_litedramcore_bankmachine4_req_wdata_ready; -assign main_litedramcore_interface_bank4_rdata_valid = main_litedramcore_bankmachine4_req_rdata_valid; -assign main_litedramcore_bankmachine5_req_valid = main_litedramcore_interface_bank5_valid; -assign main_litedramcore_interface_bank5_ready = main_litedramcore_bankmachine5_req_ready; -assign main_litedramcore_bankmachine5_req_we = main_litedramcore_interface_bank5_we; -assign main_litedramcore_bankmachine5_req_addr = main_litedramcore_interface_bank5_addr; -assign main_litedramcore_interface_bank5_lock = main_litedramcore_bankmachine5_req_lock; -assign main_litedramcore_interface_bank5_wdata_ready = main_litedramcore_bankmachine5_req_wdata_ready; -assign main_litedramcore_interface_bank5_rdata_valid = main_litedramcore_bankmachine5_req_rdata_valid; -assign main_litedramcore_bankmachine6_req_valid = main_litedramcore_interface_bank6_valid; -assign main_litedramcore_interface_bank6_ready = main_litedramcore_bankmachine6_req_ready; -assign main_litedramcore_bankmachine6_req_we = main_litedramcore_interface_bank6_we; -assign main_litedramcore_bankmachine6_req_addr = main_litedramcore_interface_bank6_addr; -assign main_litedramcore_interface_bank6_lock = main_litedramcore_bankmachine6_req_lock; -assign main_litedramcore_interface_bank6_wdata_ready = main_litedramcore_bankmachine6_req_wdata_ready; -assign main_litedramcore_interface_bank6_rdata_valid = main_litedramcore_bankmachine6_req_rdata_valid; -assign main_litedramcore_bankmachine7_req_valid = main_litedramcore_interface_bank7_valid; -assign main_litedramcore_interface_bank7_ready = main_litedramcore_bankmachine7_req_ready; -assign main_litedramcore_bankmachine7_req_we = main_litedramcore_interface_bank7_we; -assign main_litedramcore_bankmachine7_req_addr = main_litedramcore_interface_bank7_addr; -assign main_litedramcore_interface_bank7_lock = main_litedramcore_bankmachine7_req_lock; -assign main_litedramcore_interface_bank7_wdata_ready = main_litedramcore_bankmachine7_req_wdata_ready; -assign main_litedramcore_interface_bank7_rdata_valid = main_litedramcore_bankmachine7_req_rdata_valid; -assign main_litedramcore_timer_wait = (~main_litedramcore_timer_done0); -assign main_litedramcore_postponer_req_i = main_litedramcore_timer_done0; -assign main_litedramcore_wants_refresh = main_litedramcore_postponer_req_o; -assign main_litedramcore_wants_zqcs = main_litedramcore_zqcs_timer_done0; -assign main_litedramcore_zqcs_timer_wait = (~main_litedramcore_zqcs_executer_done); -assign main_litedramcore_timer_done1 = (main_litedramcore_timer_count1 == 1'd0); -assign main_litedramcore_timer_done0 = main_litedramcore_timer_done1; -assign main_litedramcore_timer_count0 = main_litedramcore_timer_count1; -assign main_litedramcore_sequencer_start1 = (main_litedramcore_sequencer_start0 | (main_litedramcore_sequencer_count != 1'd0)); -assign main_litedramcore_sequencer_done0 = (main_litedramcore_sequencer_done1 & (main_litedramcore_sequencer_count == 1'd0)); -assign main_litedramcore_zqcs_timer_done1 = (main_litedramcore_zqcs_timer_count1 == 1'd0); -assign main_litedramcore_zqcs_timer_done0 = main_litedramcore_zqcs_timer_done1; -assign main_litedramcore_zqcs_timer_count0 = main_litedramcore_zqcs_timer_count1; -always @(*) begin - builder_refresher_next_state <= 2'd0; - builder_refresher_next_state <= builder_refresher_state; - case (builder_refresher_state) - 1'd1: begin - if (main_litedramcore_cmd_ready) begin - builder_refresher_next_state <= 2'd2; - end - end - 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin - builder_refresher_next_state <= 2'd3; +assign litedramcore_csr_dfi_p3_address = litedramcore_phaseinjector3_address_storage; +assign litedramcore_csr_dfi_p3_bank = litedramcore_phaseinjector3_baddress_storage; +assign litedramcore_csr_dfi_p3_wrdata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_csrfield_wren); +assign litedramcore_csr_dfi_p3_rddata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_csrfield_rden); +assign litedramcore_csr_dfi_p3_wrdata = litedramcore_phaseinjector3_wrdata_storage; +assign litedramcore_csr_dfi_p3_wrdata_mask = 1'd0; +assign litedramcore_bankmachine0_req_valid = litedramcore_interface_bank0_valid; +assign litedramcore_interface_bank0_ready = litedramcore_bankmachine0_req_ready; +assign litedramcore_bankmachine0_req_we = litedramcore_interface_bank0_we; +assign litedramcore_bankmachine0_req_addr = litedramcore_interface_bank0_addr; +assign litedramcore_interface_bank0_lock = litedramcore_bankmachine0_req_lock; +assign litedramcore_interface_bank0_wdata_ready = litedramcore_bankmachine0_req_wdata_ready; +assign litedramcore_interface_bank0_rdata_valid = litedramcore_bankmachine0_req_rdata_valid; +assign litedramcore_bankmachine1_req_valid = litedramcore_interface_bank1_valid; +assign litedramcore_interface_bank1_ready = litedramcore_bankmachine1_req_ready; +assign litedramcore_bankmachine1_req_we = litedramcore_interface_bank1_we; +assign litedramcore_bankmachine1_req_addr = litedramcore_interface_bank1_addr; +assign litedramcore_interface_bank1_lock = litedramcore_bankmachine1_req_lock; +assign litedramcore_interface_bank1_wdata_ready = litedramcore_bankmachine1_req_wdata_ready; +assign litedramcore_interface_bank1_rdata_valid = litedramcore_bankmachine1_req_rdata_valid; +assign litedramcore_bankmachine2_req_valid = litedramcore_interface_bank2_valid; +assign litedramcore_interface_bank2_ready = litedramcore_bankmachine2_req_ready; +assign litedramcore_bankmachine2_req_we = litedramcore_interface_bank2_we; +assign litedramcore_bankmachine2_req_addr = litedramcore_interface_bank2_addr; +assign litedramcore_interface_bank2_lock = litedramcore_bankmachine2_req_lock; +assign litedramcore_interface_bank2_wdata_ready = litedramcore_bankmachine2_req_wdata_ready; +assign litedramcore_interface_bank2_rdata_valid = litedramcore_bankmachine2_req_rdata_valid; +assign litedramcore_bankmachine3_req_valid = litedramcore_interface_bank3_valid; +assign litedramcore_interface_bank3_ready = litedramcore_bankmachine3_req_ready; +assign litedramcore_bankmachine3_req_we = litedramcore_interface_bank3_we; +assign litedramcore_bankmachine3_req_addr = litedramcore_interface_bank3_addr; +assign litedramcore_interface_bank3_lock = litedramcore_bankmachine3_req_lock; +assign litedramcore_interface_bank3_wdata_ready = litedramcore_bankmachine3_req_wdata_ready; +assign litedramcore_interface_bank3_rdata_valid = litedramcore_bankmachine3_req_rdata_valid; +assign litedramcore_bankmachine4_req_valid = litedramcore_interface_bank4_valid; +assign litedramcore_interface_bank4_ready = litedramcore_bankmachine4_req_ready; +assign litedramcore_bankmachine4_req_we = litedramcore_interface_bank4_we; +assign litedramcore_bankmachine4_req_addr = litedramcore_interface_bank4_addr; +assign litedramcore_interface_bank4_lock = litedramcore_bankmachine4_req_lock; +assign litedramcore_interface_bank4_wdata_ready = litedramcore_bankmachine4_req_wdata_ready; +assign litedramcore_interface_bank4_rdata_valid = litedramcore_bankmachine4_req_rdata_valid; +assign litedramcore_bankmachine5_req_valid = litedramcore_interface_bank5_valid; +assign litedramcore_interface_bank5_ready = litedramcore_bankmachine5_req_ready; +assign litedramcore_bankmachine5_req_we = litedramcore_interface_bank5_we; +assign litedramcore_bankmachine5_req_addr = litedramcore_interface_bank5_addr; +assign litedramcore_interface_bank5_lock = litedramcore_bankmachine5_req_lock; +assign litedramcore_interface_bank5_wdata_ready = litedramcore_bankmachine5_req_wdata_ready; +assign litedramcore_interface_bank5_rdata_valid = litedramcore_bankmachine5_req_rdata_valid; +assign litedramcore_bankmachine6_req_valid = litedramcore_interface_bank6_valid; +assign litedramcore_interface_bank6_ready = litedramcore_bankmachine6_req_ready; +assign litedramcore_bankmachine6_req_we = litedramcore_interface_bank6_we; +assign litedramcore_bankmachine6_req_addr = litedramcore_interface_bank6_addr; +assign litedramcore_interface_bank6_lock = litedramcore_bankmachine6_req_lock; +assign litedramcore_interface_bank6_wdata_ready = litedramcore_bankmachine6_req_wdata_ready; +assign litedramcore_interface_bank6_rdata_valid = litedramcore_bankmachine6_req_rdata_valid; +assign litedramcore_bankmachine7_req_valid = litedramcore_interface_bank7_valid; +assign litedramcore_interface_bank7_ready = litedramcore_bankmachine7_req_ready; +assign litedramcore_bankmachine7_req_we = litedramcore_interface_bank7_we; +assign litedramcore_bankmachine7_req_addr = litedramcore_interface_bank7_addr; +assign litedramcore_interface_bank7_lock = litedramcore_bankmachine7_req_lock; +assign litedramcore_interface_bank7_wdata_ready = litedramcore_bankmachine7_req_wdata_ready; +assign litedramcore_interface_bank7_rdata_valid = litedramcore_bankmachine7_req_rdata_valid; +assign litedramcore_timer_wait = (~litedramcore_timer_done0); +assign litedramcore_postponer_req_i = litedramcore_timer_done0; +assign litedramcore_wants_refresh = litedramcore_postponer_req_o; +assign litedramcore_wants_zqcs = litedramcore_zqcs_timer_done0; +assign litedramcore_zqcs_timer_wait = (~litedramcore_zqcs_executer_done); +assign litedramcore_timer_done1 = (litedramcore_timer_count1 == 1'd0); +assign litedramcore_timer_done0 = litedramcore_timer_done1; +assign litedramcore_timer_count0 = litedramcore_timer_count1; +assign litedramcore_sequencer_start1 = (litedramcore_sequencer_start0 | (litedramcore_sequencer_count != 1'd0)); +assign litedramcore_sequencer_done0 = (litedramcore_sequencer_done1 & (litedramcore_sequencer_count == 1'd0)); +assign litedramcore_zqcs_timer_done1 = (litedramcore_zqcs_timer_count1 == 1'd0); +assign litedramcore_zqcs_timer_done0 = litedramcore_zqcs_timer_done1; +assign litedramcore_zqcs_timer_count0 = litedramcore_zqcs_timer_count1; +always @(*) begin + litedramcore_refresher_next_state <= 2'd0; + litedramcore_refresher_next_state <= litedramcore_refresher_state; + case (litedramcore_refresher_state) + 1'd1: begin + if (litedramcore_cmd_ready) begin + litedramcore_refresher_next_state <= 2'd2; + end + end + 2'd2: begin + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin + litedramcore_refresher_next_state <= 2'd3; end else begin - builder_refresher_next_state <= 1'd0; + litedramcore_refresher_next_state <= 1'd0; end end end 2'd3: begin - if (main_litedramcore_zqcs_executer_done) begin - builder_refresher_next_state <= 1'd0; + if (litedramcore_zqcs_executer_done) begin + litedramcore_refresher_next_state <= 1'd0; end end default: begin if (1'd1) begin - if (main_litedramcore_wants_refresh) begin - builder_refresher_next_state <= 1'd1; + if (litedramcore_wants_refresh) begin + litedramcore_refresher_next_state <= 1'd1; end end end endcase end always @(*) begin - main_litedramcore_sequencer_start0 <= 1'd0; - case (builder_refresher_state) + litedramcore_sequencer_start0 <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin - if (main_litedramcore_cmd_ready) begin - main_litedramcore_sequencer_start0 <= 1'd1; + if (litedramcore_cmd_ready) begin + litedramcore_sequencer_start0 <= 1'd1; end end 2'd2: begin @@ -4140,24 +4559,24 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_cmd_valid <= 1'd0; - case (builder_refresher_state) + litedramcore_cmd_valid <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin - main_litedramcore_cmd_valid <= 1'd1; + litedramcore_cmd_valid <= 1'd1; end 2'd2: begin - main_litedramcore_cmd_valid <= 1'd1; - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin + litedramcore_cmd_valid <= 1'd1; + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin end else begin - main_litedramcore_cmd_valid <= 1'd0; + litedramcore_cmd_valid <= 1'd0; end end end 2'd3: begin - main_litedramcore_cmd_valid <= 1'd1; - if (main_litedramcore_zqcs_executer_done) begin - main_litedramcore_cmd_valid <= 1'd0; + litedramcore_cmd_valid <= 1'd1; + if (litedramcore_zqcs_executer_done) begin + litedramcore_cmd_valid <= 1'd0; end end default: begin @@ -4165,14 +4584,14 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_zqcs_executer_start <= 1'd0; - case (builder_refresher_state) + litedramcore_zqcs_executer_start <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin end 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin - main_litedramcore_zqcs_executer_start <= 1'd1; + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin + litedramcore_zqcs_executer_start <= 1'd1; end else begin end end @@ -4184,148 +4603,148 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_cmd_last <= 1'd0; - case (builder_refresher_state) + litedramcore_cmd_last <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin end 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin end else begin - main_litedramcore_cmd_last <= 1'd1; + litedramcore_cmd_last <= 1'd1; end end end 2'd3: begin - if (main_litedramcore_zqcs_executer_done) begin - main_litedramcore_cmd_last <= 1'd1; + if (litedramcore_zqcs_executer_done) begin + litedramcore_cmd_last <= 1'd1; end end default: begin end endcase end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine0_req_valid; -assign main_litedramcore_bankmachine0_req_ready = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine0_req_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine0_req_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_valid = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine0_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_source_ready = (main_litedramcore_bankmachine0_req_wdata_ready | main_litedramcore_bankmachine0_req_rdata_valid); -assign main_litedramcore_bankmachine0_req_lock = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine0_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine0_row_hit = (main_litedramcore_bankmachine0_row == main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine0_cmd_payload_ba = 1'd0; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine0_req_valid; +assign litedramcore_bankmachine0_req_ready = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine0_req_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine0_req_addr; +assign litedramcore_bankmachine0_cmd_buffer_sink_valid = litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine0_cmd_buffer_sink_ready; +assign litedramcore_bankmachine0_cmd_buffer_sink_first = litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine0_cmd_buffer_sink_last = litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine0_cmd_buffer_sink_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_sink_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_source_ready = (litedramcore_bankmachine0_req_wdata_ready | litedramcore_bankmachine0_req_rdata_valid); +assign litedramcore_bankmachine0_req_lock = (litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine0_cmd_buffer_source_valid); +assign litedramcore_bankmachine0_row_hit = (litedramcore_bankmachine0_row == litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine0_cmd_payload_ba = 1'd0; always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine0_row_col_n_addr_sel) begin - main_litedramcore_bankmachine0_cmd_payload_a <= main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7]; + litedramcore_bankmachine0_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine0_row_col_n_addr_sel) begin + litedramcore_bankmachine0_cmd_payload_a <= litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7]; end else begin - main_litedramcore_bankmachine0_cmd_payload_a <= ((main_litedramcore_bankmachine0_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + litedramcore_bankmachine0_cmd_payload_a <= ((litedramcore_bankmachine0_auto_precharge <<< 4'd10) | {litedramcore_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); end end -assign main_litedramcore_bankmachine0_twtpcon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_cmd_payload_is_write); -assign main_litedramcore_bankmachine0_trccon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_row_open); -assign main_litedramcore_bankmachine0_trascon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_row_open); +assign litedramcore_bankmachine0_twtpcon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_cmd_payload_is_write); +assign litedramcore_bankmachine0_trccon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_row_open); +assign litedramcore_bankmachine0_trascon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_row_open); always @(*) begin - main_litedramcore_bankmachine0_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine0_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine0_auto_precharge <= (main_litedramcore_bankmachine0_row_close == 1'd0); + litedramcore_bankmachine0_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine0_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine0_auto_precharge <= (litedramcore_bankmachine0_row_close == 1'd0); end end end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_first = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_last = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce - 1'd1); + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine0_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine0_cmd_buffer_lookahead_produce - 1'd1); end else begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce; + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine0_cmd_buffer_lookahead_produce; end end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine0_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine0_cmd_buffer_source_valid) | main_litedramcore_bankmachine0_cmd_buffer_source_ready); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | litedramcore_bankmachine0_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine0_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine0_cmd_buffer_sink_ready = ((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine0_next_state <= 4'd0; - builder_bankmachine0_next_state <= builder_bankmachine0_state; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_next_state <= 4'd0; + litedramcore_bankmachine0_next_state <= litedramcore_bankmachine0_state; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - if (main_litedramcore_bankmachine0_cmd_ready) begin - builder_bankmachine0_next_state <= 3'd5; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + if (litedramcore_bankmachine0_cmd_ready) begin + litedramcore_bankmachine0_next_state <= 3'd5; end end end 2'd2: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - builder_bankmachine0_next_state <= 3'd5; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_next_state <= 3'd5; end end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - if (main_litedramcore_bankmachine0_cmd_ready) begin - builder_bankmachine0_next_state <= 3'd7; + if (litedramcore_bankmachine0_trccon_ready) begin + if (litedramcore_bankmachine0_cmd_ready) begin + litedramcore_bankmachine0_next_state <= 3'd7; end end end 3'd4: begin - if ((~main_litedramcore_bankmachine0_refresh_req)) begin - builder_bankmachine0_next_state <= 1'd0; + if ((~litedramcore_bankmachine0_refresh_req)) begin + litedramcore_bankmachine0_next_state <= 1'd0; end end 3'd5: begin - builder_bankmachine0_next_state <= 3'd6; + litedramcore_bankmachine0_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine0_next_state <= 2'd3; + litedramcore_bankmachine0_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine0_next_state <= 4'd8; + litedramcore_bankmachine0_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine0_next_state <= 1'd0; + litedramcore_bankmachine0_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin - builder_bankmachine0_next_state <= 3'd4; + if (litedramcore_bankmachine0_refresh_req) begin + litedramcore_bankmachine0_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if ((main_litedramcore_bankmachine0_cmd_ready & main_litedramcore_bankmachine0_auto_precharge)) begin - builder_bankmachine0_next_state <= 2'd2; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if ((litedramcore_bankmachine0_cmd_ready & litedramcore_bankmachine0_auto_precharge)) begin + litedramcore_bankmachine0_next_state <= 2'd2; end end else begin - builder_bankmachine0_next_state <= 1'd1; + litedramcore_bankmachine0_next_state <= 1'd1; end end else begin - builder_bankmachine0_next_state <= 2'd3; + litedramcore_bankmachine0_next_state <= 2'd3; end end end @@ -4333,8 +4752,60 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_cas <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_row_open <= 1'd0; + case (litedramcore_bankmachine0_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + litedramcore_bankmachine0_row_close <= 1'd0; + case (litedramcore_bankmachine0_state) + 1'd1: begin + litedramcore_bankmachine0_row_close <= 1'd1; + end + 2'd2: begin + litedramcore_bankmachine0_row_close <= 1'd1; + end + 2'd3: begin + end + 3'd4: begin + litedramcore_bankmachine0_row_close <= 1'd1; + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + litedramcore_bankmachine0_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4352,12 +4823,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - main_litedramcore_bankmachine0_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + litedramcore_bankmachine0_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -4368,18 +4839,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -4397,11 +4868,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -4419,13 +4890,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -4438,15 +4909,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -4464,22 +4935,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -4494,8 +4965,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4513,14 +4984,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine0_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine0_cmd_payload_is_read <= 1'd1; end end else begin end @@ -4532,8 +5003,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4551,13 +5022,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -4570,8 +5041,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_req_wdata_ready <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4589,13 +5060,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_req_wdata_ready <= main_litedramcore_bankmachine0_cmd_ready; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_req_wdata_ready <= litedramcore_bankmachine0_cmd_ready; end else begin end end else begin @@ -4608,8 +5079,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_req_rdata_valid <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4627,14 +5098,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine0_req_rdata_valid <= main_litedramcore_bankmachine0_cmd_ready; + litedramcore_bankmachine0_req_rdata_valid <= litedramcore_bankmachine0_cmd_ready; end end else begin end @@ -4646,8 +5117,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_refresh_gnt <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4655,8 +5126,8 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine0_twtpcon_ready) begin - main_litedramcore_bankmachine0_refresh_gnt <= 1'd1; + if (litedramcore_bankmachine0_twtpcon_ready) begin + litedramcore_bankmachine0_refresh_gnt <= 1'd1; end end 3'd5: begin @@ -4672,18 +5143,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_valid <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; end end 3'd4: begin @@ -4697,12 +5168,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; end else begin end end else begin @@ -4712,45 +5183,146 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine0_row_open <= 1'd0; - case (builder_bankmachine0_state) - 1'd1: begin +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine1_req_valid; +assign litedramcore_bankmachine1_req_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine1_req_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine1_req_addr; +assign litedramcore_bankmachine1_cmd_buffer_sink_valid = litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine1_cmd_buffer_sink_ready; +assign litedramcore_bankmachine1_cmd_buffer_sink_first = litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine1_cmd_buffer_sink_last = litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine1_cmd_buffer_sink_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_sink_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_source_ready = (litedramcore_bankmachine1_req_wdata_ready | litedramcore_bankmachine1_req_rdata_valid); +assign litedramcore_bankmachine1_req_lock = (litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine1_cmd_buffer_source_valid); +assign litedramcore_bankmachine1_row_hit = (litedramcore_bankmachine1_row == litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine1_cmd_payload_ba = 1'd1; +always @(*) begin + litedramcore_bankmachine1_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine1_row_col_n_addr_sel) begin + litedramcore_bankmachine1_cmd_payload_a <= litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7]; + end else begin + litedramcore_bankmachine1_cmd_payload_a <= ((litedramcore_bankmachine1_auto_precharge <<< 4'd10) | {litedramcore_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine1_twtpcon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_cmd_payload_is_write); +assign litedramcore_bankmachine1_trccon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_row_open); +assign litedramcore_bankmachine1_trascon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_row_open); +always @(*) begin + litedramcore_bankmachine1_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine1_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine1_auto_precharge <= (litedramcore_bankmachine1_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_first = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_last = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine1_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine1_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine1_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | litedramcore_bankmachine1_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine1_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine1_cmd_buffer_sink_ready = ((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine1_next_state <= 4'd0; + litedramcore_bankmachine1_next_state <= litedramcore_bankmachine1_state; + case (litedramcore_bankmachine1_state) + 1'd1: begin + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + if (litedramcore_bankmachine1_cmd_ready) begin + litedramcore_bankmachine1_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_next_state <= 3'd5; + end end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_row_open <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + if (litedramcore_bankmachine1_cmd_ready) begin + litedramcore_bankmachine1_next_state <= 3'd7; + end end end 3'd4: begin + if ((~litedramcore_bankmachine1_refresh_req)) begin + litedramcore_bankmachine1_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine1_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine1_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine1_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine1_next_state <= 1'd0; end default: begin + if (litedramcore_bankmachine1_refresh_req) begin + litedramcore_bankmachine1_next_state <= 3'd4; + end else begin + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if ((litedramcore_bankmachine1_cmd_ready & litedramcore_bankmachine1_auto_precharge)) begin + litedramcore_bankmachine1_next_state <= 2'd2; + end + end else begin + litedramcore_bankmachine1_next_state <= 1'd1; + end + end else begin + litedramcore_bankmachine1_next_state <= 2'd3; + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine0_row_close <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine1_row_open <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; end 2'd3: begin + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_row_open <= 1'd1; + end end 3'd4: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; end 3'd5: begin end @@ -4764,136 +5336,35 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine1_req_valid; -assign main_litedramcore_bankmachine1_req_ready = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine1_req_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine1_req_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_valid = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine1_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_source_ready = (main_litedramcore_bankmachine1_req_wdata_ready | main_litedramcore_bankmachine1_req_rdata_valid); -assign main_litedramcore_bankmachine1_req_lock = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine1_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine1_row_hit = (main_litedramcore_bankmachine1_row == main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine1_cmd_payload_ba = 1'd1; -always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine1_row_col_n_addr_sel) begin - main_litedramcore_bankmachine1_cmd_payload_a <= main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7]; - end else begin - main_litedramcore_bankmachine1_cmd_payload_a <= ((main_litedramcore_bankmachine1_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine1_twtpcon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_cmd_payload_is_write); -assign main_litedramcore_bankmachine1_trccon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_row_open); -assign main_litedramcore_bankmachine1_trascon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_row_open); -always @(*) begin - main_litedramcore_bankmachine1_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine1_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine1_auto_precharge <= (main_litedramcore_bankmachine1_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine1_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine1_cmd_buffer_source_valid) | main_litedramcore_bankmachine1_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine1_next_state <= 4'd0; - builder_bankmachine1_next_state <= builder_bankmachine1_state; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_row_close <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - if (main_litedramcore_bankmachine1_cmd_ready) begin - builder_bankmachine1_next_state <= 3'd5; - end - end + litedramcore_bankmachine1_row_close <= 1'd1; end 2'd2: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - builder_bankmachine1_next_state <= 3'd5; - end + litedramcore_bankmachine1_row_close <= 1'd1; end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - if (main_litedramcore_bankmachine1_cmd_ready) begin - builder_bankmachine1_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine1_refresh_req)) begin - builder_bankmachine1_next_state <= 1'd0; - end + litedramcore_bankmachine1_row_close <= 1'd1; end 3'd5: begin - builder_bankmachine1_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine1_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine1_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine1_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin - builder_bankmachine1_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if ((main_litedramcore_bankmachine1_cmd_ready & main_litedramcore_bankmachine1_auto_precharge)) begin - builder_bankmachine1_next_state <= 2'd2; - end - end else begin - builder_bankmachine1_next_state <= 1'd1; - end - end else begin - builder_bankmachine1_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_cas <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -4911,12 +5382,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - main_litedramcore_bankmachine1_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + litedramcore_bankmachine1_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -4927,18 +5398,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -4956,11 +5427,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -4978,13 +5449,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -4997,15 +5468,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -5023,22 +5494,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -5053,8 +5524,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5072,14 +5543,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine1_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine1_cmd_payload_is_read <= 1'd1; end end else begin end @@ -5091,8 +5562,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5110,13 +5581,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -5129,8 +5600,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_req_wdata_ready <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5148,13 +5619,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_req_wdata_ready <= main_litedramcore_bankmachine1_cmd_ready; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_req_wdata_ready <= litedramcore_bankmachine1_cmd_ready; end else begin end end else begin @@ -5167,8 +5638,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_req_rdata_valid <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5186,14 +5657,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine1_req_rdata_valid <= main_litedramcore_bankmachine1_cmd_ready; + litedramcore_bankmachine1_req_rdata_valid <= litedramcore_bankmachine1_cmd_ready; end end else begin end @@ -5205,8 +5676,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_refresh_gnt <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5214,8 +5685,8 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine1_twtpcon_ready) begin - main_litedramcore_bankmachine1_refresh_gnt <= 1'd1; + if (litedramcore_bankmachine1_twtpcon_ready) begin + litedramcore_bankmachine1_refresh_gnt <= 1'd1; end end 3'd5: begin @@ -5231,18 +5702,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_valid <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end end 3'd4: begin @@ -5256,12 +5727,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end else begin end end else begin @@ -5271,45 +5742,146 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine1_row_open <= 1'd0; - case (builder_bankmachine1_state) - 1'd1: begin +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine2_req_valid; +assign litedramcore_bankmachine2_req_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine2_req_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine2_req_addr; +assign litedramcore_bankmachine2_cmd_buffer_sink_valid = litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine2_cmd_buffer_sink_ready; +assign litedramcore_bankmachine2_cmd_buffer_sink_first = litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine2_cmd_buffer_sink_last = litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine2_cmd_buffer_sink_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_sink_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_source_ready = (litedramcore_bankmachine2_req_wdata_ready | litedramcore_bankmachine2_req_rdata_valid); +assign litedramcore_bankmachine2_req_lock = (litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine2_cmd_buffer_source_valid); +assign litedramcore_bankmachine2_row_hit = (litedramcore_bankmachine2_row == litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine2_cmd_payload_ba = 2'd2; +always @(*) begin + litedramcore_bankmachine2_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine2_row_col_n_addr_sel) begin + litedramcore_bankmachine2_cmd_payload_a <= litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7]; + end else begin + litedramcore_bankmachine2_cmd_payload_a <= ((litedramcore_bankmachine2_auto_precharge <<< 4'd10) | {litedramcore_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine2_twtpcon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_cmd_payload_is_write); +assign litedramcore_bankmachine2_trccon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_row_open); +assign litedramcore_bankmachine2_trascon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_row_open); +always @(*) begin + litedramcore_bankmachine2_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine2_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine2_auto_precharge <= (litedramcore_bankmachine2_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_first = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_last = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine2_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine2_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine2_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | litedramcore_bankmachine2_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine2_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine2_cmd_buffer_sink_ready = ((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine2_next_state <= 4'd0; + litedramcore_bankmachine2_next_state <= litedramcore_bankmachine2_state; + case (litedramcore_bankmachine2_state) + 1'd1: begin + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + if (litedramcore_bankmachine2_cmd_ready) begin + litedramcore_bankmachine2_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_next_state <= 3'd5; + end end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_row_open <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + if (litedramcore_bankmachine2_cmd_ready) begin + litedramcore_bankmachine2_next_state <= 3'd7; + end end end 3'd4: begin + if ((~litedramcore_bankmachine2_refresh_req)) begin + litedramcore_bankmachine2_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine2_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine2_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine2_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine2_next_state <= 1'd0; end default: begin + if (litedramcore_bankmachine2_refresh_req) begin + litedramcore_bankmachine2_next_state <= 3'd4; + end else begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if ((litedramcore_bankmachine2_cmd_ready & litedramcore_bankmachine2_auto_precharge)) begin + litedramcore_bankmachine2_next_state <= 2'd2; + end + end else begin + litedramcore_bankmachine2_next_state <= 1'd1; + end + end else begin + litedramcore_bankmachine2_next_state <= 2'd3; + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine1_row_close <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine2_row_open <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; end 2'd3: begin + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_row_open <= 1'd1; + end end 3'd4: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; end 3'd5: begin end @@ -5323,136 +5895,35 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine2_req_valid; -assign main_litedramcore_bankmachine2_req_ready = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine2_req_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine2_req_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_valid = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine2_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_source_ready = (main_litedramcore_bankmachine2_req_wdata_ready | main_litedramcore_bankmachine2_req_rdata_valid); -assign main_litedramcore_bankmachine2_req_lock = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine2_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine2_row_hit = (main_litedramcore_bankmachine2_row == main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine2_cmd_payload_ba = 2'd2; -always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine2_row_col_n_addr_sel) begin - main_litedramcore_bankmachine2_cmd_payload_a <= main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7]; - end else begin - main_litedramcore_bankmachine2_cmd_payload_a <= ((main_litedramcore_bankmachine2_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine2_twtpcon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_cmd_payload_is_write); -assign main_litedramcore_bankmachine2_trccon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_row_open); -assign main_litedramcore_bankmachine2_trascon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_row_open); -always @(*) begin - main_litedramcore_bankmachine2_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine2_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine2_auto_precharge <= (main_litedramcore_bankmachine2_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine2_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine2_cmd_buffer_source_valid) | main_litedramcore_bankmachine2_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine2_next_state <= 4'd0; - builder_bankmachine2_next_state <= builder_bankmachine2_state; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_row_close <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - if (main_litedramcore_bankmachine2_cmd_ready) begin - builder_bankmachine2_next_state <= 3'd5; - end - end + litedramcore_bankmachine2_row_close <= 1'd1; end 2'd2: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - builder_bankmachine2_next_state <= 3'd5; - end + litedramcore_bankmachine2_row_close <= 1'd1; end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - if (main_litedramcore_bankmachine2_cmd_ready) begin - builder_bankmachine2_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine2_refresh_req)) begin - builder_bankmachine2_next_state <= 1'd0; - end + litedramcore_bankmachine2_row_close <= 1'd1; end 3'd5: begin - builder_bankmachine2_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine2_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine2_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine2_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin - builder_bankmachine2_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if ((main_litedramcore_bankmachine2_cmd_ready & main_litedramcore_bankmachine2_auto_precharge)) begin - builder_bankmachine2_next_state <= 2'd2; - end - end else begin - builder_bankmachine2_next_state <= 1'd1; - end - end else begin - builder_bankmachine2_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_cas <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5470,12 +5941,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - main_litedramcore_bankmachine2_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + litedramcore_bankmachine2_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -5486,18 +5957,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -5515,11 +5986,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -5537,13 +6008,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -5556,15 +6027,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -5582,22 +6053,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -5612,8 +6083,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5631,14 +6102,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine2_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine2_cmd_payload_is_read <= 1'd1; end end else begin end @@ -5650,8 +6121,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5669,13 +6140,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -5688,8 +6159,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_req_wdata_ready <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5707,13 +6178,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_req_wdata_ready <= main_litedramcore_bankmachine2_cmd_ready; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_req_wdata_ready <= litedramcore_bankmachine2_cmd_ready; end else begin end end else begin @@ -5726,13 +6197,19 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_req_rdata_valid <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_valid <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; + end end 3'd4: begin end @@ -5745,15 +6222,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine2_req_rdata_valid <= main_litedramcore_bankmachine2_cmd_ready; - end + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; end else begin end end else begin @@ -5764,8 +6238,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_refresh_gnt <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5773,9 +6247,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine2_twtpcon_ready) begin - main_litedramcore_bankmachine2_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -5786,25 +6257,37 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine2_refresh_req) begin + end else begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine2_req_rdata_valid <= litedramcore_bankmachine2_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; - end end 3'd4: begin + if (litedramcore_bankmachine2_twtpcon_ready) begin + litedramcore_bankmachine2_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -5815,60 +6298,149 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; - end else begin - end - end else begin - end - end - end end endcase end +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine3_req_valid; +assign litedramcore_bankmachine3_req_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine3_req_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine3_req_addr; +assign litedramcore_bankmachine3_cmd_buffer_sink_valid = litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine3_cmd_buffer_sink_ready; +assign litedramcore_bankmachine3_cmd_buffer_sink_first = litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine3_cmd_buffer_sink_last = litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine3_cmd_buffer_sink_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_sink_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_source_ready = (litedramcore_bankmachine3_req_wdata_ready | litedramcore_bankmachine3_req_rdata_valid); +assign litedramcore_bankmachine3_req_lock = (litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine3_cmd_buffer_source_valid); +assign litedramcore_bankmachine3_row_hit = (litedramcore_bankmachine3_row == litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine3_cmd_payload_ba = 2'd3; +always @(*) begin + litedramcore_bankmachine3_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine3_row_col_n_addr_sel) begin + litedramcore_bankmachine3_cmd_payload_a <= litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7]; + end else begin + litedramcore_bankmachine3_cmd_payload_a <= ((litedramcore_bankmachine3_auto_precharge <<< 4'd10) | {litedramcore_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine3_twtpcon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_cmd_payload_is_write); +assign litedramcore_bankmachine3_trccon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_row_open); +assign litedramcore_bankmachine3_trascon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_row_open); +always @(*) begin + litedramcore_bankmachine3_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine3_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine3_auto_precharge <= (litedramcore_bankmachine3_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_first = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_last = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine2_row_open <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine3_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine3_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine3_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | litedramcore_bankmachine3_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine3_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine3_cmd_buffer_sink_ready = ((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine3_next_state <= 4'd0; + litedramcore_bankmachine3_next_state <= litedramcore_bankmachine3_state; + case (litedramcore_bankmachine3_state) 1'd1: begin + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + if (litedramcore_bankmachine3_cmd_ready) begin + litedramcore_bankmachine3_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_next_state <= 3'd5; + end end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_row_open <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + if (litedramcore_bankmachine3_cmd_ready) begin + litedramcore_bankmachine3_next_state <= 3'd7; + end end end 3'd4: begin + if ((~litedramcore_bankmachine3_refresh_req)) begin + litedramcore_bankmachine3_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine3_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine3_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine3_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine3_next_state <= 1'd0; end default: begin + if (litedramcore_bankmachine3_refresh_req) begin + litedramcore_bankmachine3_next_state <= 3'd4; + end else begin + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if ((litedramcore_bankmachine3_cmd_ready & litedramcore_bankmachine3_auto_precharge)) begin + litedramcore_bankmachine3_next_state <= 2'd2; + end + end else begin + litedramcore_bankmachine3_next_state <= 1'd1; + end + end else begin + litedramcore_bankmachine3_next_state <= 2'd3; + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine2_row_close <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine3_row_open <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; end 2'd3: begin + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_row_open <= 1'd1; + end end 3'd4: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; end 3'd5: begin end @@ -5882,136 +6454,35 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine3_req_valid; -assign main_litedramcore_bankmachine3_req_ready = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine3_req_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine3_req_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_valid = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine3_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_source_ready = (main_litedramcore_bankmachine3_req_wdata_ready | main_litedramcore_bankmachine3_req_rdata_valid); -assign main_litedramcore_bankmachine3_req_lock = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine3_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine3_row_hit = (main_litedramcore_bankmachine3_row == main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine3_cmd_payload_ba = 2'd3; -always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine3_row_col_n_addr_sel) begin - main_litedramcore_bankmachine3_cmd_payload_a <= main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7]; - end else begin - main_litedramcore_bankmachine3_cmd_payload_a <= ((main_litedramcore_bankmachine3_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine3_twtpcon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_cmd_payload_is_write); -assign main_litedramcore_bankmachine3_trccon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_row_open); -assign main_litedramcore_bankmachine3_trascon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_row_open); -always @(*) begin - main_litedramcore_bankmachine3_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine3_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine3_auto_precharge <= (main_litedramcore_bankmachine3_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine3_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine3_cmd_buffer_source_valid) | main_litedramcore_bankmachine3_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine3_next_state <= 4'd0; - builder_bankmachine3_next_state <= builder_bankmachine3_state; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_row_close <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - if (main_litedramcore_bankmachine3_cmd_ready) begin - builder_bankmachine3_next_state <= 3'd5; - end - end + litedramcore_bankmachine3_row_close <= 1'd1; end 2'd2: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - builder_bankmachine3_next_state <= 3'd5; - end + litedramcore_bankmachine3_row_close <= 1'd1; end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - if (main_litedramcore_bankmachine3_cmd_ready) begin - builder_bankmachine3_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine3_refresh_req)) begin - builder_bankmachine3_next_state <= 1'd0; - end + litedramcore_bankmachine3_row_close <= 1'd1; end 3'd5: begin - builder_bankmachine3_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine3_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine3_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine3_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin - builder_bankmachine3_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if ((main_litedramcore_bankmachine3_cmd_ready & main_litedramcore_bankmachine3_auto_precharge)) begin - builder_bankmachine3_next_state <= 2'd2; - end - end else begin - builder_bankmachine3_next_state <= 1'd1; - end - end else begin - builder_bankmachine3_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_cas <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6029,12 +6500,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - main_litedramcore_bankmachine3_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + litedramcore_bankmachine3_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -6045,18 +6516,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -6074,11 +6545,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -6096,13 +6567,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -6115,15 +6586,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -6141,22 +6612,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -6171,8 +6642,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6190,14 +6661,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine3_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine3_cmd_payload_is_read <= 1'd1; end end else begin end @@ -6209,8 +6680,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6228,13 +6699,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -6247,8 +6718,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_req_wdata_ready <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6266,13 +6737,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_req_wdata_ready <= main_litedramcore_bankmachine3_cmd_ready; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_req_wdata_ready <= litedramcore_bankmachine3_cmd_ready; end else begin end end else begin @@ -6285,8 +6756,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_req_rdata_valid <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6304,14 +6775,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine3_req_rdata_valid <= main_litedramcore_bankmachine3_cmd_ready; + litedramcore_bankmachine3_req_rdata_valid <= litedramcore_bankmachine3_cmd_ready; end end else begin end @@ -6323,8 +6794,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_refresh_gnt <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6332,8 +6803,8 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine3_twtpcon_ready) begin - main_litedramcore_bankmachine3_refresh_gnt <= 1'd1; + if (litedramcore_bankmachine3_twtpcon_ready) begin + litedramcore_bankmachine3_refresh_gnt <= 1'd1; end end 3'd5: begin @@ -6349,18 +6820,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_valid <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end end 3'd4: begin @@ -6374,12 +6845,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end else begin end end else begin @@ -6389,45 +6860,146 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine3_row_open <= 1'd0; - case (builder_bankmachine3_state) - 1'd1: begin +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine4_req_valid; +assign litedramcore_bankmachine4_req_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine4_req_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine4_req_addr; +assign litedramcore_bankmachine4_cmd_buffer_sink_valid = litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine4_cmd_buffer_sink_ready; +assign litedramcore_bankmachine4_cmd_buffer_sink_first = litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine4_cmd_buffer_sink_last = litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine4_cmd_buffer_sink_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_sink_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_source_ready = (litedramcore_bankmachine4_req_wdata_ready | litedramcore_bankmachine4_req_rdata_valid); +assign litedramcore_bankmachine4_req_lock = (litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine4_cmd_buffer_source_valid); +assign litedramcore_bankmachine4_row_hit = (litedramcore_bankmachine4_row == litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine4_cmd_payload_ba = 3'd4; +always @(*) begin + litedramcore_bankmachine4_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine4_row_col_n_addr_sel) begin + litedramcore_bankmachine4_cmd_payload_a <= litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7]; + end else begin + litedramcore_bankmachine4_cmd_payload_a <= ((litedramcore_bankmachine4_auto_precharge <<< 4'd10) | {litedramcore_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine4_twtpcon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_cmd_payload_is_write); +assign litedramcore_bankmachine4_trccon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_row_open); +assign litedramcore_bankmachine4_trascon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_row_open); +always @(*) begin + litedramcore_bankmachine4_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine4_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine4_auto_precharge <= (litedramcore_bankmachine4_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_first = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_last = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine4_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine4_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine4_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | litedramcore_bankmachine4_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine4_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine4_cmd_buffer_sink_ready = ((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine4_next_state <= 4'd0; + litedramcore_bankmachine4_next_state <= litedramcore_bankmachine4_state; + case (litedramcore_bankmachine4_state) + 1'd1: begin + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + if (litedramcore_bankmachine4_cmd_ready) begin + litedramcore_bankmachine4_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_next_state <= 3'd5; + end end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_row_open <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + if (litedramcore_bankmachine4_cmd_ready) begin + litedramcore_bankmachine4_next_state <= 3'd7; + end end end 3'd4: begin + if ((~litedramcore_bankmachine4_refresh_req)) begin + litedramcore_bankmachine4_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine4_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine4_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine4_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine4_next_state <= 1'd0; end default: begin + if (litedramcore_bankmachine4_refresh_req) begin + litedramcore_bankmachine4_next_state <= 3'd4; + end else begin + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if ((litedramcore_bankmachine4_cmd_ready & litedramcore_bankmachine4_auto_precharge)) begin + litedramcore_bankmachine4_next_state <= 2'd2; + end + end else begin + litedramcore_bankmachine4_next_state <= 1'd1; + end + end else begin + litedramcore_bankmachine4_next_state <= 2'd3; + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine3_row_close <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine4_row_open <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; end 2'd3: begin + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_row_open <= 1'd1; + end end 3'd4: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; end 3'd5: begin end @@ -6441,127 +7013,67 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine4_req_valid; -assign main_litedramcore_bankmachine4_req_ready = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine4_req_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine4_req_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_valid = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine4_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_source_ready = (main_litedramcore_bankmachine4_req_wdata_ready | main_litedramcore_bankmachine4_req_rdata_valid); -assign main_litedramcore_bankmachine4_req_lock = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine4_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine4_row_hit = (main_litedramcore_bankmachine4_row == main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine4_cmd_payload_ba = 3'd4; always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine4_row_col_n_addr_sel) begin - main_litedramcore_bankmachine4_cmd_payload_a <= main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7]; - end else begin - main_litedramcore_bankmachine4_cmd_payload_a <= ((main_litedramcore_bankmachine4_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine4_twtpcon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_cmd_payload_is_write); -assign main_litedramcore_bankmachine4_trccon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_row_open); -assign main_litedramcore_bankmachine4_trascon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_row_open); -always @(*) begin - main_litedramcore_bankmachine4_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine4_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine4_auto_precharge <= (main_litedramcore_bankmachine4_row_close == 1'd0); + litedramcore_bankmachine4_row_close <= 1'd0; + case (litedramcore_bankmachine4_state) + 1'd1: begin + litedramcore_bankmachine4_row_close <= 1'd1; end - end -end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce; - end + 2'd2: begin + litedramcore_bankmachine4_row_close <= 1'd1; + end + 2'd3: begin + end + 3'd4: begin + litedramcore_bankmachine4_row_close <= 1'd1; + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine4_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine4_cmd_buffer_source_valid) | main_litedramcore_bankmachine4_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine4_next_state <= 4'd0; - builder_bankmachine4_next_state <= builder_bankmachine4_state; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_valid <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - if (main_litedramcore_bankmachine4_cmd_ready) begin - builder_bankmachine4_next_state <= 3'd5; - end + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; end end 2'd2: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - builder_bankmachine4_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - if (main_litedramcore_bankmachine4_cmd_ready) begin - builder_bankmachine4_next_state <= 3'd7; - end + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; end end 3'd4: begin - if ((~main_litedramcore_bankmachine4_refresh_req)) begin - builder_bankmachine4_next_state <= 1'd0; - end end 3'd5: begin - builder_bankmachine4_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine4_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine4_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine4_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin - builder_bankmachine4_next_state <= 3'd4; + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if ((main_litedramcore_bankmachine4_cmd_ready & main_litedramcore_bankmachine4_auto_precharge)) begin - builder_bankmachine4_next_state <= 2'd2; - end + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; end else begin - builder_bankmachine4_next_state <= 1'd1; end end else begin - builder_bankmachine4_next_state <= 2'd3; end end end @@ -6569,8 +7081,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_cas <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6588,12 +7100,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - main_litedramcore_bankmachine4_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + litedramcore_bankmachine4_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -6604,18 +7116,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -6633,11 +7145,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -6655,13 +7167,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -6674,15 +7186,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -6700,22 +7212,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -6730,8 +7242,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6749,14 +7261,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine4_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine4_cmd_payload_is_read <= 1'd1; end end else begin end @@ -6768,8 +7280,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6787,13 +7299,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -6806,8 +7318,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_req_wdata_ready <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6825,13 +7337,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_req_wdata_ready <= main_litedramcore_bankmachine4_cmd_ready; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_req_wdata_ready <= litedramcore_bankmachine4_cmd_ready; end else begin end end else begin @@ -6844,8 +7356,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_req_rdata_valid <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6863,14 +7375,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine4_req_rdata_valid <= main_litedramcore_bankmachine4_cmd_ready; + litedramcore_bankmachine4_req_rdata_valid <= litedramcore_bankmachine4_cmd_ready; end end else begin end @@ -6882,8 +7394,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_refresh_gnt <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6891,8 +7403,8 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine4_twtpcon_ready) begin - main_litedramcore_bankmachine4_refresh_gnt <= 1'd1; + if (litedramcore_bankmachine4_twtpcon_ready) begin + litedramcore_bankmachine4_refresh_gnt <= 1'd1; end end 3'd5: begin @@ -6907,41 +7419,127 @@ always @(*) begin end endcase end +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine5_req_valid; +assign litedramcore_bankmachine5_req_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine5_req_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine5_req_addr; +assign litedramcore_bankmachine5_cmd_buffer_sink_valid = litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine5_cmd_buffer_sink_ready; +assign litedramcore_bankmachine5_cmd_buffer_sink_first = litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine5_cmd_buffer_sink_last = litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine5_cmd_buffer_sink_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_sink_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_source_ready = (litedramcore_bankmachine5_req_wdata_ready | litedramcore_bankmachine5_req_rdata_valid); +assign litedramcore_bankmachine5_req_lock = (litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine5_cmd_buffer_source_valid); +assign litedramcore_bankmachine5_row_hit = (litedramcore_bankmachine5_row == litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine5_cmd_payload_ba = 3'd5; +always @(*) begin + litedramcore_bankmachine5_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine5_row_col_n_addr_sel) begin + litedramcore_bankmachine5_cmd_payload_a <= litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7]; + end else begin + litedramcore_bankmachine5_cmd_payload_a <= ((litedramcore_bankmachine5_auto_precharge <<< 4'd10) | {litedramcore_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine5_twtpcon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_cmd_payload_is_write); +assign litedramcore_bankmachine5_trccon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_row_open); +assign litedramcore_bankmachine5_trascon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_row_open); +always @(*) begin + litedramcore_bankmachine5_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine5_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine5_auto_precharge <= (litedramcore_bankmachine5_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_first = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_last = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine5_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine5_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine5_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | litedramcore_bankmachine5_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine5_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine5_cmd_buffer_sink_ready = ((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine5_next_state <= 4'd0; + litedramcore_bankmachine5_next_state <= litedramcore_bankmachine5_state; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + if (litedramcore_bankmachine5_cmd_ready) begin + litedramcore_bankmachine5_next_state <= 3'd5; + end end end 2'd2: begin + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_next_state <= 3'd5; + end end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + if (litedramcore_bankmachine5_cmd_ready) begin + litedramcore_bankmachine5_next_state <= 3'd7; + end end end 3'd4: begin + if ((~litedramcore_bankmachine5_refresh_req)) begin + litedramcore_bankmachine5_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine5_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine5_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine5_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine5_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin + litedramcore_bankmachine5_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if ((litedramcore_bankmachine5_cmd_ready & litedramcore_bankmachine5_auto_precharge)) begin + litedramcore_bankmachine5_next_state <= 2'd2; + end end else begin + litedramcore_bankmachine5_next_state <= 1'd1; end end else begin + litedramcore_bankmachine5_next_state <= 2'd3; end end end @@ -6949,15 +7547,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_row_open <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine5_row_open <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_row_open <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_row_open <= 1'd1; end end 3'd4: begin @@ -6975,161 +7573,34 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_row_close <= 1'd0; - case (builder_bankmachine4_state) - 1'd1: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; - end - 2'd2: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; - end - 2'd3: begin - end - 3'd4: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine5_req_valid; -assign main_litedramcore_bankmachine5_req_ready = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine5_req_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine5_req_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_valid = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine5_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_source_ready = (main_litedramcore_bankmachine5_req_wdata_ready | main_litedramcore_bankmachine5_req_rdata_valid); -assign main_litedramcore_bankmachine5_req_lock = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine5_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine5_row_hit = (main_litedramcore_bankmachine5_row == main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine5_cmd_payload_ba = 3'd5; -always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine5_row_col_n_addr_sel) begin - main_litedramcore_bankmachine5_cmd_payload_a <= main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7]; - end else begin - main_litedramcore_bankmachine5_cmd_payload_a <= ((main_litedramcore_bankmachine5_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine5_twtpcon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_cmd_payload_is_write); -assign main_litedramcore_bankmachine5_trccon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_row_open); -assign main_litedramcore_bankmachine5_trascon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_row_open); -always @(*) begin - main_litedramcore_bankmachine5_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine5_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine5_auto_precharge <= (main_litedramcore_bankmachine5_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine5_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine5_cmd_buffer_source_valid) | main_litedramcore_bankmachine5_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine5_next_state <= 4'd0; - builder_bankmachine5_next_state <= builder_bankmachine5_state; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_row_close <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - if (main_litedramcore_bankmachine5_cmd_ready) begin - builder_bankmachine5_next_state <= 3'd5; - end - end + litedramcore_bankmachine5_row_close <= 1'd1; end 2'd2: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - builder_bankmachine5_next_state <= 3'd5; - end + litedramcore_bankmachine5_row_close <= 1'd1; end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - if (main_litedramcore_bankmachine5_cmd_ready) begin - builder_bankmachine5_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine5_refresh_req)) begin - builder_bankmachine5_next_state <= 1'd0; - end + litedramcore_bankmachine5_row_close <= 1'd1; end 3'd5: begin - builder_bankmachine5_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine5_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine5_next_state <= 4'd8; - end - 4'd8: begin - builder_bankmachine5_next_state <= 1'd0; - end - default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin - builder_bankmachine5_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if ((main_litedramcore_bankmachine5_cmd_ready & main_litedramcore_bankmachine5_auto_precharge)) begin - builder_bankmachine5_next_state <= 2'd2; - end - end else begin - builder_bankmachine5_next_state <= 1'd1; - end - end else begin - builder_bankmachine5_next_state <= 2'd3; - end - end - end + end + 4'd8: begin + end + default: begin end endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_cas <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7147,12 +7618,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - main_litedramcore_bankmachine5_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + litedramcore_bankmachine5_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -7163,18 +7634,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -7192,11 +7663,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -7214,13 +7685,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -7233,15 +7704,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -7259,22 +7730,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -7289,13 +7760,19 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_valid <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; + end end 3'd4: begin end @@ -7308,15 +7785,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine5_cmd_payload_is_read <= 1'd1; - end + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; end else begin end end else begin @@ -7327,8 +7801,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7346,14 +7820,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin end else begin + litedramcore_bankmachine5_cmd_payload_is_read <= 1'd1; end end else begin end @@ -7365,8 +7839,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_req_wdata_ready <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7384,13 +7858,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_req_wdata_ready <= main_litedramcore_bankmachine5_cmd_ready; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -7403,8 +7877,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_req_rdata_valid <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7422,14 +7896,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_req_wdata_ready <= litedramcore_bankmachine5_cmd_ready; end else begin - main_litedramcore_bankmachine5_req_rdata_valid <= main_litedramcore_bankmachine5_cmd_ready; end end else begin end @@ -7441,45 +7915,13 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_refresh_gnt <= 1'd0; - case (builder_bankmachine5_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - if (main_litedramcore_bankmachine5_twtpcon_ready) begin - main_litedramcore_bankmachine5_refresh_gnt <= 1'd1; - end - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; - end end 3'd4: begin end @@ -7492,12 +7934,15 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine5_req_rdata_valid <= litedramcore_bankmachine5_cmd_ready; + end end else begin end end else begin @@ -7508,44 +7953,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_row_open <= 1'd0; - case (builder_bankmachine5_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_row_open <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - main_litedramcore_bankmachine5_row_close <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; + if (litedramcore_bankmachine5_twtpcon_ready) begin + litedramcore_bankmachine5_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -7559,127 +7978,127 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine6_req_valid; -assign main_litedramcore_bankmachine6_req_ready = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine6_req_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine6_req_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_valid = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine6_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_source_ready = (main_litedramcore_bankmachine6_req_wdata_ready | main_litedramcore_bankmachine6_req_rdata_valid); -assign main_litedramcore_bankmachine6_req_lock = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine6_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine6_row_hit = (main_litedramcore_bankmachine6_row == main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine6_cmd_payload_ba = 3'd6; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine6_req_valid; +assign litedramcore_bankmachine6_req_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine6_req_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine6_req_addr; +assign litedramcore_bankmachine6_cmd_buffer_sink_valid = litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine6_cmd_buffer_sink_ready; +assign litedramcore_bankmachine6_cmd_buffer_sink_first = litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine6_cmd_buffer_sink_last = litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine6_cmd_buffer_sink_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_sink_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_source_ready = (litedramcore_bankmachine6_req_wdata_ready | litedramcore_bankmachine6_req_rdata_valid); +assign litedramcore_bankmachine6_req_lock = (litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine6_cmd_buffer_source_valid); +assign litedramcore_bankmachine6_row_hit = (litedramcore_bankmachine6_row == litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine6_cmd_payload_ba = 3'd6; always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine6_row_col_n_addr_sel) begin - main_litedramcore_bankmachine6_cmd_payload_a <= main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7]; + litedramcore_bankmachine6_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine6_row_col_n_addr_sel) begin + litedramcore_bankmachine6_cmd_payload_a <= litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7]; end else begin - main_litedramcore_bankmachine6_cmd_payload_a <= ((main_litedramcore_bankmachine6_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + litedramcore_bankmachine6_cmd_payload_a <= ((litedramcore_bankmachine6_auto_precharge <<< 4'd10) | {litedramcore_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); end end -assign main_litedramcore_bankmachine6_twtpcon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_cmd_payload_is_write); -assign main_litedramcore_bankmachine6_trccon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_row_open); -assign main_litedramcore_bankmachine6_trascon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_row_open); +assign litedramcore_bankmachine6_twtpcon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_cmd_payload_is_write); +assign litedramcore_bankmachine6_trccon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_row_open); +assign litedramcore_bankmachine6_trascon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_row_open); always @(*) begin - main_litedramcore_bankmachine6_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine6_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine6_auto_precharge <= (main_litedramcore_bankmachine6_row_close == 1'd0); + litedramcore_bankmachine6_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine6_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine6_auto_precharge <= (litedramcore_bankmachine6_row_close == 1'd0); end end end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_first = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_last = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce - 1'd1); + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine6_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine6_cmd_buffer_lookahead_produce - 1'd1); end else begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce; + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine6_cmd_buffer_lookahead_produce; end end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine6_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine6_cmd_buffer_source_valid) | main_litedramcore_bankmachine6_cmd_buffer_source_ready); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | litedramcore_bankmachine6_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine6_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine6_cmd_buffer_sink_ready = ((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine6_next_state <= 4'd0; - builder_bankmachine6_next_state <= builder_bankmachine6_state; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_next_state <= 4'd0; + litedramcore_bankmachine6_next_state <= litedramcore_bankmachine6_state; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - if (main_litedramcore_bankmachine6_cmd_ready) begin - builder_bankmachine6_next_state <= 3'd5; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + if (litedramcore_bankmachine6_cmd_ready) begin + litedramcore_bankmachine6_next_state <= 3'd5; end end end 2'd2: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - builder_bankmachine6_next_state <= 3'd5; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_next_state <= 3'd5; end end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - if (main_litedramcore_bankmachine6_cmd_ready) begin - builder_bankmachine6_next_state <= 3'd7; + if (litedramcore_bankmachine6_trccon_ready) begin + if (litedramcore_bankmachine6_cmd_ready) begin + litedramcore_bankmachine6_next_state <= 3'd7; end end end 3'd4: begin - if ((~main_litedramcore_bankmachine6_refresh_req)) begin - builder_bankmachine6_next_state <= 1'd0; + if ((~litedramcore_bankmachine6_refresh_req)) begin + litedramcore_bankmachine6_next_state <= 1'd0; end end 3'd5: begin - builder_bankmachine6_next_state <= 3'd6; + litedramcore_bankmachine6_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine6_next_state <= 2'd3; + litedramcore_bankmachine6_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine6_next_state <= 4'd8; + litedramcore_bankmachine6_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine6_next_state <= 1'd0; + litedramcore_bankmachine6_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin - builder_bankmachine6_next_state <= 3'd4; + if (litedramcore_bankmachine6_refresh_req) begin + litedramcore_bankmachine6_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if ((main_litedramcore_bankmachine6_cmd_ready & main_litedramcore_bankmachine6_auto_precharge)) begin - builder_bankmachine6_next_state <= 2'd2; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if ((litedramcore_bankmachine6_cmd_ready & litedramcore_bankmachine6_auto_precharge)) begin + litedramcore_bankmachine6_next_state <= 2'd2; end end else begin - builder_bankmachine6_next_state <= 1'd1; + litedramcore_bankmachine6_next_state <= 1'd1; end end else begin - builder_bankmachine6_next_state <= 2'd3; + litedramcore_bankmachine6_next_state <= 2'd3; end end end @@ -7687,13 +8106,16 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_cas <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_open <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_row_open <= 1'd1; + end end 3'd4: begin end @@ -7706,37 +8128,22 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - main_litedramcore_bankmachine6_cmd_payload_cas <= 1'd1; - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_close <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; - end + litedramcore_bankmachine6_row_close <= 1'd1; end 2'd2: begin + litedramcore_bankmachine6_row_close <= 1'd1; end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; - end end 3'd4: begin + litedramcore_bankmachine6_row_close <= 1'd1; end 3'd5: begin end @@ -7751,12 +8158,9 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd1; - end end 2'd2: begin end @@ -7773,15 +8177,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd1; - end else begin - end + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + litedramcore_bankmachine6_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -7792,15 +8193,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; + end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -7818,22 +8222,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_we <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; - end end 3'd4: begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -7844,17 +8244,35 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine6_refresh_req) begin + end else begin + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_cmd_payload_we <= 1'd1; + end else begin + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd1; + end end 3'd4: begin end @@ -7867,34 +8285,26 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine6_cmd_payload_is_read <= 1'd1; - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + end end 3'd4: begin + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -7905,27 +8315,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_cmd_payload_is_write <= 1'd1; - end else begin - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine6_req_wdata_ready <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -7943,14 +8338,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_req_wdata_ready <= main_litedramcore_bankmachine6_cmd_ready; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin end else begin + litedramcore_bankmachine6_cmd_payload_is_read <= 1'd1; end end else begin end @@ -7962,8 +8357,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_req_rdata_valid <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -7981,14 +8376,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_cmd_payload_is_write <= 1'd1; end else begin - main_litedramcore_bankmachine6_req_rdata_valid <= main_litedramcore_bankmachine6_cmd_ready; end end else begin end @@ -8000,8 +8395,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_refresh_gnt <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -8009,9 +8404,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine6_twtpcon_ready) begin - main_litedramcore_bankmachine6_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -8022,23 +8414,32 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine6_refresh_req) begin + end else begin + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_req_wdata_ready <= litedramcore_bankmachine6_cmd_ready; + end else begin + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; - end end 3'd4: begin end @@ -8051,12 +8452,15 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine6_req_rdata_valid <= litedramcore_bankmachine6_cmd_ready; + end end else begin end end else begin @@ -8067,15 +8471,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_row_open <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_valid <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; + end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_row_open <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; end end 3'd4: begin @@ -8089,22 +8496,34 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine6_refresh_req) begin + end else begin + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine6_row_close <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; + if (litedramcore_bankmachine6_twtpcon_ready) begin + litedramcore_bankmachine6_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -8118,127 +8537,127 @@ always @(*) begin end endcase end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine7_req_valid; -assign main_litedramcore_bankmachine7_req_ready = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine7_req_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine7_req_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_valid = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine7_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_source_ready = (main_litedramcore_bankmachine7_req_wdata_ready | main_litedramcore_bankmachine7_req_rdata_valid); -assign main_litedramcore_bankmachine7_req_lock = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine7_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine7_row_hit = (main_litedramcore_bankmachine7_row == main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7]); -assign main_litedramcore_bankmachine7_cmd_payload_ba = 3'd7; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine7_req_valid; +assign litedramcore_bankmachine7_req_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine7_req_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine7_req_addr; +assign litedramcore_bankmachine7_cmd_buffer_sink_valid = litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine7_cmd_buffer_sink_ready; +assign litedramcore_bankmachine7_cmd_buffer_sink_first = litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine7_cmd_buffer_sink_last = litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine7_cmd_buffer_sink_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_sink_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_source_ready = (litedramcore_bankmachine7_req_wdata_ready | litedramcore_bankmachine7_req_rdata_valid); +assign litedramcore_bankmachine7_req_lock = (litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine7_cmd_buffer_source_valid); +assign litedramcore_bankmachine7_row_hit = (litedramcore_bankmachine7_row == litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7]); +assign litedramcore_bankmachine7_cmd_payload_ba = 3'd7; always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_a <= 15'd0; - if (main_litedramcore_bankmachine7_row_col_n_addr_sel) begin - main_litedramcore_bankmachine7_cmd_payload_a <= main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7]; + litedramcore_bankmachine7_cmd_payload_a <= 15'd0; + if (litedramcore_bankmachine7_row_col_n_addr_sel) begin + litedramcore_bankmachine7_cmd_payload_a <= litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7]; end else begin - main_litedramcore_bankmachine7_cmd_payload_a <= ((main_litedramcore_bankmachine7_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + litedramcore_bankmachine7_cmd_payload_a <= ((litedramcore_bankmachine7_auto_precharge <<< 4'd10) | {litedramcore_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); end end -assign main_litedramcore_bankmachine7_twtpcon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_cmd_payload_is_write); -assign main_litedramcore_bankmachine7_trccon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_row_open); -assign main_litedramcore_bankmachine7_trascon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_row_open); +assign litedramcore_bankmachine7_twtpcon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_cmd_payload_is_write); +assign litedramcore_bankmachine7_trccon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_row_open); +assign litedramcore_bankmachine7_trascon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_row_open); always @(*) begin - main_litedramcore_bankmachine7_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine7_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr[21:7] != main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7])) begin - main_litedramcore_bankmachine7_auto_precharge <= (main_litedramcore_bankmachine7_row_close == 1'd0); + litedramcore_bankmachine7_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine7_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7])) begin + litedramcore_bankmachine7_auto_precharge <= (litedramcore_bankmachine7_row_close == 1'd0); end end end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_first = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_last = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce - 1'd1); + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine7_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine7_cmd_buffer_lookahead_produce - 1'd1); end else begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce; + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine7_cmd_buffer_lookahead_produce; end end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine7_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine7_cmd_buffer_source_valid) | main_litedramcore_bankmachine7_cmd_buffer_source_ready); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | litedramcore_bankmachine7_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine7_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine7_cmd_buffer_sink_ready = ((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine7_next_state <= 4'd0; - builder_bankmachine7_next_state <= builder_bankmachine7_state; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_next_state <= 4'd0; + litedramcore_bankmachine7_next_state <= litedramcore_bankmachine7_state; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - if (main_litedramcore_bankmachine7_cmd_ready) begin - builder_bankmachine7_next_state <= 3'd5; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + if (litedramcore_bankmachine7_cmd_ready) begin + litedramcore_bankmachine7_next_state <= 3'd5; end end end 2'd2: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - builder_bankmachine7_next_state <= 3'd5; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_next_state <= 3'd5; end end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - if (main_litedramcore_bankmachine7_cmd_ready) begin - builder_bankmachine7_next_state <= 3'd7; + if (litedramcore_bankmachine7_trccon_ready) begin + if (litedramcore_bankmachine7_cmd_ready) begin + litedramcore_bankmachine7_next_state <= 3'd7; end end end 3'd4: begin - if ((~main_litedramcore_bankmachine7_refresh_req)) begin - builder_bankmachine7_next_state <= 1'd0; + if ((~litedramcore_bankmachine7_refresh_req)) begin + litedramcore_bankmachine7_next_state <= 1'd0; end end 3'd5: begin - builder_bankmachine7_next_state <= 3'd6; + litedramcore_bankmachine7_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine7_next_state <= 2'd3; + litedramcore_bankmachine7_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine7_next_state <= 4'd8; + litedramcore_bankmachine7_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine7_next_state <= 1'd0; + litedramcore_bankmachine7_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - builder_bankmachine7_next_state <= 3'd4; + if (litedramcore_bankmachine7_refresh_req) begin + litedramcore_bankmachine7_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if ((main_litedramcore_bankmachine7_cmd_ready & main_litedramcore_bankmachine7_auto_precharge)) begin - builder_bankmachine7_next_state <= 2'd2; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if ((litedramcore_bankmachine7_cmd_ready & litedramcore_bankmachine7_auto_precharge)) begin + litedramcore_bankmachine7_next_state <= 2'd2; end end else begin - builder_bankmachine7_next_state <= 1'd1; + litedramcore_bankmachine7_next_state <= 1'd1; end end else begin - builder_bankmachine7_next_state <= 2'd3; + litedramcore_bankmachine7_next_state <= 2'd3; end end end @@ -8246,13 +8665,16 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_cas <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_open <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_row_open <= 1'd1; + end end 3'd4: begin end @@ -8265,37 +8687,22 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - main_litedramcore_bankmachine7_cmd_payload_cas <= 1'd1; - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_close <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; - end + litedramcore_bankmachine7_row_close <= 1'd1; end 2'd2: begin + litedramcore_bankmachine7_row_close <= 1'd1; end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; - end end 3'd4: begin + litedramcore_bankmachine7_row_close <= 1'd1; end 3'd5: begin end @@ -8310,12 +8717,9 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd1; - end end 2'd2: begin end @@ -8332,15 +8736,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd1; - end else begin - end + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + litedramcore_bankmachine7_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -8351,15 +8752,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; + end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -8377,22 +8781,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_we <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; - end end 3'd4: begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -8403,17 +8803,35 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine7_refresh_req) begin + end else begin + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_cmd_payload_we <= 1'd1; + end else begin + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd1; + end end 3'd4: begin end @@ -8426,34 +8844,26 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine7_cmd_payload_is_read <= 1'd1; - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; + end end 2'd2: begin end 2'd3: begin + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; + end end 3'd4: begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -8464,27 +8874,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_cmd_payload_is_write <= 1'd1; - end else begin - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine7_req_wdata_ready <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8502,14 +8897,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_req_wdata_ready <= main_litedramcore_bankmachine7_cmd_ready; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin end else begin + litedramcore_bankmachine7_cmd_payload_is_read <= 1'd1; end end else begin end @@ -8521,8 +8916,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_req_rdata_valid <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8540,14 +8935,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_cmd_payload_is_write <= 1'd1; end else begin - main_litedramcore_bankmachine7_req_rdata_valid <= main_litedramcore_bankmachine7_cmd_ready; end end else begin end @@ -8559,8 +8954,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_refresh_gnt <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8568,9 +8963,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine7_twtpcon_ready) begin - main_litedramcore_bankmachine7_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -8581,23 +8973,32 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine7_refresh_req) begin + end else begin + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_req_wdata_ready <= litedramcore_bankmachine7_cmd_ready; + end else begin + end + end else begin + end + end else begin + end + end + end end endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; - end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; - end end 3'd4: begin end @@ -8610,12 +9011,15 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine7_req_rdata_valid <= litedramcore_bankmachine7_cmd_ready; + end end else begin end end else begin @@ -8626,18 +9030,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_row_open <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_row_open <= 1'd1; - end end 3'd4: begin + if (litedramcore_bankmachine7_twtpcon_ready) begin + litedramcore_bankmachine7_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -8652,18 +9056,21 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_row_close <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_valid <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; + end end 2'd2: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; end 2'd3: begin + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; + end end 3'd4: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; end 3'd5: begin end @@ -8674,264 +9081,272 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine7_refresh_req) begin + end else begin + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_rdcmdphase = (main_a7ddrphy_rdphase_storage - 1'd1); -assign main_litedramcore_wrcmdphase = (main_a7ddrphy_wrphase_storage - 1'd1); -assign main_litedramcore_trrdcon_valid = ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & ((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))); -assign main_litedramcore_tfawcon_valid = ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & ((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))); -assign main_litedramcore_ras_allowed = (main_litedramcore_trrdcon_ready & main_litedramcore_tfawcon_ready); -assign main_litedramcore_tccdcon_valid = ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_cmd_payload_is_write | main_litedramcore_choose_req_cmd_payload_is_read)); -assign main_litedramcore_cas_allowed = main_litedramcore_tccdcon_ready; -assign main_litedramcore_twtrcon_valid = ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); -assign main_litedramcore_read_available = ((((((((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_payload_is_read) | (main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_payload_is_read)) | (main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_payload_is_read)) | (main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_payload_is_read)) | (main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_payload_is_read)) | (main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_payload_is_read)) | (main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_payload_is_read)) | (main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_payload_is_read)); -assign main_litedramcore_write_available = ((((((((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_payload_is_write) | (main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_payload_is_write)) | (main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_payload_is_write)) | (main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_payload_is_write)) | (main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_payload_is_write)) | (main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_payload_is_write)) | (main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_payload_is_write)) | (main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_payload_is_write)); -assign main_litedramcore_max_time0 = (main_litedramcore_time0 == 1'd0); -assign main_litedramcore_max_time1 = (main_litedramcore_time1 == 1'd0); -assign main_litedramcore_bankmachine0_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine1_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine2_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine3_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine4_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine5_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine6_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine7_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_go_to_refresh = (((((((main_litedramcore_bankmachine0_refresh_gnt & main_litedramcore_bankmachine1_refresh_gnt) & main_litedramcore_bankmachine2_refresh_gnt) & main_litedramcore_bankmachine3_refresh_gnt) & main_litedramcore_bankmachine4_refresh_gnt) & main_litedramcore_bankmachine5_refresh_gnt) & main_litedramcore_bankmachine6_refresh_gnt) & main_litedramcore_bankmachine7_refresh_gnt); -assign main_litedramcore_interface_rdata = {main_litedramcore_dfi_p3_rddata, main_litedramcore_dfi_p2_rddata, main_litedramcore_dfi_p1_rddata, main_litedramcore_dfi_p0_rddata}; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); +assign litedramcore_rdcmdphase = (a7ddrphy_rdphase_storage - 1'd1); +assign litedramcore_wrcmdphase = (a7ddrphy_wrphase_storage - 1'd1); +assign litedramcore_trrdcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))); +assign litedramcore_tfawcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))); +assign litedramcore_ras_allowed = (litedramcore_trrdcon_ready & litedramcore_tfawcon_ready); +assign litedramcore_tccdcon_valid = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_cmd_payload_is_write | litedramcore_choose_req_cmd_payload_is_read)); +assign litedramcore_cas_allowed = litedramcore_tccdcon_ready; +assign litedramcore_twtrcon_valid = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); +assign litedramcore_read_available = ((((((((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_payload_is_read) | (litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_payload_is_read)) | (litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_payload_is_read)) | (litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_payload_is_read)) | (litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_payload_is_read)) | (litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_payload_is_read)) | (litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_payload_is_read)) | (litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_payload_is_read)); +assign litedramcore_write_available = ((((((((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_payload_is_write) | (litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_payload_is_write)) | (litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_payload_is_write)) | (litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_payload_is_write)) | (litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_payload_is_write)) | (litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_payload_is_write)) | (litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_payload_is_write)) | (litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_payload_is_write)); +assign litedramcore_max_time0 = (litedramcore_time0 == 1'd0); +assign litedramcore_max_time1 = (litedramcore_time1 == 1'd0); +assign litedramcore_bankmachine0_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine1_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine2_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine3_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine4_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine5_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine6_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine7_refresh_req = litedramcore_cmd_valid; +assign litedramcore_go_to_refresh = (((((((litedramcore_bankmachine0_refresh_gnt & litedramcore_bankmachine1_refresh_gnt) & litedramcore_bankmachine2_refresh_gnt) & litedramcore_bankmachine3_refresh_gnt) & litedramcore_bankmachine4_refresh_gnt) & litedramcore_bankmachine5_refresh_gnt) & litedramcore_bankmachine6_refresh_gnt) & litedramcore_bankmachine7_refresh_gnt); +assign litedramcore_interface_rdata = {litedramcore_dfi_p3_rddata, litedramcore_dfi_p2_rddata, litedramcore_dfi_p1_rddata, litedramcore_dfi_p0_rddata}; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); always @(*) begin - main_litedramcore_choose_cmd_valids <= 8'd0; - main_litedramcore_choose_cmd_valids[0] <= (main_litedramcore_bankmachine0_cmd_valid & (((main_litedramcore_bankmachine0_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine0_cmd_payload_ras & (~main_litedramcore_bankmachine0_cmd_payload_cas)) & (~main_litedramcore_bankmachine0_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine0_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine0_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[1] <= (main_litedramcore_bankmachine1_cmd_valid & (((main_litedramcore_bankmachine1_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine1_cmd_payload_ras & (~main_litedramcore_bankmachine1_cmd_payload_cas)) & (~main_litedramcore_bankmachine1_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine1_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine1_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[2] <= (main_litedramcore_bankmachine2_cmd_valid & (((main_litedramcore_bankmachine2_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine2_cmd_payload_ras & (~main_litedramcore_bankmachine2_cmd_payload_cas)) & (~main_litedramcore_bankmachine2_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine2_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine2_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[3] <= (main_litedramcore_bankmachine3_cmd_valid & (((main_litedramcore_bankmachine3_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine3_cmd_payload_ras & (~main_litedramcore_bankmachine3_cmd_payload_cas)) & (~main_litedramcore_bankmachine3_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine3_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine3_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[4] <= (main_litedramcore_bankmachine4_cmd_valid & (((main_litedramcore_bankmachine4_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine4_cmd_payload_ras & (~main_litedramcore_bankmachine4_cmd_payload_cas)) & (~main_litedramcore_bankmachine4_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine4_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine4_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[5] <= (main_litedramcore_bankmachine5_cmd_valid & (((main_litedramcore_bankmachine5_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine5_cmd_payload_ras & (~main_litedramcore_bankmachine5_cmd_payload_cas)) & (~main_litedramcore_bankmachine5_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine5_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine5_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[6] <= (main_litedramcore_bankmachine6_cmd_valid & (((main_litedramcore_bankmachine6_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine6_cmd_payload_ras & (~main_litedramcore_bankmachine6_cmd_payload_cas)) & (~main_litedramcore_bankmachine6_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine6_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine6_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[7] <= (main_litedramcore_bankmachine7_cmd_valid & (((main_litedramcore_bankmachine7_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine7_cmd_payload_ras & (~main_litedramcore_bankmachine7_cmd_payload_cas)) & (~main_litedramcore_bankmachine7_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine7_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine7_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids <= 8'd0; + litedramcore_choose_cmd_valids[0] <= (litedramcore_bankmachine0_cmd_valid & (((litedramcore_bankmachine0_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine0_cmd_payload_ras & (~litedramcore_bankmachine0_cmd_payload_cas)) & (~litedramcore_bankmachine0_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine0_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine0_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[1] <= (litedramcore_bankmachine1_cmd_valid & (((litedramcore_bankmachine1_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine1_cmd_payload_ras & (~litedramcore_bankmachine1_cmd_payload_cas)) & (~litedramcore_bankmachine1_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine1_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine1_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[2] <= (litedramcore_bankmachine2_cmd_valid & (((litedramcore_bankmachine2_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine2_cmd_payload_ras & (~litedramcore_bankmachine2_cmd_payload_cas)) & (~litedramcore_bankmachine2_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine2_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine2_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[3] <= (litedramcore_bankmachine3_cmd_valid & (((litedramcore_bankmachine3_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine3_cmd_payload_ras & (~litedramcore_bankmachine3_cmd_payload_cas)) & (~litedramcore_bankmachine3_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine3_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine3_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[4] <= (litedramcore_bankmachine4_cmd_valid & (((litedramcore_bankmachine4_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine4_cmd_payload_ras & (~litedramcore_bankmachine4_cmd_payload_cas)) & (~litedramcore_bankmachine4_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine4_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine4_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[5] <= (litedramcore_bankmachine5_cmd_valid & (((litedramcore_bankmachine5_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine5_cmd_payload_ras & (~litedramcore_bankmachine5_cmd_payload_cas)) & (~litedramcore_bankmachine5_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine5_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine5_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[6] <= (litedramcore_bankmachine6_cmd_valid & (((litedramcore_bankmachine6_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine6_cmd_payload_ras & (~litedramcore_bankmachine6_cmd_payload_cas)) & (~litedramcore_bankmachine6_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine6_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine6_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[7] <= (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); end -assign main_litedramcore_choose_cmd_request = main_litedramcore_choose_cmd_valids; -assign main_litedramcore_choose_cmd_cmd_valid = builder_rhs_array_muxed0; -assign main_litedramcore_choose_cmd_cmd_payload_a = builder_rhs_array_muxed1; -assign main_litedramcore_choose_cmd_cmd_payload_ba = builder_rhs_array_muxed2; -assign main_litedramcore_choose_cmd_cmd_payload_is_read = builder_rhs_array_muxed3; -assign main_litedramcore_choose_cmd_cmd_payload_is_write = builder_rhs_array_muxed4; -assign main_litedramcore_choose_cmd_cmd_payload_is_cmd = builder_rhs_array_muxed5; +assign litedramcore_choose_cmd_request = litedramcore_choose_cmd_valids; +assign litedramcore_choose_cmd_cmd_valid = rhs_array_muxed0; +assign litedramcore_choose_cmd_cmd_payload_a = rhs_array_muxed1; +assign litedramcore_choose_cmd_cmd_payload_ba = rhs_array_muxed2; +assign litedramcore_choose_cmd_cmd_payload_is_read = rhs_array_muxed3; +assign litedramcore_choose_cmd_cmd_payload_is_write = rhs_array_muxed4; +assign litedramcore_choose_cmd_cmd_payload_is_cmd = rhs_array_muxed5; always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_cas <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_cas <= builder_t_array_muxed0; + litedramcore_choose_cmd_cmd_payload_cas <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_cas <= t_array_muxed0; end end always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_ras <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_ras <= builder_t_array_muxed1; + litedramcore_choose_cmd_cmd_payload_ras <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_ras <= t_array_muxed1; end end always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_we <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_we <= builder_t_array_muxed2; + litedramcore_choose_cmd_cmd_payload_we <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_we <= t_array_muxed2; end end always @(*) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 1'd0))) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd1; + litedramcore_bankmachine0_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 1'd0))) begin + litedramcore_bankmachine0_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 1'd0))) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 1'd0))) begin + litedramcore_bankmachine0_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 1'd1))) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd1; + litedramcore_bankmachine1_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 1'd1))) begin + litedramcore_bankmachine1_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 1'd1))) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 1'd1))) begin + litedramcore_bankmachine1_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 2'd2))) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd1; + litedramcore_bankmachine2_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 2'd2))) begin + litedramcore_bankmachine2_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 2'd2))) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 2'd2))) begin + litedramcore_bankmachine2_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 2'd3))) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd1; + litedramcore_bankmachine3_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 2'd3))) begin + litedramcore_bankmachine3_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 2'd3))) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 2'd3))) begin + litedramcore_bankmachine3_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd4))) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd1; + litedramcore_bankmachine4_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd4))) begin + litedramcore_bankmachine4_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd4))) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd4))) begin + litedramcore_bankmachine4_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd5))) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd1; + litedramcore_bankmachine5_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd5))) begin + litedramcore_bankmachine5_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd5))) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd5))) begin + litedramcore_bankmachine5_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd6))) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd1; + litedramcore_bankmachine6_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd6))) begin + litedramcore_bankmachine6_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd6))) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd6))) begin + litedramcore_bankmachine6_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd7))) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd1; + litedramcore_bankmachine7_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd7))) begin + litedramcore_bankmachine7_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd7))) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd7))) begin + litedramcore_bankmachine7_cmd_ready <= 1'd1; end end -assign main_litedramcore_choose_cmd_ce = (main_litedramcore_choose_cmd_cmd_ready | (~main_litedramcore_choose_cmd_cmd_valid)); +assign litedramcore_choose_cmd_ce = (litedramcore_choose_cmd_cmd_ready | (~litedramcore_choose_cmd_cmd_valid)); always @(*) begin - main_litedramcore_choose_req_valids <= 8'd0; - main_litedramcore_choose_req_valids[0] <= (main_litedramcore_bankmachine0_cmd_valid & (((main_litedramcore_bankmachine0_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine0_cmd_payload_ras & (~main_litedramcore_bankmachine0_cmd_payload_cas)) & (~main_litedramcore_bankmachine0_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine0_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine0_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[1] <= (main_litedramcore_bankmachine1_cmd_valid & (((main_litedramcore_bankmachine1_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine1_cmd_payload_ras & (~main_litedramcore_bankmachine1_cmd_payload_cas)) & (~main_litedramcore_bankmachine1_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine1_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine1_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[2] <= (main_litedramcore_bankmachine2_cmd_valid & (((main_litedramcore_bankmachine2_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine2_cmd_payload_ras & (~main_litedramcore_bankmachine2_cmd_payload_cas)) & (~main_litedramcore_bankmachine2_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine2_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine2_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[3] <= (main_litedramcore_bankmachine3_cmd_valid & (((main_litedramcore_bankmachine3_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine3_cmd_payload_ras & (~main_litedramcore_bankmachine3_cmd_payload_cas)) & (~main_litedramcore_bankmachine3_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine3_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine3_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[4] <= (main_litedramcore_bankmachine4_cmd_valid & (((main_litedramcore_bankmachine4_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine4_cmd_payload_ras & (~main_litedramcore_bankmachine4_cmd_payload_cas)) & (~main_litedramcore_bankmachine4_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine4_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine4_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[5] <= (main_litedramcore_bankmachine5_cmd_valid & (((main_litedramcore_bankmachine5_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine5_cmd_payload_ras & (~main_litedramcore_bankmachine5_cmd_payload_cas)) & (~main_litedramcore_bankmachine5_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine5_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine5_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[6] <= (main_litedramcore_bankmachine6_cmd_valid & (((main_litedramcore_bankmachine6_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine6_cmd_payload_ras & (~main_litedramcore_bankmachine6_cmd_payload_cas)) & (~main_litedramcore_bankmachine6_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine6_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine6_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[7] <= (main_litedramcore_bankmachine7_cmd_valid & (((main_litedramcore_bankmachine7_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine7_cmd_payload_ras & (~main_litedramcore_bankmachine7_cmd_payload_cas)) & (~main_litedramcore_bankmachine7_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine7_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine7_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids <= 8'd0; + litedramcore_choose_req_valids[0] <= (litedramcore_bankmachine0_cmd_valid & (((litedramcore_bankmachine0_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine0_cmd_payload_ras & (~litedramcore_bankmachine0_cmd_payload_cas)) & (~litedramcore_bankmachine0_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine0_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine0_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[1] <= (litedramcore_bankmachine1_cmd_valid & (((litedramcore_bankmachine1_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine1_cmd_payload_ras & (~litedramcore_bankmachine1_cmd_payload_cas)) & (~litedramcore_bankmachine1_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine1_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine1_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[2] <= (litedramcore_bankmachine2_cmd_valid & (((litedramcore_bankmachine2_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine2_cmd_payload_ras & (~litedramcore_bankmachine2_cmd_payload_cas)) & (~litedramcore_bankmachine2_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine2_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine2_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[3] <= (litedramcore_bankmachine3_cmd_valid & (((litedramcore_bankmachine3_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine3_cmd_payload_ras & (~litedramcore_bankmachine3_cmd_payload_cas)) & (~litedramcore_bankmachine3_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine3_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine3_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[4] <= (litedramcore_bankmachine4_cmd_valid & (((litedramcore_bankmachine4_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine4_cmd_payload_ras & (~litedramcore_bankmachine4_cmd_payload_cas)) & (~litedramcore_bankmachine4_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine4_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine4_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[5] <= (litedramcore_bankmachine5_cmd_valid & (((litedramcore_bankmachine5_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine5_cmd_payload_ras & (~litedramcore_bankmachine5_cmd_payload_cas)) & (~litedramcore_bankmachine5_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine5_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine5_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[6] <= (litedramcore_bankmachine6_cmd_valid & (((litedramcore_bankmachine6_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine6_cmd_payload_ras & (~litedramcore_bankmachine6_cmd_payload_cas)) & (~litedramcore_bankmachine6_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine6_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine6_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[7] <= (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); end -assign main_litedramcore_choose_req_request = main_litedramcore_choose_req_valids; -assign main_litedramcore_choose_req_cmd_valid = builder_rhs_array_muxed6; -assign main_litedramcore_choose_req_cmd_payload_a = builder_rhs_array_muxed7; -assign main_litedramcore_choose_req_cmd_payload_ba = builder_rhs_array_muxed8; -assign main_litedramcore_choose_req_cmd_payload_is_read = builder_rhs_array_muxed9; -assign main_litedramcore_choose_req_cmd_payload_is_write = builder_rhs_array_muxed10; -assign main_litedramcore_choose_req_cmd_payload_is_cmd = builder_rhs_array_muxed11; +assign litedramcore_choose_req_request = litedramcore_choose_req_valids; +assign litedramcore_choose_req_cmd_valid = rhs_array_muxed6; +assign litedramcore_choose_req_cmd_payload_a = rhs_array_muxed7; +assign litedramcore_choose_req_cmd_payload_ba = rhs_array_muxed8; +assign litedramcore_choose_req_cmd_payload_is_read = rhs_array_muxed9; +assign litedramcore_choose_req_cmd_payload_is_write = rhs_array_muxed10; +assign litedramcore_choose_req_cmd_payload_is_cmd = rhs_array_muxed11; always @(*) begin - main_litedramcore_choose_req_cmd_payload_cas <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_cas <= builder_t_array_muxed3; + litedramcore_choose_req_cmd_payload_cas <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_cas <= t_array_muxed3; end end always @(*) begin - main_litedramcore_choose_req_cmd_payload_ras <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_ras <= builder_t_array_muxed4; + litedramcore_choose_req_cmd_payload_ras <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_ras <= t_array_muxed4; end end always @(*) begin - main_litedramcore_choose_req_cmd_payload_we <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_we <= builder_t_array_muxed5; + litedramcore_choose_req_cmd_payload_we <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_we <= t_array_muxed5; end end -assign main_litedramcore_choose_req_ce = (main_litedramcore_choose_req_cmd_ready | (~main_litedramcore_choose_req_cmd_valid)); -assign main_litedramcore_dfi_p0_reset_n = 1'd1; -assign main_litedramcore_dfi_p0_cke = {1{main_litedramcore_steerer0}}; -assign main_litedramcore_dfi_p0_odt = {1{main_litedramcore_steerer1}}; -assign main_litedramcore_dfi_p1_reset_n = 1'd1; -assign main_litedramcore_dfi_p1_cke = {1{main_litedramcore_steerer2}}; -assign main_litedramcore_dfi_p1_odt = {1{main_litedramcore_steerer3}}; -assign main_litedramcore_dfi_p2_reset_n = 1'd1; -assign main_litedramcore_dfi_p2_cke = {1{main_litedramcore_steerer4}}; -assign main_litedramcore_dfi_p2_odt = {1{main_litedramcore_steerer5}}; -assign main_litedramcore_dfi_p3_reset_n = 1'd1; -assign main_litedramcore_dfi_p3_cke = {1{main_litedramcore_steerer6}}; -assign main_litedramcore_dfi_p3_odt = {1{main_litedramcore_steerer7}}; -assign main_litedramcore_tfawcon_count = ((((main_litedramcore_tfawcon_window[0] + main_litedramcore_tfawcon_window[1]) + main_litedramcore_tfawcon_window[2]) + main_litedramcore_tfawcon_window[3]) + main_litedramcore_tfawcon_window[4]); +assign litedramcore_choose_req_ce = (litedramcore_choose_req_cmd_ready | (~litedramcore_choose_req_cmd_valid)); +assign litedramcore_dfi_p0_reset_n = 1'd1; +assign litedramcore_dfi_p0_cke = {1{litedramcore_steerer0}}; +assign litedramcore_dfi_p0_odt = {1{litedramcore_steerer1}}; +assign litedramcore_dfi_p1_reset_n = 1'd1; +assign litedramcore_dfi_p1_cke = {1{litedramcore_steerer2}}; +assign litedramcore_dfi_p1_odt = {1{litedramcore_steerer3}}; +assign litedramcore_dfi_p2_reset_n = 1'd1; +assign litedramcore_dfi_p2_cke = {1{litedramcore_steerer4}}; +assign litedramcore_dfi_p2_odt = {1{litedramcore_steerer5}}; +assign litedramcore_dfi_p3_reset_n = 1'd1; +assign litedramcore_dfi_p3_cke = {1{litedramcore_steerer6}}; +assign litedramcore_dfi_p3_odt = {1{litedramcore_steerer7}}; +assign litedramcore_tfawcon_count = ((((litedramcore_tfawcon_window[0] + litedramcore_tfawcon_window[1]) + litedramcore_tfawcon_window[2]) + litedramcore_tfawcon_window[3]) + litedramcore_tfawcon_window[4]); always @(*) begin - builder_multiplexer_next_state <= 4'd0; - builder_multiplexer_next_state <= builder_multiplexer_state; - case (builder_multiplexer_state) + litedramcore_multiplexer_next_state <= 4'd0; + litedramcore_multiplexer_next_state <= litedramcore_multiplexer_state; + case (litedramcore_multiplexer_state) 1'd1: begin - if (main_litedramcore_read_available) begin - if (((~main_litedramcore_write_available) | main_litedramcore_max_time1)) begin - builder_multiplexer_next_state <= 2'd3; + if (litedramcore_read_available) begin + if (((~litedramcore_write_available) | litedramcore_max_time1)) begin + litedramcore_multiplexer_next_state <= 2'd3; end end - if (main_litedramcore_go_to_refresh) begin - builder_multiplexer_next_state <= 2'd2; + if (litedramcore_go_to_refresh) begin + litedramcore_multiplexer_next_state <= 2'd2; end end 2'd2: begin - if (main_litedramcore_cmd_last) begin - builder_multiplexer_next_state <= 1'd0; + if (litedramcore_cmd_last) begin + litedramcore_multiplexer_next_state <= 1'd0; end end 2'd3: begin - if (main_litedramcore_twtrcon_ready) begin - builder_multiplexer_next_state <= 1'd0; + if (litedramcore_twtrcon_ready) begin + litedramcore_multiplexer_next_state <= 1'd0; end end 3'd4: begin - builder_multiplexer_next_state <= 3'd5; + litedramcore_multiplexer_next_state <= 3'd5; end 3'd5: begin - builder_multiplexer_next_state <= 3'd6; + litedramcore_multiplexer_next_state <= 3'd6; end 3'd6: begin - builder_multiplexer_next_state <= 3'd7; + litedramcore_multiplexer_next_state <= 3'd7; end 3'd7: begin - builder_multiplexer_next_state <= 4'd8; + litedramcore_multiplexer_next_state <= 4'd8; end 4'd8: begin - builder_multiplexer_next_state <= 4'd9; + litedramcore_multiplexer_next_state <= 4'd9; end 4'd9: begin - builder_multiplexer_next_state <= 4'd10; + litedramcore_multiplexer_next_state <= 4'd10; end 4'd10: begin - builder_multiplexer_next_state <= 1'd1; + litedramcore_multiplexer_next_state <= 1'd1; end default: begin - if (main_litedramcore_write_available) begin - if (((~main_litedramcore_read_available) | main_litedramcore_max_time0)) begin - builder_multiplexer_next_state <= 3'd4; + if (litedramcore_write_available) begin + if (((~litedramcore_read_available) | litedramcore_max_time0)) begin + litedramcore_multiplexer_next_state <= 3'd4; end end - if (main_litedramcore_go_to_refresh) begin - builder_multiplexer_next_state <= 2'd2; + if (litedramcore_go_to_refresh) begin + litedramcore_multiplexer_next_state <= 2'd2; end end endcase end always @(*) begin - main_litedramcore_choose_req_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_want_writes <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - if (1'd0) begin - main_litedramcore_choose_req_cmd_ready <= (main_litedramcore_cas_allowed & ((~((main_litedramcore_choose_req_cmd_payload_ras & (~main_litedramcore_choose_req_cmd_payload_cas)) & (~main_litedramcore_choose_req_cmd_payload_we))) | main_litedramcore_ras_allowed)); - end else begin - main_litedramcore_choose_req_cmd_ready <= main_litedramcore_cas_allowed; - end + litedramcore_choose_req_want_writes <= 1'd1; end 2'd2: begin end @@ -8952,19 +9367,18 @@ always @(*) begin 4'd10: begin end default: begin - if (1'd0) begin - main_litedramcore_choose_req_cmd_ready <= (main_litedramcore_cas_allowed & ((~((main_litedramcore_choose_req_cmd_payload_ras & (~main_litedramcore_choose_req_cmd_payload_cas)) & (~main_litedramcore_choose_req_cmd_payload_we))) | main_litedramcore_ras_allowed)); - end else begin - main_litedramcore_choose_req_cmd_ready <= main_litedramcore_cas_allowed; - end end endcase end always @(*) begin - main_litedramcore_en1 <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_en1 <= 1'd1; + if (1'd0) begin + litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed)); + end else begin + litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed; + end end 2'd2: begin end @@ -8985,23 +9399,21 @@ always @(*) begin 4'd10: begin end default: begin + if (1'd0) begin + litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed)); + end else begin + litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed; + end end endcase end always @(*) begin - main_litedramcore_steerer_sel0 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_en1 <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel0 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 2'd2; - end - if ((main_litedramcore_wrcmdphase == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 1'd1; - end + litedramcore_en1 <= 1'd1; end 2'd2: begin - main_litedramcore_steerer_sel0 <= 2'd3; end 2'd3: begin end @@ -9020,23 +9432,23 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel0 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 2'd2; - end - if ((main_litedramcore_rdcmdphase == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 1'd1; - end end endcase end always @(*) begin - main_litedramcore_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel0 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin + litedramcore_steerer_sel0 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 1'd0)) begin + litedramcore_steerer_sel0 <= 2'd2; + end + if ((litedramcore_wrcmdphase == 1'd0)) begin + litedramcore_steerer_sel0 <= 1'd1; + end end 2'd2: begin - main_litedramcore_cmd_ready <= 1'd1; + litedramcore_steerer_sel0 <= 2'd3; end 2'd3: begin end @@ -9055,22 +9467,23 @@ always @(*) begin 4'd10: begin end default: begin + litedramcore_steerer_sel0 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 1'd0)) begin + litedramcore_steerer_sel0 <= 2'd2; + end + if ((litedramcore_rdcmdphase == 1'd0)) begin + litedramcore_steerer_sel0 <= 1'd1; + end end endcase end always @(*) begin - main_litedramcore_steerer_sel1 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel1 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 2'd2; - end - if ((main_litedramcore_wrcmdphase == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 1'd1; - end end 2'd2: begin + litedramcore_cmd_ready <= 1'd1; end 2'd3: begin end @@ -9089,26 +9502,19 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel1 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 2'd2; - end - if ((main_litedramcore_rdcmdphase == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 1'd1; - end end endcase end always @(*) begin - main_litedramcore_steerer_sel2 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel1 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel2 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 2'd2; + litedramcore_steerer_sel1 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 1'd1)) begin + litedramcore_steerer_sel1 <= 2'd2; end - if ((main_litedramcore_wrcmdphase == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 1'd1; + if ((litedramcore_wrcmdphase == 1'd1)) begin + litedramcore_steerer_sel1 <= 1'd1; end end 2'd2: begin @@ -9130,23 +9536,26 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel2 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 2'd2; + litedramcore_steerer_sel1 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 1'd1)) begin + litedramcore_steerer_sel1 <= 2'd2; end - if ((main_litedramcore_rdcmdphase == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 1'd1; + if ((litedramcore_rdcmdphase == 1'd1)) begin + litedramcore_steerer_sel1 <= 1'd1; end end endcase end always @(*) begin - main_litedramcore_choose_cmd_want_activates <= 1'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel2 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_want_activates <= main_litedramcore_ras_allowed; + litedramcore_steerer_sel2 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 2'd2)) begin + litedramcore_steerer_sel2 <= 2'd2; + end + if ((litedramcore_wrcmdphase == 2'd2)) begin + litedramcore_steerer_sel2 <= 1'd1; end end 2'd2: begin @@ -9168,23 +9577,23 @@ always @(*) begin 4'd10: begin end default: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_want_activates <= main_litedramcore_ras_allowed; + litedramcore_steerer_sel2 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 2'd2)) begin + litedramcore_steerer_sel2 <= 2'd2; + end + if ((litedramcore_rdcmdphase == 2'd2)) begin + litedramcore_steerer_sel2 <= 1'd1; end end endcase end always @(*) begin - main_litedramcore_steerer_sel3 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_choose_cmd_want_activates <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel3 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 2'd2; - end - if ((main_litedramcore_wrcmdphase == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 1'd1; + if (1'd0) begin + end else begin + litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed; end end 2'd2: begin @@ -9206,19 +9615,16 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel3 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 2'd2; - end - if ((main_litedramcore_rdcmdphase == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 1'd1; + if (1'd0) begin + end else begin + litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed; end end endcase end always @(*) begin - main_litedramcore_en0 <= 1'd0; - case (builder_multiplexer_state) + litedramcore_en0 <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin end 2'd2: begin @@ -9240,17 +9646,20 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_en0 <= 1'd1; + litedramcore_en0 <= 1'd1; end endcase end always @(*) begin - main_litedramcore_choose_cmd_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel3 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_cmd_ready <= ((~((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))) | main_litedramcore_ras_allowed); + litedramcore_steerer_sel3 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 2'd3)) begin + litedramcore_steerer_sel3 <= 2'd2; + end + if ((litedramcore_wrcmdphase == 2'd3)) begin + litedramcore_steerer_sel3 <= 1'd1; end end 2'd2: begin @@ -9272,17 +9681,24 @@ always @(*) begin 4'd10: begin end default: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_cmd_ready <= ((~((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))) | main_litedramcore_ras_allowed); + litedramcore_steerer_sel3 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 2'd3)) begin + litedramcore_steerer_sel3 <= 2'd2; + end + if ((litedramcore_rdcmdphase == 2'd3)) begin + litedramcore_steerer_sel3 <= 1'd1; end end endcase end always @(*) begin - main_litedramcore_choose_req_want_reads <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_cmd_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin + if (1'd0) begin + end else begin + litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed); + end end 2'd2: begin end @@ -9303,15 +9719,17 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_choose_req_want_reads <= 1'd1; + if (1'd0) begin + end else begin + litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed); + end end endcase end always @(*) begin - main_litedramcore_choose_req_want_writes <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_want_reads <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_choose_req_want_writes <= 1'd1; end 2'd2: begin end @@ -9332,1987 +9750,2012 @@ always @(*) begin 4'd10: begin end default: begin + litedramcore_choose_req_want_reads <= 1'd1; end endcase end -assign builder_roundrobin0_request = {(((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin0_ce = ((~main_litedramcore_interface_bank0_valid) & (~main_litedramcore_interface_bank0_lock)); -assign main_litedramcore_interface_bank0_addr = builder_rhs_array_muxed12; -assign main_litedramcore_interface_bank0_we = builder_rhs_array_muxed13; -assign main_litedramcore_interface_bank0_valid = builder_rhs_array_muxed14; -assign builder_roundrobin1_request = {(((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin1_ce = ((~main_litedramcore_interface_bank1_valid) & (~main_litedramcore_interface_bank1_lock)); -assign main_litedramcore_interface_bank1_addr = builder_rhs_array_muxed15; -assign main_litedramcore_interface_bank1_we = builder_rhs_array_muxed16; -assign main_litedramcore_interface_bank1_valid = builder_rhs_array_muxed17; -assign builder_roundrobin2_request = {(((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin2_ce = ((~main_litedramcore_interface_bank2_valid) & (~main_litedramcore_interface_bank2_lock)); -assign main_litedramcore_interface_bank2_addr = builder_rhs_array_muxed18; -assign main_litedramcore_interface_bank2_we = builder_rhs_array_muxed19; -assign main_litedramcore_interface_bank2_valid = builder_rhs_array_muxed20; -assign builder_roundrobin3_request = {(((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin3_ce = ((~main_litedramcore_interface_bank3_valid) & (~main_litedramcore_interface_bank3_lock)); -assign main_litedramcore_interface_bank3_addr = builder_rhs_array_muxed21; -assign main_litedramcore_interface_bank3_we = builder_rhs_array_muxed22; -assign main_litedramcore_interface_bank3_valid = builder_rhs_array_muxed23; -assign builder_roundrobin4_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin4_ce = ((~main_litedramcore_interface_bank4_valid) & (~main_litedramcore_interface_bank4_lock)); -assign main_litedramcore_interface_bank4_addr = builder_rhs_array_muxed24; -assign main_litedramcore_interface_bank4_we = builder_rhs_array_muxed25; -assign main_litedramcore_interface_bank4_valid = builder_rhs_array_muxed26; -assign builder_roundrobin5_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin5_ce = ((~main_litedramcore_interface_bank5_valid) & (~main_litedramcore_interface_bank5_lock)); -assign main_litedramcore_interface_bank5_addr = builder_rhs_array_muxed27; -assign main_litedramcore_interface_bank5_we = builder_rhs_array_muxed28; -assign main_litedramcore_interface_bank5_valid = builder_rhs_array_muxed29; -assign builder_roundrobin6_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin6_ce = ((~main_litedramcore_interface_bank6_valid) & (~main_litedramcore_interface_bank6_lock)); -assign main_litedramcore_interface_bank6_addr = builder_rhs_array_muxed30; -assign main_litedramcore_interface_bank6_we = builder_rhs_array_muxed31; -assign main_litedramcore_interface_bank6_valid = builder_rhs_array_muxed32; -assign builder_roundrobin7_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin7_ce = ((~main_litedramcore_interface_bank7_valid) & (~main_litedramcore_interface_bank7_lock)); -assign main_litedramcore_interface_bank7_addr = builder_rhs_array_muxed33; -assign main_litedramcore_interface_bank7_we = builder_rhs_array_muxed34; -assign main_litedramcore_interface_bank7_valid = builder_rhs_array_muxed35; -assign main_user_port_cmd_ready = ((((((((1'd0 | (((builder_roundrobin0_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank0_ready)) | (((builder_roundrobin1_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank1_ready)) | (((builder_roundrobin2_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank2_ready)) | (((builder_roundrobin3_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank3_ready)) | (((builder_roundrobin4_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank4_ready)) | (((builder_roundrobin5_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank5_ready)) | (((builder_roundrobin6_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank6_ready)) | (((builder_roundrobin7_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0)))))) & main_litedramcore_interface_bank7_ready)); -assign main_user_port_wdata_ready = builder_new_master_wdata_ready1; -assign main_user_port_rdata_valid = builder_new_master_rdata_valid8; +assign litedramcore_roundrobin0_request = {(((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin0_ce = ((~litedramcore_interface_bank0_valid) & (~litedramcore_interface_bank0_lock)); +assign litedramcore_interface_bank0_addr = rhs_array_muxed12; +assign litedramcore_interface_bank0_we = rhs_array_muxed13; +assign litedramcore_interface_bank0_valid = rhs_array_muxed14; +assign litedramcore_roundrobin1_request = {(((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin1_ce = ((~litedramcore_interface_bank1_valid) & (~litedramcore_interface_bank1_lock)); +assign litedramcore_interface_bank1_addr = rhs_array_muxed15; +assign litedramcore_interface_bank1_we = rhs_array_muxed16; +assign litedramcore_interface_bank1_valid = rhs_array_muxed17; +assign litedramcore_roundrobin2_request = {(((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin2_ce = ((~litedramcore_interface_bank2_valid) & (~litedramcore_interface_bank2_lock)); +assign litedramcore_interface_bank2_addr = rhs_array_muxed18; +assign litedramcore_interface_bank2_we = rhs_array_muxed19; +assign litedramcore_interface_bank2_valid = rhs_array_muxed20; +assign litedramcore_roundrobin3_request = {(((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin3_ce = ((~litedramcore_interface_bank3_valid) & (~litedramcore_interface_bank3_lock)); +assign litedramcore_interface_bank3_addr = rhs_array_muxed21; +assign litedramcore_interface_bank3_we = rhs_array_muxed22; +assign litedramcore_interface_bank3_valid = rhs_array_muxed23; +assign litedramcore_roundrobin4_request = {(((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin4_ce = ((~litedramcore_interface_bank4_valid) & (~litedramcore_interface_bank4_lock)); +assign litedramcore_interface_bank4_addr = rhs_array_muxed24; +assign litedramcore_interface_bank4_we = rhs_array_muxed25; +assign litedramcore_interface_bank4_valid = rhs_array_muxed26; +assign litedramcore_roundrobin5_request = {(((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin5_ce = ((~litedramcore_interface_bank5_valid) & (~litedramcore_interface_bank5_lock)); +assign litedramcore_interface_bank5_addr = rhs_array_muxed27; +assign litedramcore_interface_bank5_we = rhs_array_muxed28; +assign litedramcore_interface_bank5_valid = rhs_array_muxed29; +assign litedramcore_roundrobin6_request = {(((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin6_ce = ((~litedramcore_interface_bank6_valid) & (~litedramcore_interface_bank6_lock)); +assign litedramcore_interface_bank6_addr = rhs_array_muxed30; +assign litedramcore_interface_bank6_we = rhs_array_muxed31; +assign litedramcore_interface_bank6_valid = rhs_array_muxed32; +assign litedramcore_roundrobin7_request = {(((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin7_ce = ((~litedramcore_interface_bank7_valid) & (~litedramcore_interface_bank7_lock)); +assign litedramcore_interface_bank7_addr = rhs_array_muxed33; +assign litedramcore_interface_bank7_we = rhs_array_muxed34; +assign litedramcore_interface_bank7_valid = rhs_array_muxed35; +assign user_port_cmd_ready = ((((((((1'd0 | (((litedramcore_roundrobin0_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank0_ready)) | (((litedramcore_roundrobin1_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank1_ready)) | (((litedramcore_roundrobin2_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank2_ready)) | (((litedramcore_roundrobin3_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank3_ready)) | (((litedramcore_roundrobin4_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank4_ready)) | (((litedramcore_roundrobin5_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank5_ready)) | (((litedramcore_roundrobin6_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank6_ready)) | (((litedramcore_roundrobin7_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0)))))) & litedramcore_interface_bank7_ready)); +assign user_port_wdata_ready = litedramcore_new_master_wdata_ready1; +assign user_port_rdata_valid = litedramcore_new_master_rdata_valid8; always @(*) begin - main_litedramcore_interface_wdata <= 128'd0; - case ({builder_new_master_wdata_ready1}) + litedramcore_interface_wdata <= 128'd0; + case ({litedramcore_new_master_wdata_ready1}) 1'd1: begin - main_litedramcore_interface_wdata <= main_user_port_wdata_payload_data; + litedramcore_interface_wdata <= user_port_wdata_payload_data; end default: begin - main_litedramcore_interface_wdata <= 1'd0; + litedramcore_interface_wdata <= 1'd0; end endcase end always @(*) begin - main_litedramcore_interface_wdata_we <= 16'd0; - case ({builder_new_master_wdata_ready1}) + litedramcore_interface_wdata_we <= 16'd0; + case ({litedramcore_new_master_wdata_ready1}) 1'd1: begin - main_litedramcore_interface_wdata_we <= main_user_port_wdata_payload_we; + litedramcore_interface_wdata_we <= user_port_wdata_payload_we; end default: begin - main_litedramcore_interface_wdata_we <= 1'd0; + litedramcore_interface_wdata_we <= 1'd0; end endcase end -assign main_user_port_rdata_payload_data = main_litedramcore_interface_rdata; -assign builder_roundrobin0_grant = 1'd0; -assign builder_roundrobin1_grant = 1'd0; -assign builder_roundrobin2_grant = 1'd0; -assign builder_roundrobin3_grant = 1'd0; -assign builder_roundrobin4_grant = 1'd0; -assign builder_roundrobin5_grant = 1'd0; -assign builder_roundrobin6_grant = 1'd0; -assign builder_roundrobin7_grant = 1'd0; +assign user_port_rdata_payload_data = litedramcore_interface_rdata; +assign litedramcore_roundrobin0_grant = 1'd0; +assign litedramcore_roundrobin1_grant = 1'd0; +assign litedramcore_roundrobin2_grant = 1'd0; +assign litedramcore_roundrobin3_grant = 1'd0; +assign litedramcore_roundrobin4_grant = 1'd0; +assign litedramcore_roundrobin5_grant = 1'd0; +assign litedramcore_roundrobin6_grant = 1'd0; +assign litedramcore_roundrobin7_grant = 1'd0; always @(*) begin - builder_next_state <= 2'd0; - builder_next_state <= builder_state; - case (builder_state) + litedramcore_next_state <= 2'd0; + litedramcore_next_state <= litedramcore_state; + case (litedramcore_state) 1'd1: begin - builder_next_state <= 2'd2; + litedramcore_next_state <= 2'd2; end 2'd2: begin - builder_next_state <= 1'd0; + litedramcore_next_state <= 1'd0; end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_next_state <= 1'd1; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_next_state <= 1'd1; end end endcase end always @(*) begin - builder_litedramcore_we_next_value_ce2 <= 1'd0; - case (builder_state) + litedramcore_dat_w_next_value0 <= 32'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_we_next_value_ce2 <= 1'd1; end 2'd2: begin end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_we_next_value_ce2 <= 1'd1; - end + litedramcore_dat_w_next_value0 <= litedramcore_wishbone_dat_w; end endcase end always @(*) begin - builder_litedramcore_wishbone_dat_r <= 32'd0; - case (builder_state) + litedramcore_dat_w_next_value_ce0 <= 1'd0; + case (litedramcore_state) 1'd1: begin end 2'd2: begin - builder_litedramcore_wishbone_dat_r <= builder_litedramcore_dat_r; end default: begin + litedramcore_dat_w_next_value_ce0 <= 1'd1; end endcase end always @(*) begin - builder_litedramcore_dat_w_next_value0 <= 32'd0; - case (builder_state) + litedramcore_adr_next_value1 <= 14'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_adr_next_value1 <= 1'd0; end 2'd2: begin end default: begin - builder_litedramcore_dat_w_next_value0 <= builder_litedramcore_wishbone_dat_w; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_adr_next_value1 <= litedramcore_wishbone_adr; + end end endcase end always @(*) begin - builder_litedramcore_dat_w_next_value_ce0 <= 1'd0; - case (builder_state) + litedramcore_adr_next_value_ce1 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_adr_next_value_ce1 <= 1'd1; end 2'd2: begin end default: begin - builder_litedramcore_dat_w_next_value_ce0 <= 1'd1; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_adr_next_value_ce1 <= 1'd1; + end end endcase end always @(*) begin - builder_litedramcore_wishbone_ack <= 1'd0; - case (builder_state) + litedramcore_wishbone_dat_r <= 32'd0; + case (litedramcore_state) 1'd1: begin end 2'd2: begin - builder_litedramcore_wishbone_ack <= 1'd1; + litedramcore_wishbone_dat_r <= litedramcore_dat_r; end default: begin end endcase end always @(*) begin - builder_litedramcore_adr_next_value1 <= 14'd0; - case (builder_state) + litedramcore_we_next_value2 <= 1'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_adr_next_value1 <= 1'd0; + litedramcore_we_next_value2 <= 1'd0; end 2'd2: begin end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_adr_next_value1 <= builder_litedramcore_wishbone_adr; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_we_next_value2 <= (litedramcore_wishbone_we & (litedramcore_wishbone_sel != 1'd0)); end end endcase end always @(*) begin - builder_litedramcore_adr_next_value_ce1 <= 1'd0; - case (builder_state) + litedramcore_we_next_value_ce2 <= 1'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_adr_next_value_ce1 <= 1'd1; + litedramcore_we_next_value_ce2 <= 1'd1; end 2'd2: begin end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_adr_next_value_ce1 <= 1'd1; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_we_next_value_ce2 <= 1'd1; end end endcase end always @(*) begin - builder_litedramcore_we_next_value2 <= 1'd0; - case (builder_state) + litedramcore_wishbone_ack <= 1'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_we_next_value2 <= 1'd0; end 2'd2: begin + litedramcore_wishbone_ack <= 1'd1; end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_we_next_value2 <= (builder_litedramcore_wishbone_we & (builder_litedramcore_wishbone_sel != 1'd0)); - end end endcase end -assign builder_litedramcore_wishbone_adr = main_wb_bus_adr; -assign builder_litedramcore_wishbone_dat_w = main_wb_bus_dat_w; -assign main_wb_bus_dat_r = builder_litedramcore_wishbone_dat_r; -assign builder_litedramcore_wishbone_sel = main_wb_bus_sel; -assign builder_litedramcore_wishbone_cyc = main_wb_bus_cyc; -assign builder_litedramcore_wishbone_stb = main_wb_bus_stb; -assign main_wb_bus_ack = builder_litedramcore_wishbone_ack; -assign builder_litedramcore_wishbone_we = main_wb_bus_we; -assign builder_litedramcore_wishbone_cti = main_wb_bus_cti; -assign builder_litedramcore_wishbone_bte = main_wb_bus_bte; -assign main_wb_bus_err = builder_litedramcore_wishbone_err; -assign builder_csrbank0_sel = (builder_interface0_bank_bus_adr[13:9] == 1'd0); -assign builder_csrbank0_init_done0_r = builder_interface0_bank_bus_dat_w[0]; +assign litedramcore_wishbone_adr = wb_bus_adr; +assign litedramcore_wishbone_dat_w = wb_bus_dat_w; +assign wb_bus_dat_r = litedramcore_wishbone_dat_r; +assign litedramcore_wishbone_sel = wb_bus_sel; +assign litedramcore_wishbone_cyc = wb_bus_cyc; +assign litedramcore_wishbone_stb = wb_bus_stb; +assign wb_bus_ack = litedramcore_wishbone_ack; +assign litedramcore_wishbone_we = wb_bus_we; +assign litedramcore_wishbone_cti = wb_bus_cti; +assign litedramcore_wishbone_bte = wb_bus_bte; +assign wb_bus_err = litedramcore_wishbone_err; +assign csrbank0_sel = (interface0_bank_bus_adr[13:9] == 1'd0); +assign csrbank0_init_done0_r = interface0_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank0_init_done0_we <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank0_init_done0_we <= (~builder_interface0_bank_bus_we); + csrbank0_init_done0_re <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd0))) begin + csrbank0_init_done0_re <= interface0_bank_bus_we; end end always @(*) begin - builder_csrbank0_init_done0_re <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank0_init_done0_re <= builder_interface0_bank_bus_we; + csrbank0_init_done0_we <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd0))) begin + csrbank0_init_done0_we <= (~interface0_bank_bus_we); end end -assign builder_csrbank0_init_error0_r = builder_interface0_bank_bus_dat_w[0]; +assign csrbank0_init_error0_r = interface0_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank0_init_error0_re <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank0_init_error0_re <= builder_interface0_bank_bus_we; + csrbank0_init_error0_we <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd1))) begin + csrbank0_init_error0_we <= (~interface0_bank_bus_we); end end always @(*) begin - builder_csrbank0_init_error0_we <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank0_init_error0_we <= (~builder_interface0_bank_bus_we); + csrbank0_init_error0_re <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd1))) begin + csrbank0_init_error0_re <= interface0_bank_bus_we; end end -assign builder_csrbank0_init_done0_w = main_init_done_storage; -assign builder_csrbank0_init_error0_w = main_init_error_storage; -assign builder_csrbank1_sel = (builder_interface1_bank_bus_adr[13:9] == 1'd1); -assign builder_csrbank1_rst0_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank0_init_done0_w = init_done_storage; +assign csrbank0_init_error0_w = init_error_storage; +assign csrbank1_sel = (interface1_bank_bus_adr[13:9] == 1'd1); +assign csrbank1_rst0_r = interface1_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank1_rst0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank1_rst0_re <= builder_interface1_bank_bus_we; + csrbank1_rst0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd0))) begin + csrbank1_rst0_we <= (~interface1_bank_bus_we); end end always @(*) begin - builder_csrbank1_rst0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank1_rst0_we <= (~builder_interface1_bank_bus_we); + csrbank1_rst0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd0))) begin + csrbank1_rst0_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_half_sys8x_taps0_r = builder_interface1_bank_bus_dat_w[4:0]; +assign csrbank1_dly_sel0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - builder_csrbank1_half_sys8x_taps0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank1_half_sys8x_taps0_we <= (~builder_interface1_bank_bus_we); + csrbank1_dly_sel0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd1))) begin + csrbank1_dly_sel0_we <= (~interface1_bank_bus_we); end end always @(*) begin - builder_csrbank1_half_sys8x_taps0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank1_half_sys8x_taps0_re <= builder_interface1_bank_bus_we; + csrbank1_dly_sel0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd1))) begin + csrbank1_dly_sel0_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_wlevel_en0_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank1_half_sys8x_taps0_r = interface1_bank_bus_dat_w[4:0]; always @(*) begin - builder_csrbank1_wlevel_en0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd2))) begin - builder_csrbank1_wlevel_en0_re <= builder_interface1_bank_bus_we; + csrbank1_half_sys8x_taps0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd2))) begin + csrbank1_half_sys8x_taps0_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_wlevel_en0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd2))) begin - builder_csrbank1_wlevel_en0_we <= (~builder_interface1_bank_bus_we); + csrbank1_half_sys8x_taps0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd2))) begin + csrbank1_half_sys8x_taps0_we <= (~interface1_bank_bus_we); end end -assign main_a7ddrphy_wlevel_strobe_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank1_wlevel_en0_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_wlevel_strobe_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd3))) begin - main_a7ddrphy_wlevel_strobe_we <= (~builder_interface1_bank_bus_we); + csrbank1_wlevel_en0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd3))) begin + csrbank1_wlevel_en0_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_wlevel_strobe_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd3))) begin - main_a7ddrphy_wlevel_strobe_re <= builder_interface1_bank_bus_we; + csrbank1_wlevel_en0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd3))) begin + csrbank1_wlevel_en0_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_dly_sel0_r = builder_interface1_bank_bus_dat_w[1:0]; +assign a7ddrphy_wlevel_strobe_r = interface1_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank1_dly_sel0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank1_dly_sel0_re <= builder_interface1_bank_bus_we; + a7ddrphy_wlevel_strobe_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd4))) begin + a7ddrphy_wlevel_strobe_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_dly_sel0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank1_dly_sel0_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_wlevel_strobe_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd4))) begin + a7ddrphy_wlevel_strobe_we <= (~interface1_bank_bus_we); end end -assign main_a7ddrphy_rdly_dq_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd5))) begin - main_a7ddrphy_rdly_dq_rst_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd5))) begin + a7ddrphy_rdly_dq_rst_re <= interface1_bank_bus_we; end end always @(*) begin - main_a7ddrphy_rdly_dq_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd5))) begin - main_a7ddrphy_rdly_dq_rst_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd5))) begin + a7ddrphy_rdly_dq_rst_we <= (~interface1_bank_bus_we); end end -assign main_a7ddrphy_rdly_dq_inc_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_inc_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_inc_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd6))) begin - main_a7ddrphy_rdly_dq_inc_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_inc_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd6))) begin + a7ddrphy_rdly_dq_inc_re <= interface1_bank_bus_we; end end always @(*) begin - main_a7ddrphy_rdly_dq_inc_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd6))) begin - main_a7ddrphy_rdly_dq_inc_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_inc_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd6))) begin + a7ddrphy_rdly_dq_inc_we <= (~interface1_bank_bus_we); end end -assign main_a7ddrphy_rdly_dq_bitslip_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_bitslip_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd7))) begin - main_a7ddrphy_rdly_dq_bitslip_rst_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_bitslip_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd7))) begin + a7ddrphy_rdly_dq_bitslip_rst_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd7))) begin - main_a7ddrphy_rdly_dq_bitslip_rst_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_bitslip_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd7))) begin + a7ddrphy_rdly_dq_bitslip_rst_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_rdly_dq_bitslip_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_bitslip_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd8))) begin - main_a7ddrphy_rdly_dq_bitslip_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_bitslip_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd8))) begin + a7ddrphy_rdly_dq_bitslip_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd8))) begin - main_a7ddrphy_rdly_dq_bitslip_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_bitslip_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd8))) begin + a7ddrphy_rdly_dq_bitslip_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_wdly_dq_bitslip_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_wdly_dq_bitslip_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd9))) begin - main_a7ddrphy_wdly_dq_bitslip_rst_re <= builder_interface1_bank_bus_we; + a7ddrphy_wdly_dq_bitslip_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd9))) begin + a7ddrphy_wdly_dq_bitslip_rst_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd9))) begin - main_a7ddrphy_wdly_dq_bitslip_rst_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_wdly_dq_bitslip_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd9))) begin + a7ddrphy_wdly_dq_bitslip_rst_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_wdly_dq_bitslip_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_wdly_dq_bitslip_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd10))) begin - main_a7ddrphy_wdly_dq_bitslip_re <= builder_interface1_bank_bus_we; + a7ddrphy_wdly_dq_bitslip_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd10))) begin + a7ddrphy_wdly_dq_bitslip_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd10))) begin - main_a7ddrphy_wdly_dq_bitslip_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_wdly_dq_bitslip_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd10))) begin + a7ddrphy_wdly_dq_bitslip_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_rdphase0_r = builder_interface1_bank_bus_dat_w[1:0]; +assign csrbank1_rdphase0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - builder_csrbank1_rdphase0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank1_rdphase0_we <= (~builder_interface1_bank_bus_we); + csrbank1_rdphase0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd11))) begin + csrbank1_rdphase0_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_rdphase0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank1_rdphase0_re <= builder_interface1_bank_bus_we; + csrbank1_rdphase0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd11))) begin + csrbank1_rdphase0_we <= (~interface1_bank_bus_we); end end -assign builder_csrbank1_wrphase0_r = builder_interface1_bank_bus_dat_w[1:0]; +assign csrbank1_wrphase0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - builder_csrbank1_wrphase0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank1_wrphase0_re <= builder_interface1_bank_bus_we; + csrbank1_wrphase0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd12))) begin + csrbank1_wrphase0_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_wrphase0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank1_wrphase0_we <= (~builder_interface1_bank_bus_we); + csrbank1_wrphase0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd12))) begin + csrbank1_wrphase0_we <= (~interface1_bank_bus_we); end end -assign builder_csrbank1_rst0_w = main_a7ddrphy_rst_storage; -assign builder_csrbank1_half_sys8x_taps0_w = main_a7ddrphy_half_sys8x_taps_storage[4:0]; -assign builder_csrbank1_wlevel_en0_w = main_a7ddrphy_wlevel_en_storage; -assign builder_csrbank1_dly_sel0_w = main_a7ddrphy_dly_sel_storage[1:0]; -assign builder_csrbank1_rdphase0_w = main_a7ddrphy_rdphase_storage[1:0]; -assign builder_csrbank1_wrphase0_w = main_a7ddrphy_wrphase_storage[1:0]; -assign builder_csrbank2_sel = (builder_interface2_bank_bus_adr[13:9] == 2'd2); -assign builder_csrbank2_dfii_control0_r = builder_interface2_bank_bus_dat_w[3:0]; +assign csrbank1_rst0_w = a7ddrphy_rst_storage; +assign csrbank1_dly_sel0_w = a7ddrphy_dly_sel_storage[1:0]; +assign csrbank1_half_sys8x_taps0_w = a7ddrphy_half_sys8x_taps_storage[4:0]; +assign csrbank1_wlevel_en0_w = a7ddrphy_wlevel_en_storage; +assign csrbank1_rdphase0_w = a7ddrphy_rdphase_storage[1:0]; +assign csrbank1_wrphase0_w = a7ddrphy_wrphase_storage[1:0]; +assign csrbank2_sel = (interface2_bank_bus_adr[13:9] == 2'd2); +assign csrbank2_dfii_control0_r = interface2_bank_bus_dat_w[3:0]; always @(*) begin - builder_csrbank2_dfii_control0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank2_dfii_control0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_control0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd0))) begin + csrbank2_dfii_control0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_control0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank2_dfii_control0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_control0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd0))) begin + csrbank2_dfii_control0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi0_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi0_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi0_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank2_dfii_pi0_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd1))) begin + csrbank2_dfii_pi0_command0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi0_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank2_dfii_pi0_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd1))) begin + csrbank2_dfii_pi0_command0_we <= (~interface2_bank_bus_we); end end -assign main_litedramcore_phaseinjector0_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector0_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector0_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd2))) begin - main_litedramcore_phaseinjector0_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector0_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd2))) begin + litedramcore_phaseinjector0_command_issue_we <= (~interface2_bank_bus_we); end end always @(*) begin - main_litedramcore_phaseinjector0_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd2))) begin - main_litedramcore_phaseinjector0_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector0_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd2))) begin + litedramcore_phaseinjector0_command_issue_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi0_address0_r = builder_interface2_bank_bus_dat_w[14:0]; +assign csrbank2_dfii_pi0_address0_r = interface2_bank_bus_dat_w[14:0]; always @(*) begin - builder_csrbank2_dfii_pi0_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd3))) begin - builder_csrbank2_dfii_pi0_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd3))) begin + csrbank2_dfii_pi0_address0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd3))) begin - builder_csrbank2_dfii_pi0_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd3))) begin + csrbank2_dfii_pi0_address0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi0_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi0_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi0_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank2_dfii_pi0_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd4))) begin + csrbank2_dfii_pi0_baddress0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi0_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank2_dfii_pi0_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd4))) begin + csrbank2_dfii_pi0_baddress0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi0_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi0_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi0_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd5))) begin - builder_csrbank2_dfii_pi0_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd5))) begin + csrbank2_dfii_pi0_wrdata0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd5))) begin - builder_csrbank2_dfii_pi0_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd5))) begin + csrbank2_dfii_pi0_wrdata0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi0_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi0_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi0_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd6))) begin - builder_csrbank2_dfii_pi0_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd6))) begin + csrbank2_dfii_pi0_rddata_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd6))) begin - builder_csrbank2_dfii_pi0_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd6))) begin + csrbank2_dfii_pi0_rddata_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi1_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi1_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd7))) begin - builder_csrbank2_dfii_pi1_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd7))) begin + csrbank2_dfii_pi1_command0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi1_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd7))) begin - builder_csrbank2_dfii_pi1_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd7))) begin + csrbank2_dfii_pi1_command0_we <= (~interface2_bank_bus_we); end end -assign main_litedramcore_phaseinjector1_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector1_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector1_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd8))) begin - main_litedramcore_phaseinjector1_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector1_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd8))) begin + litedramcore_phaseinjector1_command_issue_we <= (~interface2_bank_bus_we); end end always @(*) begin - main_litedramcore_phaseinjector1_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd8))) begin - main_litedramcore_phaseinjector1_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector1_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd8))) begin + litedramcore_phaseinjector1_command_issue_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_address0_r = builder_interface2_bank_bus_dat_w[14:0]; +assign csrbank2_dfii_pi1_address0_r = interface2_bank_bus_dat_w[14:0]; always @(*) begin - builder_csrbank2_dfii_pi1_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd9))) begin - builder_csrbank2_dfii_pi1_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd9))) begin + csrbank2_dfii_pi1_address0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd9))) begin - builder_csrbank2_dfii_pi1_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd9))) begin + csrbank2_dfii_pi1_address0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi1_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi1_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd10))) begin - builder_csrbank2_dfii_pi1_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd10))) begin + csrbank2_dfii_pi1_baddress0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd10))) begin - builder_csrbank2_dfii_pi1_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd10))) begin + csrbank2_dfii_pi1_baddress0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi1_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi1_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank2_dfii_pi1_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd11))) begin + csrbank2_dfii_pi1_wrdata0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi1_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank2_dfii_pi1_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd11))) begin + csrbank2_dfii_pi1_wrdata0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi1_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi1_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi1_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank2_dfii_pi1_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd12))) begin + csrbank2_dfii_pi1_rddata_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank2_dfii_pi1_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd12))) begin + csrbank2_dfii_pi1_rddata_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi2_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi2_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi2_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd13))) begin - builder_csrbank2_dfii_pi2_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd13))) begin + csrbank2_dfii_pi2_command0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi2_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd13))) begin - builder_csrbank2_dfii_pi2_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd13))) begin + csrbank2_dfii_pi2_command0_re <= interface2_bank_bus_we; end end -assign main_litedramcore_phaseinjector2_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector2_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector2_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd14))) begin - main_litedramcore_phaseinjector2_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector2_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd14))) begin + litedramcore_phaseinjector2_command_issue_re <= interface2_bank_bus_we; end end always @(*) begin - main_litedramcore_phaseinjector2_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd14))) begin - main_litedramcore_phaseinjector2_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector2_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd14))) begin + litedramcore_phaseinjector2_command_issue_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_address0_r = builder_interface2_bank_bus_dat_w[14:0]; +assign csrbank2_dfii_pi2_address0_r = interface2_bank_bus_dat_w[14:0]; always @(*) begin - builder_csrbank2_dfii_pi2_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd15))) begin - builder_csrbank2_dfii_pi2_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd15))) begin + csrbank2_dfii_pi2_address0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi2_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd15))) begin - builder_csrbank2_dfii_pi2_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd15))) begin + csrbank2_dfii_pi2_address0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi2_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi2_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd16))) begin - builder_csrbank2_dfii_pi2_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd16))) begin + csrbank2_dfii_pi2_baddress0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi2_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd16))) begin - builder_csrbank2_dfii_pi2_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd16))) begin + csrbank2_dfii_pi2_baddress0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi2_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi2_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi2_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd17))) begin - builder_csrbank2_dfii_pi2_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd17))) begin + csrbank2_dfii_pi2_wrdata0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi2_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd17))) begin - builder_csrbank2_dfii_pi2_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd17))) begin + csrbank2_dfii_pi2_wrdata0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi2_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi2_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd18))) begin - builder_csrbank2_dfii_pi2_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd18))) begin + csrbank2_dfii_pi2_rddata_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi2_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd18))) begin - builder_csrbank2_dfii_pi2_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd18))) begin + csrbank2_dfii_pi2_rddata_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi3_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi3_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd19))) begin - builder_csrbank2_dfii_pi3_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd19))) begin + csrbank2_dfii_pi3_command0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi3_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd19))) begin - builder_csrbank2_dfii_pi3_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd19))) begin + csrbank2_dfii_pi3_command0_re <= interface2_bank_bus_we; end end -assign main_litedramcore_phaseinjector3_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector3_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector3_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd20))) begin - main_litedramcore_phaseinjector3_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector3_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd20))) begin + litedramcore_phaseinjector3_command_issue_we <= (~interface2_bank_bus_we); end end always @(*) begin - main_litedramcore_phaseinjector3_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd20))) begin - main_litedramcore_phaseinjector3_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector3_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd20))) begin + litedramcore_phaseinjector3_command_issue_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi3_address0_r = builder_interface2_bank_bus_dat_w[14:0]; +assign csrbank2_dfii_pi3_address0_r = interface2_bank_bus_dat_w[14:0]; always @(*) begin - builder_csrbank2_dfii_pi3_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd21))) begin - builder_csrbank2_dfii_pi3_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd21))) begin + csrbank2_dfii_pi3_address0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd21))) begin - builder_csrbank2_dfii_pi3_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd21))) begin + csrbank2_dfii_pi3_address0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi3_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi3_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd22))) begin - builder_csrbank2_dfii_pi3_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd22))) begin + csrbank2_dfii_pi3_baddress0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd22))) begin - builder_csrbank2_dfii_pi3_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd22))) begin + csrbank2_dfii_pi3_baddress0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi3_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi3_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd23))) begin - builder_csrbank2_dfii_pi3_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd23))) begin + csrbank2_dfii_pi3_wrdata0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi3_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd23))) begin - builder_csrbank2_dfii_pi3_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd23))) begin + csrbank2_dfii_pi3_wrdata0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi3_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi3_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi3_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd24))) begin - builder_csrbank2_dfii_pi3_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd24))) begin + csrbank2_dfii_pi3_rddata_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd24))) begin - builder_csrbank2_dfii_pi3_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd24))) begin + csrbank2_dfii_pi3_rddata_we <= (~interface2_bank_bus_we); end end -assign main_litedramcore_sel = main_litedramcore_storage[0]; -assign main_litedramcore_cke = main_litedramcore_storage[1]; -assign main_litedramcore_odt = main_litedramcore_storage[2]; -assign main_litedramcore_reset_n = main_litedramcore_storage[3]; -assign builder_csrbank2_dfii_control0_w = main_litedramcore_storage[3:0]; -assign builder_csrbank2_dfii_pi0_command0_w = main_litedramcore_phaseinjector0_command_storage[5:0]; -assign builder_csrbank2_dfii_pi0_address0_w = main_litedramcore_phaseinjector0_address_storage[14:0]; -assign builder_csrbank2_dfii_pi0_baddress0_w = main_litedramcore_phaseinjector0_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi0_wrdata0_w = main_litedramcore_phaseinjector0_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi0_rddata_w = main_litedramcore_phaseinjector0_rddata_status[31:0]; -assign main_litedramcore_phaseinjector0_rddata_we = builder_csrbank2_dfii_pi0_rddata_we; -assign builder_csrbank2_dfii_pi1_command0_w = main_litedramcore_phaseinjector1_command_storage[5:0]; -assign builder_csrbank2_dfii_pi1_address0_w = main_litedramcore_phaseinjector1_address_storage[14:0]; -assign builder_csrbank2_dfii_pi1_baddress0_w = main_litedramcore_phaseinjector1_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi1_wrdata0_w = main_litedramcore_phaseinjector1_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi1_rddata_w = main_litedramcore_phaseinjector1_rddata_status[31:0]; -assign main_litedramcore_phaseinjector1_rddata_we = builder_csrbank2_dfii_pi1_rddata_we; -assign builder_csrbank2_dfii_pi2_command0_w = main_litedramcore_phaseinjector2_command_storage[5:0]; -assign builder_csrbank2_dfii_pi2_address0_w = main_litedramcore_phaseinjector2_address_storage[14:0]; -assign builder_csrbank2_dfii_pi2_baddress0_w = main_litedramcore_phaseinjector2_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi2_wrdata0_w = main_litedramcore_phaseinjector2_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi2_rddata_w = main_litedramcore_phaseinjector2_rddata_status[31:0]; -assign main_litedramcore_phaseinjector2_rddata_we = builder_csrbank2_dfii_pi2_rddata_we; -assign builder_csrbank2_dfii_pi3_command0_w = main_litedramcore_phaseinjector3_command_storage[5:0]; -assign builder_csrbank2_dfii_pi3_address0_w = main_litedramcore_phaseinjector3_address_storage[14:0]; -assign builder_csrbank2_dfii_pi3_baddress0_w = main_litedramcore_phaseinjector3_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi3_wrdata0_w = main_litedramcore_phaseinjector3_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi3_rddata_w = main_litedramcore_phaseinjector3_rddata_status[31:0]; -assign main_litedramcore_phaseinjector3_rddata_we = builder_csrbank2_dfii_pi3_rddata_we; -assign builder_csr_interconnect_adr = builder_litedramcore_adr; -assign builder_csr_interconnect_we = builder_litedramcore_we; -assign builder_csr_interconnect_dat_w = builder_litedramcore_dat_w; -assign builder_litedramcore_dat_r = builder_csr_interconnect_dat_r; -assign builder_interface0_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface1_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface2_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface0_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface1_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface2_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface0_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_interface1_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_interface2_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_csr_interconnect_dat_r = ((builder_interface0_bank_bus_dat_r | builder_interface1_bank_bus_dat_r) | builder_interface2_bank_bus_dat_r); -always @(*) begin - builder_rhs_array_muxed0 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) +assign litedramcore_sel = litedramcore_storage[0]; +assign litedramcore_cke = litedramcore_storage[1]; +assign litedramcore_odt = litedramcore_storage[2]; +assign litedramcore_reset_n = litedramcore_storage[3]; +assign csrbank2_dfii_control0_w = litedramcore_storage[3:0]; +assign litedramcore_phaseinjector0_csrfield_cs = litedramcore_phaseinjector0_command_storage[0]; +assign litedramcore_phaseinjector0_csrfield_we = litedramcore_phaseinjector0_command_storage[1]; +assign litedramcore_phaseinjector0_csrfield_cas = litedramcore_phaseinjector0_command_storage[2]; +assign litedramcore_phaseinjector0_csrfield_ras = litedramcore_phaseinjector0_command_storage[3]; +assign litedramcore_phaseinjector0_csrfield_wren = litedramcore_phaseinjector0_command_storage[4]; +assign litedramcore_phaseinjector0_csrfield_rden = litedramcore_phaseinjector0_command_storage[5]; +assign csrbank2_dfii_pi0_command0_w = litedramcore_phaseinjector0_command_storage[5:0]; +assign csrbank2_dfii_pi0_address0_w = litedramcore_phaseinjector0_address_storage[14:0]; +assign csrbank2_dfii_pi0_baddress0_w = litedramcore_phaseinjector0_baddress_storage[2:0]; +assign csrbank2_dfii_pi0_wrdata0_w = litedramcore_phaseinjector0_wrdata_storage[31:0]; +assign csrbank2_dfii_pi0_rddata_w = litedramcore_phaseinjector0_rddata_status[31:0]; +assign litedramcore_phaseinjector0_rddata_we = csrbank2_dfii_pi0_rddata_we; +assign litedramcore_phaseinjector1_csrfield_cs = litedramcore_phaseinjector1_command_storage[0]; +assign litedramcore_phaseinjector1_csrfield_we = litedramcore_phaseinjector1_command_storage[1]; +assign litedramcore_phaseinjector1_csrfield_cas = litedramcore_phaseinjector1_command_storage[2]; +assign litedramcore_phaseinjector1_csrfield_ras = litedramcore_phaseinjector1_command_storage[3]; +assign litedramcore_phaseinjector1_csrfield_wren = litedramcore_phaseinjector1_command_storage[4]; +assign litedramcore_phaseinjector1_csrfield_rden = litedramcore_phaseinjector1_command_storage[5]; +assign csrbank2_dfii_pi1_command0_w = litedramcore_phaseinjector1_command_storage[5:0]; +assign csrbank2_dfii_pi1_address0_w = litedramcore_phaseinjector1_address_storage[14:0]; +assign csrbank2_dfii_pi1_baddress0_w = litedramcore_phaseinjector1_baddress_storage[2:0]; +assign csrbank2_dfii_pi1_wrdata0_w = litedramcore_phaseinjector1_wrdata_storage[31:0]; +assign csrbank2_dfii_pi1_rddata_w = litedramcore_phaseinjector1_rddata_status[31:0]; +assign litedramcore_phaseinjector1_rddata_we = csrbank2_dfii_pi1_rddata_we; +assign litedramcore_phaseinjector2_csrfield_cs = litedramcore_phaseinjector2_command_storage[0]; +assign litedramcore_phaseinjector2_csrfield_we = litedramcore_phaseinjector2_command_storage[1]; +assign litedramcore_phaseinjector2_csrfield_cas = litedramcore_phaseinjector2_command_storage[2]; +assign litedramcore_phaseinjector2_csrfield_ras = litedramcore_phaseinjector2_command_storage[3]; +assign litedramcore_phaseinjector2_csrfield_wren = litedramcore_phaseinjector2_command_storage[4]; +assign litedramcore_phaseinjector2_csrfield_rden = litedramcore_phaseinjector2_command_storage[5]; +assign csrbank2_dfii_pi2_command0_w = litedramcore_phaseinjector2_command_storage[5:0]; +assign csrbank2_dfii_pi2_address0_w = litedramcore_phaseinjector2_address_storage[14:0]; +assign csrbank2_dfii_pi2_baddress0_w = litedramcore_phaseinjector2_baddress_storage[2:0]; +assign csrbank2_dfii_pi2_wrdata0_w = litedramcore_phaseinjector2_wrdata_storage[31:0]; +assign csrbank2_dfii_pi2_rddata_w = litedramcore_phaseinjector2_rddata_status[31:0]; +assign litedramcore_phaseinjector2_rddata_we = csrbank2_dfii_pi2_rddata_we; +assign litedramcore_phaseinjector3_csrfield_cs = litedramcore_phaseinjector3_command_storage[0]; +assign litedramcore_phaseinjector3_csrfield_we = litedramcore_phaseinjector3_command_storage[1]; +assign litedramcore_phaseinjector3_csrfield_cas = litedramcore_phaseinjector3_command_storage[2]; +assign litedramcore_phaseinjector3_csrfield_ras = litedramcore_phaseinjector3_command_storage[3]; +assign litedramcore_phaseinjector3_csrfield_wren = litedramcore_phaseinjector3_command_storage[4]; +assign litedramcore_phaseinjector3_csrfield_rden = litedramcore_phaseinjector3_command_storage[5]; +assign csrbank2_dfii_pi3_command0_w = litedramcore_phaseinjector3_command_storage[5:0]; +assign csrbank2_dfii_pi3_address0_w = litedramcore_phaseinjector3_address_storage[14:0]; +assign csrbank2_dfii_pi3_baddress0_w = litedramcore_phaseinjector3_baddress_storage[2:0]; +assign csrbank2_dfii_pi3_wrdata0_w = litedramcore_phaseinjector3_wrdata_storage[31:0]; +assign csrbank2_dfii_pi3_rddata_w = litedramcore_phaseinjector3_rddata_status[31:0]; +assign litedramcore_phaseinjector3_rddata_we = csrbank2_dfii_pi3_rddata_we; +assign csr_interconnect_adr = litedramcore_adr; +assign csr_interconnect_we = litedramcore_we; +assign csr_interconnect_dat_w = litedramcore_dat_w; +assign litedramcore_dat_r = csr_interconnect_dat_r; +assign interface0_bank_bus_adr = csr_interconnect_adr; +assign interface1_bank_bus_adr = csr_interconnect_adr; +assign interface2_bank_bus_adr = csr_interconnect_adr; +assign interface0_bank_bus_we = csr_interconnect_we; +assign interface1_bank_bus_we = csr_interconnect_we; +assign interface2_bank_bus_we = csr_interconnect_we; +assign interface0_bank_bus_dat_w = csr_interconnect_dat_w; +assign interface1_bank_bus_dat_w = csr_interconnect_dat_w; +assign interface2_bank_bus_dat_w = csr_interconnect_dat_w; +assign csr_interconnect_dat_r = ((interface0_bank_bus_dat_r | interface1_bank_bus_dat_r) | interface2_bank_bus_dat_r); +always @(*) begin + rhs_array_muxed0 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[0]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[0]; end 1'd1: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[1]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[1]; end 2'd2: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[2]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[2]; end 2'd3: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[3]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[3]; end 3'd4: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[4]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[4]; end 3'd5: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[5]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[5]; end 3'd6: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[6]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[6]; end default: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[7]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[7]; end endcase end always @(*) begin - builder_rhs_array_muxed1 <= 15'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed1 <= 15'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine0_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine0_cmd_payload_a; end 1'd1: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine1_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine1_cmd_payload_a; end 2'd2: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine2_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine2_cmd_payload_a; end 2'd3: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine3_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine3_cmd_payload_a; end 3'd4: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine4_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine4_cmd_payload_a; end 3'd5: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine5_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine5_cmd_payload_a; end 3'd6: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine6_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine6_cmd_payload_a; end default: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine7_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine7_cmd_payload_a; end endcase end always @(*) begin - builder_rhs_array_muxed2 <= 3'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed2 <= 3'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine0_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine0_cmd_payload_ba; end 1'd1: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine1_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine1_cmd_payload_ba; end 2'd2: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine2_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine2_cmd_payload_ba; end 2'd3: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine3_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine3_cmd_payload_ba; end 3'd4: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine4_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine4_cmd_payload_ba; end 3'd5: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine5_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine5_cmd_payload_ba; end 3'd6: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine6_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine6_cmd_payload_ba; end default: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine7_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine7_cmd_payload_ba; end endcase end always @(*) begin - builder_rhs_array_muxed3 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed3 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine0_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine0_cmd_payload_is_read; end 1'd1: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine1_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine1_cmd_payload_is_read; end 2'd2: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine2_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine2_cmd_payload_is_read; end 2'd3: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine3_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine3_cmd_payload_is_read; end 3'd4: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine4_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine4_cmd_payload_is_read; end 3'd5: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine5_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine5_cmd_payload_is_read; end 3'd6: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine6_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine6_cmd_payload_is_read; end default: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine7_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine7_cmd_payload_is_read; end endcase end always @(*) begin - builder_rhs_array_muxed4 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed4 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine0_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine0_cmd_payload_is_write; end 1'd1: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine1_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine1_cmd_payload_is_write; end 2'd2: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine2_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine2_cmd_payload_is_write; end 2'd3: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine3_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine3_cmd_payload_is_write; end 3'd4: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine4_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine4_cmd_payload_is_write; end 3'd5: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine5_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine5_cmd_payload_is_write; end 3'd6: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine6_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine6_cmd_payload_is_write; end default: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine7_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine7_cmd_payload_is_write; end endcase end always @(*) begin - builder_rhs_array_muxed5 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed5 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine0_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine0_cmd_payload_is_cmd; end 1'd1: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine1_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine1_cmd_payload_is_cmd; end 2'd2: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine2_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine2_cmd_payload_is_cmd; end 2'd3: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine3_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine3_cmd_payload_is_cmd; end 3'd4: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine4_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine4_cmd_payload_is_cmd; end 3'd5: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine5_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine5_cmd_payload_is_cmd; end 3'd6: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine6_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine6_cmd_payload_is_cmd; end default: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine7_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine7_cmd_payload_is_cmd; end endcase end always @(*) begin - builder_t_array_muxed0 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed0 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine0_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine0_cmd_payload_cas; end 1'd1: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine1_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine1_cmd_payload_cas; end 2'd2: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine2_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine2_cmd_payload_cas; end 2'd3: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine3_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine3_cmd_payload_cas; end 3'd4: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine4_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine4_cmd_payload_cas; end 3'd5: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine5_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine5_cmd_payload_cas; end 3'd6: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine6_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine6_cmd_payload_cas; end default: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine7_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine7_cmd_payload_cas; end endcase end always @(*) begin - builder_t_array_muxed1 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed1 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine0_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine0_cmd_payload_ras; end 1'd1: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine1_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine1_cmd_payload_ras; end 2'd2: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine2_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine2_cmd_payload_ras; end 2'd3: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine3_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine3_cmd_payload_ras; end 3'd4: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine4_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine4_cmd_payload_ras; end 3'd5: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine5_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine5_cmd_payload_ras; end 3'd6: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine6_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine6_cmd_payload_ras; end default: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine7_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine7_cmd_payload_ras; end endcase end always @(*) begin - builder_t_array_muxed2 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed2 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine0_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine0_cmd_payload_we; end 1'd1: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine1_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine1_cmd_payload_we; end 2'd2: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine2_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine2_cmd_payload_we; end 2'd3: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine3_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine3_cmd_payload_we; end 3'd4: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine4_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine4_cmd_payload_we; end 3'd5: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine5_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine5_cmd_payload_we; end 3'd6: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine6_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine6_cmd_payload_we; end default: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine7_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine7_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed6 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed6 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[0]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[0]; end 1'd1: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[1]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[1]; end 2'd2: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[2]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[2]; end 2'd3: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[3]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[3]; end 3'd4: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[4]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[4]; end 3'd5: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[5]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[5]; end 3'd6: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[6]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[6]; end default: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[7]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[7]; end endcase end always @(*) begin - builder_rhs_array_muxed7 <= 15'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed7 <= 15'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine0_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine0_cmd_payload_a; end 1'd1: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine1_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine1_cmd_payload_a; end 2'd2: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine2_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine2_cmd_payload_a; end 2'd3: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine3_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine3_cmd_payload_a; end 3'd4: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine4_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine4_cmd_payload_a; end 3'd5: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine5_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine5_cmd_payload_a; end 3'd6: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine6_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine6_cmd_payload_a; end default: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine7_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine7_cmd_payload_a; end endcase end always @(*) begin - builder_rhs_array_muxed8 <= 3'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed8 <= 3'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine0_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine0_cmd_payload_ba; end 1'd1: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine1_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine1_cmd_payload_ba; end 2'd2: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine2_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine2_cmd_payload_ba; end 2'd3: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine3_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine3_cmd_payload_ba; end 3'd4: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine4_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine4_cmd_payload_ba; end 3'd5: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine5_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine5_cmd_payload_ba; end 3'd6: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine6_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine6_cmd_payload_ba; end default: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine7_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine7_cmd_payload_ba; end endcase end always @(*) begin - builder_rhs_array_muxed9 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed9 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine0_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine0_cmd_payload_is_read; end 1'd1: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine1_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine1_cmd_payload_is_read; end 2'd2: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine2_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine2_cmd_payload_is_read; end 2'd3: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine3_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine3_cmd_payload_is_read; end 3'd4: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine4_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine4_cmd_payload_is_read; end 3'd5: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine5_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine5_cmd_payload_is_read; end 3'd6: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine6_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine6_cmd_payload_is_read; end default: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine7_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine7_cmd_payload_is_read; end endcase end always @(*) begin - builder_rhs_array_muxed10 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed10 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine0_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine0_cmd_payload_is_write; end 1'd1: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine1_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine1_cmd_payload_is_write; end 2'd2: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine2_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine2_cmd_payload_is_write; end 2'd3: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine3_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine3_cmd_payload_is_write; end 3'd4: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine4_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine4_cmd_payload_is_write; end 3'd5: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine5_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine5_cmd_payload_is_write; end 3'd6: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine6_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine6_cmd_payload_is_write; end default: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine7_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine7_cmd_payload_is_write; end endcase end always @(*) begin - builder_rhs_array_muxed11 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed11 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine0_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine0_cmd_payload_is_cmd; end 1'd1: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine1_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine1_cmd_payload_is_cmd; end 2'd2: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine2_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine2_cmd_payload_is_cmd; end 2'd3: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine3_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine3_cmd_payload_is_cmd; end 3'd4: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine4_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine4_cmd_payload_is_cmd; end 3'd5: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine5_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine5_cmd_payload_is_cmd; end 3'd6: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine6_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine6_cmd_payload_is_cmd; end default: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine7_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine7_cmd_payload_is_cmd; end endcase end always @(*) begin - builder_t_array_muxed3 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed3 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine0_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine0_cmd_payload_cas; end 1'd1: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine1_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine1_cmd_payload_cas; end 2'd2: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine2_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine2_cmd_payload_cas; end 2'd3: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine3_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine3_cmd_payload_cas; end 3'd4: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine4_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine4_cmd_payload_cas; end 3'd5: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine5_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine5_cmd_payload_cas; end 3'd6: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine6_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine6_cmd_payload_cas; end default: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine7_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine7_cmd_payload_cas; end endcase end always @(*) begin - builder_t_array_muxed4 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed4 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine0_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine0_cmd_payload_ras; end 1'd1: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine1_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine1_cmd_payload_ras; end 2'd2: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine2_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine2_cmd_payload_ras; end 2'd3: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine3_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine3_cmd_payload_ras; end 3'd4: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine4_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine4_cmd_payload_ras; end 3'd5: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine5_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine5_cmd_payload_ras; end 3'd6: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine6_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine6_cmd_payload_ras; end default: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine7_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine7_cmd_payload_ras; end endcase end always @(*) begin - builder_t_array_muxed5 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed5 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine0_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine0_cmd_payload_we; end 1'd1: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine1_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine1_cmd_payload_we; end 2'd2: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine2_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine2_cmd_payload_we; end 2'd3: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine3_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine3_cmd_payload_we; end 3'd4: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine4_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine4_cmd_payload_we; end 3'd5: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine5_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine5_cmd_payload_we; end 3'd6: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine6_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine6_cmd_payload_we; end default: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine7_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine7_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed12 <= 22'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed12 <= 22'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed12 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed12 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed13 <= 1'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed13 <= 1'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed13 <= main_user_port_cmd_payload_we; + rhs_array_muxed13 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed14 <= 1'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed14 <= 1'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed14 <= (((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed14 <= (((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed15 <= 22'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed15 <= 22'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed15 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed15 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed16 <= 1'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed16 <= 1'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed16 <= main_user_port_cmd_payload_we; + rhs_array_muxed16 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed17 <= 1'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed17 <= 1'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed17 <= (((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed17 <= (((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed18 <= 22'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed18 <= 22'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed18 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed18 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed19 <= 1'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed19 <= 1'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed19 <= main_user_port_cmd_payload_we; + rhs_array_muxed19 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed20 <= 1'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed20 <= 1'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed20 <= (((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed20 <= (((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed21 <= 22'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed21 <= 22'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed21 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed21 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed22 <= 1'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed22 <= 1'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed22 <= main_user_port_cmd_payload_we; + rhs_array_muxed22 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed23 <= 1'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed23 <= 1'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed23 <= (((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed23 <= (((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed24 <= 22'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed24 <= 22'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed24 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed24 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed25 <= 1'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed25 <= 1'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed25 <= main_user_port_cmd_payload_we; + rhs_array_muxed25 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed26 <= 1'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed26 <= 1'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed26 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed26 <= (((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed27 <= 22'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed27 <= 22'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed27 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed27 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed28 <= 1'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed28 <= 1'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed28 <= main_user_port_cmd_payload_we; + rhs_array_muxed28 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed29 <= 1'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed29 <= 1'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed29 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed29 <= (((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed30 <= 22'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed30 <= 22'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed30 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed30 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed31 <= 1'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed31 <= 1'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed31 <= main_user_port_cmd_payload_we; + rhs_array_muxed31 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed32 <= 1'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed32 <= 1'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed32 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed32 <= (((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed33 <= 22'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed33 <= 22'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed33 <= {main_user_port_cmd_payload_addr[24:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed33 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed34 <= 1'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed34 <= 1'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed34 <= main_user_port_cmd_payload_we; + rhs_array_muxed34 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed35 <= 1'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed35 <= 1'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed35 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed35 <= (((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_array_muxed0 <= 3'd0; - case (main_litedramcore_steerer_sel0) + array_muxed0 <= 3'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed0 <= main_litedramcore_nop_ba[2:0]; + array_muxed0 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed0 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed0 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed0 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed1 <= 15'd0; - case (main_litedramcore_steerer_sel0) + array_muxed1 <= 15'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed1 <= main_litedramcore_nop_a; + array_muxed1 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed1 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed1 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed1 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed1 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed1 <= main_litedramcore_cmd_payload_a; + array_muxed1 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed2 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed2 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed2 <= 1'd0; + array_muxed2 <= 1'd0; end 1'd1: begin - builder_array_muxed2 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed2 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed2 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed2 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed2 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed2 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed3 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed3 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed3 <= 1'd0; + array_muxed3 <= 1'd0; end 1'd1: begin - builder_array_muxed3 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed3 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed3 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed3 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed3 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed3 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed4 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed4 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed4 <= 1'd0; + array_muxed4 <= 1'd0; end 1'd1: begin - builder_array_muxed4 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed4 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed4 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed4 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed4 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed4 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed5 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed5 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed5 <= 1'd0; + array_muxed5 <= 1'd0; end 1'd1: begin - builder_array_muxed5 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed5 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed5 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed6 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed6 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed6 <= 1'd0; + array_muxed6 <= 1'd0; end 1'd1: begin - builder_array_muxed6 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed6 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed6 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed7 <= 3'd0; - case (main_litedramcore_steerer_sel1) + array_muxed7 <= 3'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed7 <= main_litedramcore_nop_ba[2:0]; + array_muxed7 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed7 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed7 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed7 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed8 <= 15'd0; - case (main_litedramcore_steerer_sel1) + array_muxed8 <= 15'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed8 <= main_litedramcore_nop_a; + array_muxed8 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed8 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed8 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed8 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed8 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed8 <= main_litedramcore_cmd_payload_a; + array_muxed8 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed9 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed9 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed9 <= 1'd0; + array_muxed9 <= 1'd0; end 1'd1: begin - builder_array_muxed9 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed9 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed9 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed9 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed9 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed9 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed10 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed10 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed10 <= 1'd0; + array_muxed10 <= 1'd0; end 1'd1: begin - builder_array_muxed10 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed10 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed10 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed10 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed10 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed10 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed11 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed11 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed11 <= 1'd0; + array_muxed11 <= 1'd0; end 1'd1: begin - builder_array_muxed11 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed11 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed11 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed11 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed11 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed11 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed12 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed12 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed12 <= 1'd0; + array_muxed12 <= 1'd0; end 1'd1: begin - builder_array_muxed12 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed12 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed12 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed13 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed13 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed13 <= 1'd0; + array_muxed13 <= 1'd0; end 1'd1: begin - builder_array_muxed13 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed13 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed13 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed14 <= 3'd0; - case (main_litedramcore_steerer_sel2) + array_muxed14 <= 3'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed14 <= main_litedramcore_nop_ba[2:0]; + array_muxed14 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed14 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed14 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed14 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed15 <= 15'd0; - case (main_litedramcore_steerer_sel2) + array_muxed15 <= 15'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed15 <= main_litedramcore_nop_a; + array_muxed15 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed15 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed15 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed15 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed15 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed15 <= main_litedramcore_cmd_payload_a; + array_muxed15 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed16 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed16 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed16 <= 1'd0; + array_muxed16 <= 1'd0; end 1'd1: begin - builder_array_muxed16 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed16 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed16 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed16 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed16 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed16 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed17 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed17 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed17 <= 1'd0; + array_muxed17 <= 1'd0; end 1'd1: begin - builder_array_muxed17 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed17 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed17 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed17 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed17 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed17 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed18 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed18 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed18 <= 1'd0; + array_muxed18 <= 1'd0; end 1'd1: begin - builder_array_muxed18 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed18 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed18 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed18 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed18 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed18 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed19 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed19 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed19 <= 1'd0; + array_muxed19 <= 1'd0; end 1'd1: begin - builder_array_muxed19 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed19 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed19 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed20 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed20 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed20 <= 1'd0; + array_muxed20 <= 1'd0; end 1'd1: begin - builder_array_muxed20 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed20 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed20 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed21 <= 3'd0; - case (main_litedramcore_steerer_sel3) + array_muxed21 <= 3'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed21 <= main_litedramcore_nop_ba[2:0]; + array_muxed21 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed21 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed21 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed21 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed22 <= 15'd0; - case (main_litedramcore_steerer_sel3) + array_muxed22 <= 15'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed22 <= main_litedramcore_nop_a; + array_muxed22 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed22 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed22 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed22 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed22 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed22 <= main_litedramcore_cmd_payload_a; + array_muxed22 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed23 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed23 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed23 <= 1'd0; + array_muxed23 <= 1'd0; end 1'd1: begin - builder_array_muxed23 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed23 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed23 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed23 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed23 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed23 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed24 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed24 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed24 <= 1'd0; + array_muxed24 <= 1'd0; end 1'd1: begin - builder_array_muxed24 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed24 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed24 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed24 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed24 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed24 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed25 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed25 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed25 <= 1'd0; + array_muxed25 <= 1'd0; end 1'd1: begin - builder_array_muxed25 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed25 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed25 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed25 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed25 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed25 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed26 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed26 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed26 <= 1'd0; + array_muxed26 <= 1'd0; end 1'd1: begin - builder_array_muxed26 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed26 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed26 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed27 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed27 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed27 <= 1'd0; + array_muxed27 <= 1'd0; end 1'd1: begin - builder_array_muxed27 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed27 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed27 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end -assign builder_xilinxasyncresetsynchronizerimpl0 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl1 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl2 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl3 = (~main_locked); +assign xilinxasyncresetsynchronizerimpl0 = (~locked); +assign xilinxasyncresetsynchronizerimpl1 = (~locked); +assign xilinxasyncresetsynchronizerimpl2 = (~locked); +assign xilinxasyncresetsynchronizerimpl3 = (~locked); //------------------------------------------------------------------------------ @@ -11320,1044 +11763,1044 @@ assign builder_xilinxasyncresetsynchronizerimpl3 = (~main_locked); //------------------------------------------------------------------------------ always @(posedge iodelay_clk) begin - if ((main_reset_counter != 1'd0)) begin - main_reset_counter <= (main_reset_counter - 1'd1); + if ((reset_counter != 1'd0)) begin + reset_counter <= (reset_counter - 1'd1); end else begin - main_ic_reset <= 1'd0; + ic_reset <= 1'd0; end if (iodelay_rst) begin - main_reset_counter <= 4'd15; - main_ic_reset <= 1'd1; + reset_counter <= 4'd15; + ic_reset <= 1'd1; end end always @(posedge sys_clk) begin - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= main_a7ddrphy_dqs_oe_delay_tappeddelayline; - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0; - main_a7ddrphy_dqspattern_o1 <= main_a7ddrphy_dqspattern_o0; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value0 <= (main_a7ddrphy_bitslip0_value0 + 1'd1); + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= a7ddrphy_dqs_oe_delay_tappeddelayline; + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0; + a7ddrphy_dqspattern_o1 <= a7ddrphy_dqspattern_o0; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value0 <= (a7ddrphy_bitslip0_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value0 <= 3'd7; end - main_a7ddrphy_bitslip0_r0 <= {main_a7ddrphy_dqspattern_o1, main_a7ddrphy_bitslip0_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value0 <= (main_a7ddrphy_bitslip1_value0 + 1'd1); + a7ddrphy_bitslip0_r0 <= {a7ddrphy_dqspattern_o1, a7ddrphy_bitslip0_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value0 <= (a7ddrphy_bitslip1_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value0 <= 3'd7; end - main_a7ddrphy_bitslip1_r0 <= {main_a7ddrphy_dqspattern_o1, main_a7ddrphy_bitslip1_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value1 <= (main_a7ddrphy_bitslip0_value1 + 1'd1); + a7ddrphy_bitslip1_r0 <= {a7ddrphy_dqspattern_o1, a7ddrphy_bitslip1_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value1 <= (a7ddrphy_bitslip0_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value1 <= 3'd7; end - main_a7ddrphy_bitslip0_r1 <= {{main_a7ddrphy_dfi_p3_wrdata_mask[2], main_a7ddrphy_dfi_p3_wrdata_mask[0], main_a7ddrphy_dfi_p2_wrdata_mask[2], main_a7ddrphy_dfi_p2_wrdata_mask[0], main_a7ddrphy_dfi_p1_wrdata_mask[2], main_a7ddrphy_dfi_p1_wrdata_mask[0], main_a7ddrphy_dfi_p0_wrdata_mask[2], main_a7ddrphy_dfi_p0_wrdata_mask[0]}, main_a7ddrphy_bitslip0_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value1 <= (main_a7ddrphy_bitslip1_value1 + 1'd1); + a7ddrphy_bitslip0_r1 <= {{a7ddrphy_dfi_p3_wrdata_mask[2], a7ddrphy_dfi_p3_wrdata_mask[0], a7ddrphy_dfi_p2_wrdata_mask[2], a7ddrphy_dfi_p2_wrdata_mask[0], a7ddrphy_dfi_p1_wrdata_mask[2], a7ddrphy_dfi_p1_wrdata_mask[0], a7ddrphy_dfi_p0_wrdata_mask[2], a7ddrphy_dfi_p0_wrdata_mask[0]}, a7ddrphy_bitslip0_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value1 <= (a7ddrphy_bitslip1_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value1 <= 3'd7; end - main_a7ddrphy_bitslip1_r1 <= {{main_a7ddrphy_dfi_p3_wrdata_mask[3], main_a7ddrphy_dfi_p3_wrdata_mask[1], main_a7ddrphy_dfi_p2_wrdata_mask[3], main_a7ddrphy_dfi_p2_wrdata_mask[1], main_a7ddrphy_dfi_p1_wrdata_mask[3], main_a7ddrphy_dfi_p1_wrdata_mask[1], main_a7ddrphy_dfi_p0_wrdata_mask[3], main_a7ddrphy_dfi_p0_wrdata_mask[1]}, main_a7ddrphy_bitslip1_r1[15:8]}; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= main_a7ddrphy_dq_oe_delay_tappeddelayline; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value2 <= (main_a7ddrphy_bitslip0_value2 + 1'd1); + a7ddrphy_bitslip1_r1 <= {{a7ddrphy_dfi_p3_wrdata_mask[3], a7ddrphy_dfi_p3_wrdata_mask[1], a7ddrphy_dfi_p2_wrdata_mask[3], a7ddrphy_dfi_p2_wrdata_mask[1], a7ddrphy_dfi_p1_wrdata_mask[3], a7ddrphy_dfi_p1_wrdata_mask[1], a7ddrphy_dfi_p0_wrdata_mask[3], a7ddrphy_dfi_p0_wrdata_mask[1]}, a7ddrphy_bitslip1_r1[15:8]}; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= a7ddrphy_dq_oe_delay_tappeddelayline; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value2 <= (a7ddrphy_bitslip0_value2 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value2 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value2 <= 3'd7; end - main_a7ddrphy_bitslip0_r2 <= {{main_a7ddrphy_dfi_p3_wrdata[16], main_a7ddrphy_dfi_p3_wrdata[0], main_a7ddrphy_dfi_p2_wrdata[16], main_a7ddrphy_dfi_p2_wrdata[0], main_a7ddrphy_dfi_p1_wrdata[16], main_a7ddrphy_dfi_p1_wrdata[0], main_a7ddrphy_dfi_p0_wrdata[16], main_a7ddrphy_dfi_p0_wrdata[0]}, main_a7ddrphy_bitslip0_r2[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value3 <= (main_a7ddrphy_bitslip0_value3 + 1'd1); + a7ddrphy_bitslip0_r2 <= {{a7ddrphy_dfi_p3_wrdata[16], a7ddrphy_dfi_p3_wrdata[0], a7ddrphy_dfi_p2_wrdata[16], a7ddrphy_dfi_p2_wrdata[0], a7ddrphy_dfi_p1_wrdata[16], a7ddrphy_dfi_p1_wrdata[0], a7ddrphy_dfi_p0_wrdata[16], a7ddrphy_dfi_p0_wrdata[0]}, a7ddrphy_bitslip0_r2[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value3 <= (a7ddrphy_bitslip0_value3 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value3 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value3 <= 3'd7; end - main_a7ddrphy_bitslip0_r3 <= {main_a7ddrphy_bitslip03, main_a7ddrphy_bitslip0_r3[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value2 <= (main_a7ddrphy_bitslip1_value2 + 1'd1); + a7ddrphy_bitslip0_r3 <= {a7ddrphy_bitslip03, a7ddrphy_bitslip0_r3[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value2 <= (a7ddrphy_bitslip1_value2 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value2 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value2 <= 3'd7; end - main_a7ddrphy_bitslip1_r2 <= {{main_a7ddrphy_dfi_p3_wrdata[17], main_a7ddrphy_dfi_p3_wrdata[1], main_a7ddrphy_dfi_p2_wrdata[17], main_a7ddrphy_dfi_p2_wrdata[1], main_a7ddrphy_dfi_p1_wrdata[17], main_a7ddrphy_dfi_p1_wrdata[1], main_a7ddrphy_dfi_p0_wrdata[17], main_a7ddrphy_dfi_p0_wrdata[1]}, main_a7ddrphy_bitslip1_r2[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value3 <= (main_a7ddrphy_bitslip1_value3 + 1'd1); + a7ddrphy_bitslip1_r2 <= {{a7ddrphy_dfi_p3_wrdata[17], a7ddrphy_dfi_p3_wrdata[1], a7ddrphy_dfi_p2_wrdata[17], a7ddrphy_dfi_p2_wrdata[1], a7ddrphy_dfi_p1_wrdata[17], a7ddrphy_dfi_p1_wrdata[1], a7ddrphy_dfi_p0_wrdata[17], a7ddrphy_dfi_p0_wrdata[1]}, a7ddrphy_bitslip1_r2[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value3 <= (a7ddrphy_bitslip1_value3 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value3 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value3 <= 3'd7; end - main_a7ddrphy_bitslip1_r3 <= {main_a7ddrphy_bitslip13, main_a7ddrphy_bitslip1_r3[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip2_value0 <= (main_a7ddrphy_bitslip2_value0 + 1'd1); + a7ddrphy_bitslip1_r3 <= {a7ddrphy_bitslip13, a7ddrphy_bitslip1_r3[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip2_value0 <= (a7ddrphy_bitslip2_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip2_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip2_value0 <= 3'd7; end - main_a7ddrphy_bitslip2_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[18], main_a7ddrphy_dfi_p3_wrdata[2], main_a7ddrphy_dfi_p2_wrdata[18], main_a7ddrphy_dfi_p2_wrdata[2], main_a7ddrphy_dfi_p1_wrdata[18], main_a7ddrphy_dfi_p1_wrdata[2], main_a7ddrphy_dfi_p0_wrdata[18], main_a7ddrphy_dfi_p0_wrdata[2]}, main_a7ddrphy_bitslip2_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip2_value1 <= (main_a7ddrphy_bitslip2_value1 + 1'd1); + a7ddrphy_bitslip2_r0 <= {{a7ddrphy_dfi_p3_wrdata[18], a7ddrphy_dfi_p3_wrdata[2], a7ddrphy_dfi_p2_wrdata[18], a7ddrphy_dfi_p2_wrdata[2], a7ddrphy_dfi_p1_wrdata[18], a7ddrphy_dfi_p1_wrdata[2], a7ddrphy_dfi_p0_wrdata[18], a7ddrphy_dfi_p0_wrdata[2]}, a7ddrphy_bitslip2_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip2_value1 <= (a7ddrphy_bitslip2_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip2_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip2_value1 <= 3'd7; end - main_a7ddrphy_bitslip2_r1 <= {main_a7ddrphy_bitslip21, main_a7ddrphy_bitslip2_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip3_value0 <= (main_a7ddrphy_bitslip3_value0 + 1'd1); + a7ddrphy_bitslip2_r1 <= {a7ddrphy_bitslip21, a7ddrphy_bitslip2_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip3_value0 <= (a7ddrphy_bitslip3_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip3_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip3_value0 <= 3'd7; end - main_a7ddrphy_bitslip3_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[19], main_a7ddrphy_dfi_p3_wrdata[3], main_a7ddrphy_dfi_p2_wrdata[19], main_a7ddrphy_dfi_p2_wrdata[3], main_a7ddrphy_dfi_p1_wrdata[19], main_a7ddrphy_dfi_p1_wrdata[3], main_a7ddrphy_dfi_p0_wrdata[19], main_a7ddrphy_dfi_p0_wrdata[3]}, main_a7ddrphy_bitslip3_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip3_value1 <= (main_a7ddrphy_bitslip3_value1 + 1'd1); + a7ddrphy_bitslip3_r0 <= {{a7ddrphy_dfi_p3_wrdata[19], a7ddrphy_dfi_p3_wrdata[3], a7ddrphy_dfi_p2_wrdata[19], a7ddrphy_dfi_p2_wrdata[3], a7ddrphy_dfi_p1_wrdata[19], a7ddrphy_dfi_p1_wrdata[3], a7ddrphy_dfi_p0_wrdata[19], a7ddrphy_dfi_p0_wrdata[3]}, a7ddrphy_bitslip3_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip3_value1 <= (a7ddrphy_bitslip3_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip3_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip3_value1 <= 3'd7; end - main_a7ddrphy_bitslip3_r1 <= {main_a7ddrphy_bitslip31, main_a7ddrphy_bitslip3_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip4_value0 <= (main_a7ddrphy_bitslip4_value0 + 1'd1); + a7ddrphy_bitslip3_r1 <= {a7ddrphy_bitslip31, a7ddrphy_bitslip3_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip4_value0 <= (a7ddrphy_bitslip4_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip4_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip4_value0 <= 3'd7; end - main_a7ddrphy_bitslip4_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[20], main_a7ddrphy_dfi_p3_wrdata[4], main_a7ddrphy_dfi_p2_wrdata[20], main_a7ddrphy_dfi_p2_wrdata[4], main_a7ddrphy_dfi_p1_wrdata[20], main_a7ddrphy_dfi_p1_wrdata[4], main_a7ddrphy_dfi_p0_wrdata[20], main_a7ddrphy_dfi_p0_wrdata[4]}, main_a7ddrphy_bitslip4_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip4_value1 <= (main_a7ddrphy_bitslip4_value1 + 1'd1); + a7ddrphy_bitslip4_r0 <= {{a7ddrphy_dfi_p3_wrdata[20], a7ddrphy_dfi_p3_wrdata[4], a7ddrphy_dfi_p2_wrdata[20], a7ddrphy_dfi_p2_wrdata[4], a7ddrphy_dfi_p1_wrdata[20], a7ddrphy_dfi_p1_wrdata[4], a7ddrphy_dfi_p0_wrdata[20], a7ddrphy_dfi_p0_wrdata[4]}, a7ddrphy_bitslip4_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip4_value1 <= (a7ddrphy_bitslip4_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip4_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip4_value1 <= 3'd7; end - main_a7ddrphy_bitslip4_r1 <= {main_a7ddrphy_bitslip41, main_a7ddrphy_bitslip4_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip5_value0 <= (main_a7ddrphy_bitslip5_value0 + 1'd1); + a7ddrphy_bitslip4_r1 <= {a7ddrphy_bitslip41, a7ddrphy_bitslip4_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip5_value0 <= (a7ddrphy_bitslip5_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip5_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip5_value0 <= 3'd7; end - main_a7ddrphy_bitslip5_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[21], main_a7ddrphy_dfi_p3_wrdata[5], main_a7ddrphy_dfi_p2_wrdata[21], main_a7ddrphy_dfi_p2_wrdata[5], main_a7ddrphy_dfi_p1_wrdata[21], main_a7ddrphy_dfi_p1_wrdata[5], main_a7ddrphy_dfi_p0_wrdata[21], main_a7ddrphy_dfi_p0_wrdata[5]}, main_a7ddrphy_bitslip5_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip5_value1 <= (main_a7ddrphy_bitslip5_value1 + 1'd1); + a7ddrphy_bitslip5_r0 <= {{a7ddrphy_dfi_p3_wrdata[21], a7ddrphy_dfi_p3_wrdata[5], a7ddrphy_dfi_p2_wrdata[21], a7ddrphy_dfi_p2_wrdata[5], a7ddrphy_dfi_p1_wrdata[21], a7ddrphy_dfi_p1_wrdata[5], a7ddrphy_dfi_p0_wrdata[21], a7ddrphy_dfi_p0_wrdata[5]}, a7ddrphy_bitslip5_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip5_value1 <= (a7ddrphy_bitslip5_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip5_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip5_value1 <= 3'd7; end - main_a7ddrphy_bitslip5_r1 <= {main_a7ddrphy_bitslip51, main_a7ddrphy_bitslip5_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip6_value0 <= (main_a7ddrphy_bitslip6_value0 + 1'd1); + a7ddrphy_bitslip5_r1 <= {a7ddrphy_bitslip51, a7ddrphy_bitslip5_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip6_value0 <= (a7ddrphy_bitslip6_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip6_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip6_value0 <= 3'd7; end - main_a7ddrphy_bitslip6_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[22], main_a7ddrphy_dfi_p3_wrdata[6], main_a7ddrphy_dfi_p2_wrdata[22], main_a7ddrphy_dfi_p2_wrdata[6], main_a7ddrphy_dfi_p1_wrdata[22], main_a7ddrphy_dfi_p1_wrdata[6], main_a7ddrphy_dfi_p0_wrdata[22], main_a7ddrphy_dfi_p0_wrdata[6]}, main_a7ddrphy_bitslip6_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip6_value1 <= (main_a7ddrphy_bitslip6_value1 + 1'd1); + a7ddrphy_bitslip6_r0 <= {{a7ddrphy_dfi_p3_wrdata[22], a7ddrphy_dfi_p3_wrdata[6], a7ddrphy_dfi_p2_wrdata[22], a7ddrphy_dfi_p2_wrdata[6], a7ddrphy_dfi_p1_wrdata[22], a7ddrphy_dfi_p1_wrdata[6], a7ddrphy_dfi_p0_wrdata[22], a7ddrphy_dfi_p0_wrdata[6]}, a7ddrphy_bitslip6_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip6_value1 <= (a7ddrphy_bitslip6_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip6_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip6_value1 <= 3'd7; end - main_a7ddrphy_bitslip6_r1 <= {main_a7ddrphy_bitslip61, main_a7ddrphy_bitslip6_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip7_value0 <= (main_a7ddrphy_bitslip7_value0 + 1'd1); + a7ddrphy_bitslip6_r1 <= {a7ddrphy_bitslip61, a7ddrphy_bitslip6_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip7_value0 <= (a7ddrphy_bitslip7_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip7_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip7_value0 <= 3'd7; end - main_a7ddrphy_bitslip7_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[23], main_a7ddrphy_dfi_p3_wrdata[7], main_a7ddrphy_dfi_p2_wrdata[23], main_a7ddrphy_dfi_p2_wrdata[7], main_a7ddrphy_dfi_p1_wrdata[23], main_a7ddrphy_dfi_p1_wrdata[7], main_a7ddrphy_dfi_p0_wrdata[23], main_a7ddrphy_dfi_p0_wrdata[7]}, main_a7ddrphy_bitslip7_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip7_value1 <= (main_a7ddrphy_bitslip7_value1 + 1'd1); + a7ddrphy_bitslip7_r0 <= {{a7ddrphy_dfi_p3_wrdata[23], a7ddrphy_dfi_p3_wrdata[7], a7ddrphy_dfi_p2_wrdata[23], a7ddrphy_dfi_p2_wrdata[7], a7ddrphy_dfi_p1_wrdata[23], a7ddrphy_dfi_p1_wrdata[7], a7ddrphy_dfi_p0_wrdata[23], a7ddrphy_dfi_p0_wrdata[7]}, a7ddrphy_bitslip7_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip7_value1 <= (a7ddrphy_bitslip7_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip7_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip7_value1 <= 3'd7; end - main_a7ddrphy_bitslip7_r1 <= {main_a7ddrphy_bitslip71, main_a7ddrphy_bitslip7_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip8_value0 <= (main_a7ddrphy_bitslip8_value0 + 1'd1); + a7ddrphy_bitslip7_r1 <= {a7ddrphy_bitslip71, a7ddrphy_bitslip7_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip8_value0 <= (a7ddrphy_bitslip8_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip8_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip8_value0 <= 3'd7; end - main_a7ddrphy_bitslip8_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[24], main_a7ddrphy_dfi_p3_wrdata[8], main_a7ddrphy_dfi_p2_wrdata[24], main_a7ddrphy_dfi_p2_wrdata[8], main_a7ddrphy_dfi_p1_wrdata[24], main_a7ddrphy_dfi_p1_wrdata[8], main_a7ddrphy_dfi_p0_wrdata[24], main_a7ddrphy_dfi_p0_wrdata[8]}, main_a7ddrphy_bitslip8_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip8_value1 <= (main_a7ddrphy_bitslip8_value1 + 1'd1); + a7ddrphy_bitslip8_r0 <= {{a7ddrphy_dfi_p3_wrdata[24], a7ddrphy_dfi_p3_wrdata[8], a7ddrphy_dfi_p2_wrdata[24], a7ddrphy_dfi_p2_wrdata[8], a7ddrphy_dfi_p1_wrdata[24], a7ddrphy_dfi_p1_wrdata[8], a7ddrphy_dfi_p0_wrdata[24], a7ddrphy_dfi_p0_wrdata[8]}, a7ddrphy_bitslip8_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip8_value1 <= (a7ddrphy_bitslip8_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip8_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip8_value1 <= 3'd7; end - main_a7ddrphy_bitslip8_r1 <= {main_a7ddrphy_bitslip81, main_a7ddrphy_bitslip8_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip9_value0 <= (main_a7ddrphy_bitslip9_value0 + 1'd1); + a7ddrphy_bitslip8_r1 <= {a7ddrphy_bitslip81, a7ddrphy_bitslip8_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip9_value0 <= (a7ddrphy_bitslip9_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip9_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip9_value0 <= 3'd7; end - main_a7ddrphy_bitslip9_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[25], main_a7ddrphy_dfi_p3_wrdata[9], main_a7ddrphy_dfi_p2_wrdata[25], main_a7ddrphy_dfi_p2_wrdata[9], main_a7ddrphy_dfi_p1_wrdata[25], main_a7ddrphy_dfi_p1_wrdata[9], main_a7ddrphy_dfi_p0_wrdata[25], main_a7ddrphy_dfi_p0_wrdata[9]}, main_a7ddrphy_bitslip9_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip9_value1 <= (main_a7ddrphy_bitslip9_value1 + 1'd1); + a7ddrphy_bitslip9_r0 <= {{a7ddrphy_dfi_p3_wrdata[25], a7ddrphy_dfi_p3_wrdata[9], a7ddrphy_dfi_p2_wrdata[25], a7ddrphy_dfi_p2_wrdata[9], a7ddrphy_dfi_p1_wrdata[25], a7ddrphy_dfi_p1_wrdata[9], a7ddrphy_dfi_p0_wrdata[25], a7ddrphy_dfi_p0_wrdata[9]}, a7ddrphy_bitslip9_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip9_value1 <= (a7ddrphy_bitslip9_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip9_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip9_value1 <= 3'd7; end - main_a7ddrphy_bitslip9_r1 <= {main_a7ddrphy_bitslip91, main_a7ddrphy_bitslip9_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip10_value0 <= (main_a7ddrphy_bitslip10_value0 + 1'd1); + a7ddrphy_bitslip9_r1 <= {a7ddrphy_bitslip91, a7ddrphy_bitslip9_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip10_value0 <= (a7ddrphy_bitslip10_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip10_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip10_value0 <= 3'd7; end - main_a7ddrphy_bitslip10_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[26], main_a7ddrphy_dfi_p3_wrdata[10], main_a7ddrphy_dfi_p2_wrdata[26], main_a7ddrphy_dfi_p2_wrdata[10], main_a7ddrphy_dfi_p1_wrdata[26], main_a7ddrphy_dfi_p1_wrdata[10], main_a7ddrphy_dfi_p0_wrdata[26], main_a7ddrphy_dfi_p0_wrdata[10]}, main_a7ddrphy_bitslip10_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip10_value1 <= (main_a7ddrphy_bitslip10_value1 + 1'd1); + a7ddrphy_bitslip10_r0 <= {{a7ddrphy_dfi_p3_wrdata[26], a7ddrphy_dfi_p3_wrdata[10], a7ddrphy_dfi_p2_wrdata[26], a7ddrphy_dfi_p2_wrdata[10], a7ddrphy_dfi_p1_wrdata[26], a7ddrphy_dfi_p1_wrdata[10], a7ddrphy_dfi_p0_wrdata[26], a7ddrphy_dfi_p0_wrdata[10]}, a7ddrphy_bitslip10_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip10_value1 <= (a7ddrphy_bitslip10_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip10_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip10_value1 <= 3'd7; end - main_a7ddrphy_bitslip10_r1 <= {main_a7ddrphy_bitslip101, main_a7ddrphy_bitslip10_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip11_value0 <= (main_a7ddrphy_bitslip11_value0 + 1'd1); + a7ddrphy_bitslip10_r1 <= {a7ddrphy_bitslip101, a7ddrphy_bitslip10_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip11_value0 <= (a7ddrphy_bitslip11_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip11_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip11_value0 <= 3'd7; end - main_a7ddrphy_bitslip11_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[27], main_a7ddrphy_dfi_p3_wrdata[11], main_a7ddrphy_dfi_p2_wrdata[27], main_a7ddrphy_dfi_p2_wrdata[11], main_a7ddrphy_dfi_p1_wrdata[27], main_a7ddrphy_dfi_p1_wrdata[11], main_a7ddrphy_dfi_p0_wrdata[27], main_a7ddrphy_dfi_p0_wrdata[11]}, main_a7ddrphy_bitslip11_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip11_value1 <= (main_a7ddrphy_bitslip11_value1 + 1'd1); + a7ddrphy_bitslip11_r0 <= {{a7ddrphy_dfi_p3_wrdata[27], a7ddrphy_dfi_p3_wrdata[11], a7ddrphy_dfi_p2_wrdata[27], a7ddrphy_dfi_p2_wrdata[11], a7ddrphy_dfi_p1_wrdata[27], a7ddrphy_dfi_p1_wrdata[11], a7ddrphy_dfi_p0_wrdata[27], a7ddrphy_dfi_p0_wrdata[11]}, a7ddrphy_bitslip11_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip11_value1 <= (a7ddrphy_bitslip11_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip11_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip11_value1 <= 3'd7; end - main_a7ddrphy_bitslip11_r1 <= {main_a7ddrphy_bitslip111, main_a7ddrphy_bitslip11_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip12_value0 <= (main_a7ddrphy_bitslip12_value0 + 1'd1); + a7ddrphy_bitslip11_r1 <= {a7ddrphy_bitslip111, a7ddrphy_bitslip11_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip12_value0 <= (a7ddrphy_bitslip12_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip12_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip12_value0 <= 3'd7; end - main_a7ddrphy_bitslip12_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[28], main_a7ddrphy_dfi_p3_wrdata[12], main_a7ddrphy_dfi_p2_wrdata[28], main_a7ddrphy_dfi_p2_wrdata[12], main_a7ddrphy_dfi_p1_wrdata[28], main_a7ddrphy_dfi_p1_wrdata[12], main_a7ddrphy_dfi_p0_wrdata[28], main_a7ddrphy_dfi_p0_wrdata[12]}, main_a7ddrphy_bitslip12_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip12_value1 <= (main_a7ddrphy_bitslip12_value1 + 1'd1); + a7ddrphy_bitslip12_r0 <= {{a7ddrphy_dfi_p3_wrdata[28], a7ddrphy_dfi_p3_wrdata[12], a7ddrphy_dfi_p2_wrdata[28], a7ddrphy_dfi_p2_wrdata[12], a7ddrphy_dfi_p1_wrdata[28], a7ddrphy_dfi_p1_wrdata[12], a7ddrphy_dfi_p0_wrdata[28], a7ddrphy_dfi_p0_wrdata[12]}, a7ddrphy_bitslip12_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip12_value1 <= (a7ddrphy_bitslip12_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip12_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip12_value1 <= 3'd7; end - main_a7ddrphy_bitslip12_r1 <= {main_a7ddrphy_bitslip121, main_a7ddrphy_bitslip12_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip13_value0 <= (main_a7ddrphy_bitslip13_value0 + 1'd1); + a7ddrphy_bitslip12_r1 <= {a7ddrphy_bitslip121, a7ddrphy_bitslip12_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip13_value0 <= (a7ddrphy_bitslip13_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip13_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip13_value0 <= 3'd7; end - main_a7ddrphy_bitslip13_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[29], main_a7ddrphy_dfi_p3_wrdata[13], main_a7ddrphy_dfi_p2_wrdata[29], main_a7ddrphy_dfi_p2_wrdata[13], main_a7ddrphy_dfi_p1_wrdata[29], main_a7ddrphy_dfi_p1_wrdata[13], main_a7ddrphy_dfi_p0_wrdata[29], main_a7ddrphy_dfi_p0_wrdata[13]}, main_a7ddrphy_bitslip13_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip13_value1 <= (main_a7ddrphy_bitslip13_value1 + 1'd1); + a7ddrphy_bitslip13_r0 <= {{a7ddrphy_dfi_p3_wrdata[29], a7ddrphy_dfi_p3_wrdata[13], a7ddrphy_dfi_p2_wrdata[29], a7ddrphy_dfi_p2_wrdata[13], a7ddrphy_dfi_p1_wrdata[29], a7ddrphy_dfi_p1_wrdata[13], a7ddrphy_dfi_p0_wrdata[29], a7ddrphy_dfi_p0_wrdata[13]}, a7ddrphy_bitslip13_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip13_value1 <= (a7ddrphy_bitslip13_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip13_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip13_value1 <= 3'd7; end - main_a7ddrphy_bitslip13_r1 <= {main_a7ddrphy_bitslip131, main_a7ddrphy_bitslip13_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip14_value0 <= (main_a7ddrphy_bitslip14_value0 + 1'd1); + a7ddrphy_bitslip13_r1 <= {a7ddrphy_bitslip131, a7ddrphy_bitslip13_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip14_value0 <= (a7ddrphy_bitslip14_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip14_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip14_value0 <= 3'd7; end - main_a7ddrphy_bitslip14_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[30], main_a7ddrphy_dfi_p3_wrdata[14], main_a7ddrphy_dfi_p2_wrdata[30], main_a7ddrphy_dfi_p2_wrdata[14], main_a7ddrphy_dfi_p1_wrdata[30], main_a7ddrphy_dfi_p1_wrdata[14], main_a7ddrphy_dfi_p0_wrdata[30], main_a7ddrphy_dfi_p0_wrdata[14]}, main_a7ddrphy_bitslip14_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip14_value1 <= (main_a7ddrphy_bitslip14_value1 + 1'd1); + a7ddrphy_bitslip14_r0 <= {{a7ddrphy_dfi_p3_wrdata[30], a7ddrphy_dfi_p3_wrdata[14], a7ddrphy_dfi_p2_wrdata[30], a7ddrphy_dfi_p2_wrdata[14], a7ddrphy_dfi_p1_wrdata[30], a7ddrphy_dfi_p1_wrdata[14], a7ddrphy_dfi_p0_wrdata[30], a7ddrphy_dfi_p0_wrdata[14]}, a7ddrphy_bitslip14_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip14_value1 <= (a7ddrphy_bitslip14_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip14_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip14_value1 <= 3'd7; end - main_a7ddrphy_bitslip14_r1 <= {main_a7ddrphy_bitslip141, main_a7ddrphy_bitslip14_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip15_value0 <= (main_a7ddrphy_bitslip15_value0 + 1'd1); + a7ddrphy_bitslip14_r1 <= {a7ddrphy_bitslip141, a7ddrphy_bitslip14_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip15_value0 <= (a7ddrphy_bitslip15_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip15_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip15_value0 <= 3'd7; end - main_a7ddrphy_bitslip15_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[31], main_a7ddrphy_dfi_p3_wrdata[15], main_a7ddrphy_dfi_p2_wrdata[31], main_a7ddrphy_dfi_p2_wrdata[15], main_a7ddrphy_dfi_p1_wrdata[31], main_a7ddrphy_dfi_p1_wrdata[15], main_a7ddrphy_dfi_p0_wrdata[31], main_a7ddrphy_dfi_p0_wrdata[15]}, main_a7ddrphy_bitslip15_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip15_value1 <= (main_a7ddrphy_bitslip15_value1 + 1'd1); + a7ddrphy_bitslip15_r0 <= {{a7ddrphy_dfi_p3_wrdata[31], a7ddrphy_dfi_p3_wrdata[15], a7ddrphy_dfi_p2_wrdata[31], a7ddrphy_dfi_p2_wrdata[15], a7ddrphy_dfi_p1_wrdata[31], a7ddrphy_dfi_p1_wrdata[15], a7ddrphy_dfi_p0_wrdata[31], a7ddrphy_dfi_p0_wrdata[15]}, a7ddrphy_bitslip15_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip15_value1 <= (a7ddrphy_bitslip15_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip15_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip15_value1 <= 3'd7; end - main_a7ddrphy_bitslip15_r1 <= {main_a7ddrphy_bitslip151, main_a7ddrphy_bitslip15_r1[15:8]}; - main_a7ddrphy_rddata_en_tappeddelayline0 <= (((main_a7ddrphy_dfi_p0_rddata_en | main_a7ddrphy_dfi_p1_rddata_en) | main_a7ddrphy_dfi_p2_rddata_en) | main_a7ddrphy_dfi_p3_rddata_en); - main_a7ddrphy_rddata_en_tappeddelayline1 <= main_a7ddrphy_rddata_en_tappeddelayline0; - main_a7ddrphy_rddata_en_tappeddelayline2 <= main_a7ddrphy_rddata_en_tappeddelayline1; - main_a7ddrphy_rddata_en_tappeddelayline3 <= main_a7ddrphy_rddata_en_tappeddelayline2; - main_a7ddrphy_rddata_en_tappeddelayline4 <= main_a7ddrphy_rddata_en_tappeddelayline3; - main_a7ddrphy_rddata_en_tappeddelayline5 <= main_a7ddrphy_rddata_en_tappeddelayline4; - main_a7ddrphy_rddata_en_tappeddelayline6 <= main_a7ddrphy_rddata_en_tappeddelayline5; - main_a7ddrphy_rddata_en_tappeddelayline7 <= main_a7ddrphy_rddata_en_tappeddelayline6; - main_a7ddrphy_wrdata_en_tappeddelayline0 <= (((main_a7ddrphy_dfi_p0_wrdata_en | main_a7ddrphy_dfi_p1_wrdata_en) | main_a7ddrphy_dfi_p2_wrdata_en) | main_a7ddrphy_dfi_p3_wrdata_en); - main_a7ddrphy_wrdata_en_tappeddelayline1 <= main_a7ddrphy_wrdata_en_tappeddelayline0; - main_a7ddrphy_wrdata_en_tappeddelayline2 <= main_a7ddrphy_wrdata_en_tappeddelayline1; - if (main_litedramcore_inti_p0_rddata_valid) begin - main_litedramcore_phaseinjector0_rddata_status <= main_litedramcore_inti_p0_rddata; + a7ddrphy_bitslip15_r1 <= {a7ddrphy_bitslip151, a7ddrphy_bitslip15_r1[15:8]}; + a7ddrphy_rddata_en_tappeddelayline0 <= (((a7ddrphy_dfi_p0_rddata_en | a7ddrphy_dfi_p1_rddata_en) | a7ddrphy_dfi_p2_rddata_en) | a7ddrphy_dfi_p3_rddata_en); + a7ddrphy_rddata_en_tappeddelayline1 <= a7ddrphy_rddata_en_tappeddelayline0; + a7ddrphy_rddata_en_tappeddelayline2 <= a7ddrphy_rddata_en_tappeddelayline1; + a7ddrphy_rddata_en_tappeddelayline3 <= a7ddrphy_rddata_en_tappeddelayline2; + a7ddrphy_rddata_en_tappeddelayline4 <= a7ddrphy_rddata_en_tappeddelayline3; + a7ddrphy_rddata_en_tappeddelayline5 <= a7ddrphy_rddata_en_tappeddelayline4; + a7ddrphy_rddata_en_tappeddelayline6 <= a7ddrphy_rddata_en_tappeddelayline5; + a7ddrphy_rddata_en_tappeddelayline7 <= a7ddrphy_rddata_en_tappeddelayline6; + a7ddrphy_wrdata_en_tappeddelayline0 <= (((a7ddrphy_dfi_p0_wrdata_en | a7ddrphy_dfi_p1_wrdata_en) | a7ddrphy_dfi_p2_wrdata_en) | a7ddrphy_dfi_p3_wrdata_en); + a7ddrphy_wrdata_en_tappeddelayline1 <= a7ddrphy_wrdata_en_tappeddelayline0; + a7ddrphy_wrdata_en_tappeddelayline2 <= a7ddrphy_wrdata_en_tappeddelayline1; + if (litedramcore_csr_dfi_p0_rddata_valid) begin + litedramcore_phaseinjector0_rddata_status <= litedramcore_csr_dfi_p0_rddata; end - if (main_litedramcore_inti_p1_rddata_valid) begin - main_litedramcore_phaseinjector1_rddata_status <= main_litedramcore_inti_p1_rddata; + if (litedramcore_csr_dfi_p1_rddata_valid) begin + litedramcore_phaseinjector1_rddata_status <= litedramcore_csr_dfi_p1_rddata; end - if (main_litedramcore_inti_p2_rddata_valid) begin - main_litedramcore_phaseinjector2_rddata_status <= main_litedramcore_inti_p2_rddata; + if (litedramcore_csr_dfi_p2_rddata_valid) begin + litedramcore_phaseinjector2_rddata_status <= litedramcore_csr_dfi_p2_rddata; end - if (main_litedramcore_inti_p3_rddata_valid) begin - main_litedramcore_phaseinjector3_rddata_status <= main_litedramcore_inti_p3_rddata; - end - if ((main_litedramcore_timer_wait & (~main_litedramcore_timer_done0))) begin - main_litedramcore_timer_count1 <= (main_litedramcore_timer_count1 - 1'd1); + if (litedramcore_csr_dfi_p3_rddata_valid) begin + litedramcore_phaseinjector3_rddata_status <= litedramcore_csr_dfi_p3_rddata; + end + if ((litedramcore_timer_wait & (~litedramcore_timer_done0))) begin + litedramcore_timer_count1 <= (litedramcore_timer_count1 - 1'd1); end else begin - main_litedramcore_timer_count1 <= 10'd781; + litedramcore_timer_count1 <= 10'd781; end - main_litedramcore_postponer_req_o <= 1'd0; - if (main_litedramcore_postponer_req_i) begin - main_litedramcore_postponer_count <= (main_litedramcore_postponer_count - 1'd1); - if ((main_litedramcore_postponer_count == 1'd0)) begin - main_litedramcore_postponer_count <= 1'd0; - main_litedramcore_postponer_req_o <= 1'd1; - end + litedramcore_postponer_req_o <= 1'd0; + if (litedramcore_postponer_req_i) begin + litedramcore_postponer_count <= (litedramcore_postponer_count - 1'd1); + if ((litedramcore_postponer_count == 1'd0)) begin + litedramcore_postponer_count <= 1'd0; + litedramcore_postponer_req_o <= 1'd1; + end end - if (main_litedramcore_sequencer_start0) begin - main_litedramcore_sequencer_count <= 1'd0; - end else begin - if (main_litedramcore_sequencer_done1) begin - if ((main_litedramcore_sequencer_count != 1'd0)) begin - main_litedramcore_sequencer_count <= (main_litedramcore_sequencer_count - 1'd1); - end - end - end - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd0; - if ((main_litedramcore_sequencer_start1 & (main_litedramcore_sequencer_counter == 1'd0))) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd1; - end - if ((main_litedramcore_sequencer_counter == 2'd3)) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd1; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd0; - end - if ((main_litedramcore_sequencer_counter == 6'd55)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd1; - end - if ((main_litedramcore_sequencer_counter == 6'd55)) begin - main_litedramcore_sequencer_counter <= 1'd0; - end else begin - if ((main_litedramcore_sequencer_counter != 1'd0)) begin - main_litedramcore_sequencer_counter <= (main_litedramcore_sequencer_counter + 1'd1); + if (litedramcore_sequencer_start0) begin + litedramcore_sequencer_count <= 1'd0; + end else begin + if (litedramcore_sequencer_done1) begin + if ((litedramcore_sequencer_count != 1'd0)) begin + litedramcore_sequencer_count <= (litedramcore_sequencer_count - 1'd1); + end + end + end + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_sequencer_done1 <= 1'd0; + if ((litedramcore_sequencer_start1 & (litedramcore_sequencer_counter == 1'd0))) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd1; + end + if ((litedramcore_sequencer_counter == 2'd3)) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd1; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd0; + end + if ((litedramcore_sequencer_counter == 6'd55)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_sequencer_done1 <= 1'd1; + end + if ((litedramcore_sequencer_counter == 6'd55)) begin + litedramcore_sequencer_counter <= 1'd0; + end else begin + if ((litedramcore_sequencer_counter != 1'd0)) begin + litedramcore_sequencer_counter <= (litedramcore_sequencer_counter + 1'd1); end else begin - if (main_litedramcore_sequencer_start1) begin - main_litedramcore_sequencer_counter <= 1'd1; + if (litedramcore_sequencer_start1) begin + litedramcore_sequencer_counter <= 1'd1; end end end - if ((main_litedramcore_zqcs_timer_wait & (~main_litedramcore_zqcs_timer_done0))) begin - main_litedramcore_zqcs_timer_count1 <= (main_litedramcore_zqcs_timer_count1 - 1'd1); + if ((litedramcore_zqcs_timer_wait & (~litedramcore_zqcs_timer_done0))) begin + litedramcore_zqcs_timer_count1 <= (litedramcore_zqcs_timer_count1 - 1'd1); end else begin - main_litedramcore_zqcs_timer_count1 <= 27'd99999999; + litedramcore_zqcs_timer_count1 <= 27'd99999999; end - main_litedramcore_zqcs_executer_done <= 1'd0; - if ((main_litedramcore_zqcs_executer_start & (main_litedramcore_zqcs_executer_counter == 1'd0))) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd1; + litedramcore_zqcs_executer_done <= 1'd0; + if ((litedramcore_zqcs_executer_start & (litedramcore_zqcs_executer_counter == 1'd0))) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd1; end - if ((main_litedramcore_zqcs_executer_counter == 2'd3)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd1; + if ((litedramcore_zqcs_executer_counter == 2'd3)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd1; end - if ((main_litedramcore_zqcs_executer_counter == 5'd19)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_zqcs_executer_done <= 1'd1; + if ((litedramcore_zqcs_executer_counter == 5'd19)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_zqcs_executer_done <= 1'd1; end - if ((main_litedramcore_zqcs_executer_counter == 5'd19)) begin - main_litedramcore_zqcs_executer_counter <= 1'd0; + if ((litedramcore_zqcs_executer_counter == 5'd19)) begin + litedramcore_zqcs_executer_counter <= 1'd0; end else begin - if ((main_litedramcore_zqcs_executer_counter != 1'd0)) begin - main_litedramcore_zqcs_executer_counter <= (main_litedramcore_zqcs_executer_counter + 1'd1); + if ((litedramcore_zqcs_executer_counter != 1'd0)) begin + litedramcore_zqcs_executer_counter <= (litedramcore_zqcs_executer_counter + 1'd1); end else begin - if (main_litedramcore_zqcs_executer_start) begin - main_litedramcore_zqcs_executer_counter <= 1'd1; + if (litedramcore_zqcs_executer_start) begin + litedramcore_zqcs_executer_counter <= 1'd1; end end end - builder_refresher_state <= builder_refresher_next_state; - if (main_litedramcore_bankmachine0_row_close) begin - main_litedramcore_bankmachine0_row_opened <= 1'd0; + litedramcore_refresher_state <= litedramcore_refresher_next_state; + if (litedramcore_bankmachine0_row_close) begin + litedramcore_bankmachine0_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine0_row_open) begin - main_litedramcore_bankmachine0_row_opened <= 1'd1; - main_litedramcore_bankmachine0_row <= main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine0_row_open) begin + litedramcore_bankmachine0_row_opened <= 1'd1; + litedramcore_bankmachine0_row <= litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine0_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine0_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine0_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (litedramcore_bankmachine0_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (litedramcore_bankmachine0_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine0_cmd_buffer_source_valid) | main_litedramcore_bankmachine0_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine0_cmd_buffer_source_valid <= main_litedramcore_bankmachine0_cmd_buffer_sink_valid; - main_litedramcore_bankmachine0_cmd_buffer_source_first <= main_litedramcore_bankmachine0_cmd_buffer_sink_first; - main_litedramcore_bankmachine0_cmd_buffer_source_last <= main_litedramcore_bankmachine0_cmd_buffer_sink_last; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready)) begin + litedramcore_bankmachine0_cmd_buffer_source_valid <= litedramcore_bankmachine0_cmd_buffer_sink_valid; + litedramcore_bankmachine0_cmd_buffer_source_first <= litedramcore_bankmachine0_cmd_buffer_sink_first; + litedramcore_bankmachine0_cmd_buffer_source_last <= litedramcore_bankmachine0_cmd_buffer_sink_last; + litedramcore_bankmachine0_cmd_buffer_source_payload_we <= litedramcore_bankmachine0_cmd_buffer_sink_payload_we; + litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine0_twtpcon_valid) begin - main_litedramcore_bankmachine0_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine0_twtpcon_valid) begin + litedramcore_bankmachine0_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd1; + litedramcore_bankmachine0_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd0; + litedramcore_bankmachine0_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_twtpcon_ready)) begin - main_litedramcore_bankmachine0_twtpcon_count <= (main_litedramcore_bankmachine0_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine0_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_twtpcon_ready)) begin + litedramcore_bankmachine0_twtpcon_count <= (litedramcore_bankmachine0_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine0_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine0_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine0_trccon_valid) begin - main_litedramcore_bankmachine0_trccon_count <= 3'd5; + if (litedramcore_bankmachine0_trccon_valid) begin + litedramcore_bankmachine0_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd1; + litedramcore_bankmachine0_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd0; + litedramcore_bankmachine0_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_trccon_ready)) begin - main_litedramcore_bankmachine0_trccon_count <= (main_litedramcore_bankmachine0_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine0_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_trccon_ready)) begin + litedramcore_bankmachine0_trccon_count <= (litedramcore_bankmachine0_trccon_count - 1'd1); + if ((litedramcore_bankmachine0_trccon_count == 1'd1)) begin + litedramcore_bankmachine0_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine0_trascon_valid) begin - main_litedramcore_bankmachine0_trascon_count <= 3'd4; + if (litedramcore_bankmachine0_trascon_valid) begin + litedramcore_bankmachine0_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd1; + litedramcore_bankmachine0_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd0; + litedramcore_bankmachine0_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_trascon_count <= (main_litedramcore_bankmachine0_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine0_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_trascon_count <= (litedramcore_bankmachine0_trascon_count - 1'd1); + if ((litedramcore_bankmachine0_trascon_count == 1'd1)) begin + litedramcore_bankmachine0_trascon_ready <= 1'd1; end end end - builder_bankmachine0_state <= builder_bankmachine0_next_state; - if (main_litedramcore_bankmachine1_row_close) begin - main_litedramcore_bankmachine1_row_opened <= 1'd0; + litedramcore_bankmachine0_state <= litedramcore_bankmachine0_next_state; + if (litedramcore_bankmachine1_row_close) begin + litedramcore_bankmachine1_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine1_row_open) begin - main_litedramcore_bankmachine1_row_opened <= 1'd1; - main_litedramcore_bankmachine1_row <= main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine1_row_open) begin + litedramcore_bankmachine1_row_opened <= 1'd1; + litedramcore_bankmachine1_row <= litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine1_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine1_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine1_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (litedramcore_bankmachine1_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (litedramcore_bankmachine1_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine1_cmd_buffer_source_valid) | main_litedramcore_bankmachine1_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine1_cmd_buffer_source_valid <= main_litedramcore_bankmachine1_cmd_buffer_sink_valid; - main_litedramcore_bankmachine1_cmd_buffer_source_first <= main_litedramcore_bankmachine1_cmd_buffer_sink_first; - main_litedramcore_bankmachine1_cmd_buffer_source_last <= main_litedramcore_bankmachine1_cmd_buffer_sink_last; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready)) begin + litedramcore_bankmachine1_cmd_buffer_source_valid <= litedramcore_bankmachine1_cmd_buffer_sink_valid; + litedramcore_bankmachine1_cmd_buffer_source_first <= litedramcore_bankmachine1_cmd_buffer_sink_first; + litedramcore_bankmachine1_cmd_buffer_source_last <= litedramcore_bankmachine1_cmd_buffer_sink_last; + litedramcore_bankmachine1_cmd_buffer_source_payload_we <= litedramcore_bankmachine1_cmd_buffer_sink_payload_we; + litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine1_twtpcon_valid) begin - main_litedramcore_bankmachine1_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine1_twtpcon_valid) begin + litedramcore_bankmachine1_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd1; + litedramcore_bankmachine1_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd0; + litedramcore_bankmachine1_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_twtpcon_ready)) begin - main_litedramcore_bankmachine1_twtpcon_count <= (main_litedramcore_bankmachine1_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine1_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_twtpcon_ready)) begin + litedramcore_bankmachine1_twtpcon_count <= (litedramcore_bankmachine1_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine1_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine1_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine1_trccon_valid) begin - main_litedramcore_bankmachine1_trccon_count <= 3'd5; + if (litedramcore_bankmachine1_trccon_valid) begin + litedramcore_bankmachine1_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd1; + litedramcore_bankmachine1_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd0; + litedramcore_bankmachine1_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_trccon_ready)) begin - main_litedramcore_bankmachine1_trccon_count <= (main_litedramcore_bankmachine1_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine1_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_trccon_ready)) begin + litedramcore_bankmachine1_trccon_count <= (litedramcore_bankmachine1_trccon_count - 1'd1); + if ((litedramcore_bankmachine1_trccon_count == 1'd1)) begin + litedramcore_bankmachine1_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine1_trascon_valid) begin - main_litedramcore_bankmachine1_trascon_count <= 3'd4; + if (litedramcore_bankmachine1_trascon_valid) begin + litedramcore_bankmachine1_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd1; + litedramcore_bankmachine1_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd0; + litedramcore_bankmachine1_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_trascon_count <= (main_litedramcore_bankmachine1_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine1_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_trascon_count <= (litedramcore_bankmachine1_trascon_count - 1'd1); + if ((litedramcore_bankmachine1_trascon_count == 1'd1)) begin + litedramcore_bankmachine1_trascon_ready <= 1'd1; end end end - builder_bankmachine1_state <= builder_bankmachine1_next_state; - if (main_litedramcore_bankmachine2_row_close) begin - main_litedramcore_bankmachine2_row_opened <= 1'd0; + litedramcore_bankmachine1_state <= litedramcore_bankmachine1_next_state; + if (litedramcore_bankmachine2_row_close) begin + litedramcore_bankmachine2_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine2_row_open) begin - main_litedramcore_bankmachine2_row_opened <= 1'd1; - main_litedramcore_bankmachine2_row <= main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine2_row_open) begin + litedramcore_bankmachine2_row_opened <= 1'd1; + litedramcore_bankmachine2_row <= litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine2_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine2_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine2_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (litedramcore_bankmachine2_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (litedramcore_bankmachine2_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine2_cmd_buffer_source_valid) | main_litedramcore_bankmachine2_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine2_cmd_buffer_source_valid <= main_litedramcore_bankmachine2_cmd_buffer_sink_valid; - main_litedramcore_bankmachine2_cmd_buffer_source_first <= main_litedramcore_bankmachine2_cmd_buffer_sink_first; - main_litedramcore_bankmachine2_cmd_buffer_source_last <= main_litedramcore_bankmachine2_cmd_buffer_sink_last; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready)) begin + litedramcore_bankmachine2_cmd_buffer_source_valid <= litedramcore_bankmachine2_cmd_buffer_sink_valid; + litedramcore_bankmachine2_cmd_buffer_source_first <= litedramcore_bankmachine2_cmd_buffer_sink_first; + litedramcore_bankmachine2_cmd_buffer_source_last <= litedramcore_bankmachine2_cmd_buffer_sink_last; + litedramcore_bankmachine2_cmd_buffer_source_payload_we <= litedramcore_bankmachine2_cmd_buffer_sink_payload_we; + litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine2_twtpcon_valid) begin - main_litedramcore_bankmachine2_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine2_twtpcon_valid) begin + litedramcore_bankmachine2_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd1; + litedramcore_bankmachine2_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd0; + litedramcore_bankmachine2_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_twtpcon_ready)) begin - main_litedramcore_bankmachine2_twtpcon_count <= (main_litedramcore_bankmachine2_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine2_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_twtpcon_ready)) begin + litedramcore_bankmachine2_twtpcon_count <= (litedramcore_bankmachine2_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine2_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine2_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine2_trccon_valid) begin - main_litedramcore_bankmachine2_trccon_count <= 3'd5; + if (litedramcore_bankmachine2_trccon_valid) begin + litedramcore_bankmachine2_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd1; + litedramcore_bankmachine2_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd0; + litedramcore_bankmachine2_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_trccon_ready)) begin - main_litedramcore_bankmachine2_trccon_count <= (main_litedramcore_bankmachine2_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine2_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_trccon_ready)) begin + litedramcore_bankmachine2_trccon_count <= (litedramcore_bankmachine2_trccon_count - 1'd1); + if ((litedramcore_bankmachine2_trccon_count == 1'd1)) begin + litedramcore_bankmachine2_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine2_trascon_valid) begin - main_litedramcore_bankmachine2_trascon_count <= 3'd4; + if (litedramcore_bankmachine2_trascon_valid) begin + litedramcore_bankmachine2_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd1; + litedramcore_bankmachine2_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd0; + litedramcore_bankmachine2_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_trascon_count <= (main_litedramcore_bankmachine2_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine2_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_trascon_count <= (litedramcore_bankmachine2_trascon_count - 1'd1); + if ((litedramcore_bankmachine2_trascon_count == 1'd1)) begin + litedramcore_bankmachine2_trascon_ready <= 1'd1; end end end - builder_bankmachine2_state <= builder_bankmachine2_next_state; - if (main_litedramcore_bankmachine3_row_close) begin - main_litedramcore_bankmachine3_row_opened <= 1'd0; + litedramcore_bankmachine2_state <= litedramcore_bankmachine2_next_state; + if (litedramcore_bankmachine3_row_close) begin + litedramcore_bankmachine3_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine3_row_open) begin - main_litedramcore_bankmachine3_row_opened <= 1'd1; - main_litedramcore_bankmachine3_row <= main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine3_row_open) begin + litedramcore_bankmachine3_row_opened <= 1'd1; + litedramcore_bankmachine3_row <= litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine3_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine3_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine3_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (litedramcore_bankmachine3_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (litedramcore_bankmachine3_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine3_cmd_buffer_source_valid) | main_litedramcore_bankmachine3_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine3_cmd_buffer_source_valid <= main_litedramcore_bankmachine3_cmd_buffer_sink_valid; - main_litedramcore_bankmachine3_cmd_buffer_source_first <= main_litedramcore_bankmachine3_cmd_buffer_sink_first; - main_litedramcore_bankmachine3_cmd_buffer_source_last <= main_litedramcore_bankmachine3_cmd_buffer_sink_last; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready)) begin + litedramcore_bankmachine3_cmd_buffer_source_valid <= litedramcore_bankmachine3_cmd_buffer_sink_valid; + litedramcore_bankmachine3_cmd_buffer_source_first <= litedramcore_bankmachine3_cmd_buffer_sink_first; + litedramcore_bankmachine3_cmd_buffer_source_last <= litedramcore_bankmachine3_cmd_buffer_sink_last; + litedramcore_bankmachine3_cmd_buffer_source_payload_we <= litedramcore_bankmachine3_cmd_buffer_sink_payload_we; + litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine3_twtpcon_valid) begin - main_litedramcore_bankmachine3_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine3_twtpcon_valid) begin + litedramcore_bankmachine3_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd1; + litedramcore_bankmachine3_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd0; + litedramcore_bankmachine3_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_twtpcon_ready)) begin - main_litedramcore_bankmachine3_twtpcon_count <= (main_litedramcore_bankmachine3_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine3_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_twtpcon_ready)) begin + litedramcore_bankmachine3_twtpcon_count <= (litedramcore_bankmachine3_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine3_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine3_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine3_trccon_valid) begin - main_litedramcore_bankmachine3_trccon_count <= 3'd5; + if (litedramcore_bankmachine3_trccon_valid) begin + litedramcore_bankmachine3_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd1; + litedramcore_bankmachine3_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd0; + litedramcore_bankmachine3_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_trccon_ready)) begin - main_litedramcore_bankmachine3_trccon_count <= (main_litedramcore_bankmachine3_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine3_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_trccon_ready)) begin + litedramcore_bankmachine3_trccon_count <= (litedramcore_bankmachine3_trccon_count - 1'd1); + if ((litedramcore_bankmachine3_trccon_count == 1'd1)) begin + litedramcore_bankmachine3_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine3_trascon_valid) begin - main_litedramcore_bankmachine3_trascon_count <= 3'd4; + if (litedramcore_bankmachine3_trascon_valid) begin + litedramcore_bankmachine3_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd1; + litedramcore_bankmachine3_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd0; + litedramcore_bankmachine3_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_trascon_count <= (main_litedramcore_bankmachine3_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine3_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_trascon_count <= (litedramcore_bankmachine3_trascon_count - 1'd1); + if ((litedramcore_bankmachine3_trascon_count == 1'd1)) begin + litedramcore_bankmachine3_trascon_ready <= 1'd1; end end end - builder_bankmachine3_state <= builder_bankmachine3_next_state; - if (main_litedramcore_bankmachine4_row_close) begin - main_litedramcore_bankmachine4_row_opened <= 1'd0; + litedramcore_bankmachine3_state <= litedramcore_bankmachine3_next_state; + if (litedramcore_bankmachine4_row_close) begin + litedramcore_bankmachine4_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine4_row_open) begin - main_litedramcore_bankmachine4_row_opened <= 1'd1; - main_litedramcore_bankmachine4_row <= main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine4_row_open) begin + litedramcore_bankmachine4_row_opened <= 1'd1; + litedramcore_bankmachine4_row <= litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine4_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine4_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine4_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (litedramcore_bankmachine4_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (litedramcore_bankmachine4_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine4_cmd_buffer_source_valid) | main_litedramcore_bankmachine4_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine4_cmd_buffer_source_valid <= main_litedramcore_bankmachine4_cmd_buffer_sink_valid; - main_litedramcore_bankmachine4_cmd_buffer_source_first <= main_litedramcore_bankmachine4_cmd_buffer_sink_first; - main_litedramcore_bankmachine4_cmd_buffer_source_last <= main_litedramcore_bankmachine4_cmd_buffer_sink_last; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready)) begin + litedramcore_bankmachine4_cmd_buffer_source_valid <= litedramcore_bankmachine4_cmd_buffer_sink_valid; + litedramcore_bankmachine4_cmd_buffer_source_first <= litedramcore_bankmachine4_cmd_buffer_sink_first; + litedramcore_bankmachine4_cmd_buffer_source_last <= litedramcore_bankmachine4_cmd_buffer_sink_last; + litedramcore_bankmachine4_cmd_buffer_source_payload_we <= litedramcore_bankmachine4_cmd_buffer_sink_payload_we; + litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine4_twtpcon_valid) begin - main_litedramcore_bankmachine4_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine4_twtpcon_valid) begin + litedramcore_bankmachine4_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd1; + litedramcore_bankmachine4_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd0; + litedramcore_bankmachine4_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_twtpcon_ready)) begin - main_litedramcore_bankmachine4_twtpcon_count <= (main_litedramcore_bankmachine4_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine4_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_twtpcon_ready)) begin + litedramcore_bankmachine4_twtpcon_count <= (litedramcore_bankmachine4_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine4_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine4_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine4_trccon_valid) begin - main_litedramcore_bankmachine4_trccon_count <= 3'd5; + if (litedramcore_bankmachine4_trccon_valid) begin + litedramcore_bankmachine4_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd1; + litedramcore_bankmachine4_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd0; + litedramcore_bankmachine4_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_trccon_ready)) begin - main_litedramcore_bankmachine4_trccon_count <= (main_litedramcore_bankmachine4_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine4_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_trccon_ready)) begin + litedramcore_bankmachine4_trccon_count <= (litedramcore_bankmachine4_trccon_count - 1'd1); + if ((litedramcore_bankmachine4_trccon_count == 1'd1)) begin + litedramcore_bankmachine4_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine4_trascon_valid) begin - main_litedramcore_bankmachine4_trascon_count <= 3'd4; + if (litedramcore_bankmachine4_trascon_valid) begin + litedramcore_bankmachine4_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd1; + litedramcore_bankmachine4_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd0; + litedramcore_bankmachine4_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_trascon_count <= (main_litedramcore_bankmachine4_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine4_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_trascon_count <= (litedramcore_bankmachine4_trascon_count - 1'd1); + if ((litedramcore_bankmachine4_trascon_count == 1'd1)) begin + litedramcore_bankmachine4_trascon_ready <= 1'd1; end end end - builder_bankmachine4_state <= builder_bankmachine4_next_state; - if (main_litedramcore_bankmachine5_row_close) begin - main_litedramcore_bankmachine5_row_opened <= 1'd0; + litedramcore_bankmachine4_state <= litedramcore_bankmachine4_next_state; + if (litedramcore_bankmachine5_row_close) begin + litedramcore_bankmachine5_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine5_row_open) begin - main_litedramcore_bankmachine5_row_opened <= 1'd1; - main_litedramcore_bankmachine5_row <= main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine5_row_open) begin + litedramcore_bankmachine5_row_opened <= 1'd1; + litedramcore_bankmachine5_row <= litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine5_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine5_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine5_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (litedramcore_bankmachine5_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (litedramcore_bankmachine5_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine5_cmd_buffer_source_valid) | main_litedramcore_bankmachine5_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine5_cmd_buffer_source_valid <= main_litedramcore_bankmachine5_cmd_buffer_sink_valid; - main_litedramcore_bankmachine5_cmd_buffer_source_first <= main_litedramcore_bankmachine5_cmd_buffer_sink_first; - main_litedramcore_bankmachine5_cmd_buffer_source_last <= main_litedramcore_bankmachine5_cmd_buffer_sink_last; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready)) begin + litedramcore_bankmachine5_cmd_buffer_source_valid <= litedramcore_bankmachine5_cmd_buffer_sink_valid; + litedramcore_bankmachine5_cmd_buffer_source_first <= litedramcore_bankmachine5_cmd_buffer_sink_first; + litedramcore_bankmachine5_cmd_buffer_source_last <= litedramcore_bankmachine5_cmd_buffer_sink_last; + litedramcore_bankmachine5_cmd_buffer_source_payload_we <= litedramcore_bankmachine5_cmd_buffer_sink_payload_we; + litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine5_twtpcon_valid) begin - main_litedramcore_bankmachine5_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine5_twtpcon_valid) begin + litedramcore_bankmachine5_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd1; + litedramcore_bankmachine5_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd0; + litedramcore_bankmachine5_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_twtpcon_ready)) begin - main_litedramcore_bankmachine5_twtpcon_count <= (main_litedramcore_bankmachine5_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine5_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_twtpcon_ready)) begin + litedramcore_bankmachine5_twtpcon_count <= (litedramcore_bankmachine5_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine5_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine5_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine5_trccon_valid) begin - main_litedramcore_bankmachine5_trccon_count <= 3'd5; + if (litedramcore_bankmachine5_trccon_valid) begin + litedramcore_bankmachine5_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd1; + litedramcore_bankmachine5_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd0; + litedramcore_bankmachine5_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_trccon_ready)) begin - main_litedramcore_bankmachine5_trccon_count <= (main_litedramcore_bankmachine5_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine5_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_trccon_ready)) begin + litedramcore_bankmachine5_trccon_count <= (litedramcore_bankmachine5_trccon_count - 1'd1); + if ((litedramcore_bankmachine5_trccon_count == 1'd1)) begin + litedramcore_bankmachine5_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine5_trascon_valid) begin - main_litedramcore_bankmachine5_trascon_count <= 3'd4; + if (litedramcore_bankmachine5_trascon_valid) begin + litedramcore_bankmachine5_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd1; + litedramcore_bankmachine5_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd0; + litedramcore_bankmachine5_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_trascon_count <= (main_litedramcore_bankmachine5_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine5_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_trascon_count <= (litedramcore_bankmachine5_trascon_count - 1'd1); + if ((litedramcore_bankmachine5_trascon_count == 1'd1)) begin + litedramcore_bankmachine5_trascon_ready <= 1'd1; end end end - builder_bankmachine5_state <= builder_bankmachine5_next_state; - if (main_litedramcore_bankmachine6_row_close) begin - main_litedramcore_bankmachine6_row_opened <= 1'd0; + litedramcore_bankmachine5_state <= litedramcore_bankmachine5_next_state; + if (litedramcore_bankmachine6_row_close) begin + litedramcore_bankmachine6_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine6_row_open) begin - main_litedramcore_bankmachine6_row_opened <= 1'd1; - main_litedramcore_bankmachine6_row <= main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine6_row_open) begin + litedramcore_bankmachine6_row_opened <= 1'd1; + litedramcore_bankmachine6_row <= litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine6_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine6_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine6_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (litedramcore_bankmachine6_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (litedramcore_bankmachine6_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine6_cmd_buffer_source_valid) | main_litedramcore_bankmachine6_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine6_cmd_buffer_source_valid <= main_litedramcore_bankmachine6_cmd_buffer_sink_valid; - main_litedramcore_bankmachine6_cmd_buffer_source_first <= main_litedramcore_bankmachine6_cmd_buffer_sink_first; - main_litedramcore_bankmachine6_cmd_buffer_source_last <= main_litedramcore_bankmachine6_cmd_buffer_sink_last; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready)) begin + litedramcore_bankmachine6_cmd_buffer_source_valid <= litedramcore_bankmachine6_cmd_buffer_sink_valid; + litedramcore_bankmachine6_cmd_buffer_source_first <= litedramcore_bankmachine6_cmd_buffer_sink_first; + litedramcore_bankmachine6_cmd_buffer_source_last <= litedramcore_bankmachine6_cmd_buffer_sink_last; + litedramcore_bankmachine6_cmd_buffer_source_payload_we <= litedramcore_bankmachine6_cmd_buffer_sink_payload_we; + litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine6_twtpcon_valid) begin - main_litedramcore_bankmachine6_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine6_twtpcon_valid) begin + litedramcore_bankmachine6_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd1; + litedramcore_bankmachine6_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd0; + litedramcore_bankmachine6_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_twtpcon_ready)) begin - main_litedramcore_bankmachine6_twtpcon_count <= (main_litedramcore_bankmachine6_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine6_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_twtpcon_ready)) begin + litedramcore_bankmachine6_twtpcon_count <= (litedramcore_bankmachine6_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine6_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine6_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine6_trccon_valid) begin - main_litedramcore_bankmachine6_trccon_count <= 3'd5; + if (litedramcore_bankmachine6_trccon_valid) begin + litedramcore_bankmachine6_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd1; + litedramcore_bankmachine6_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd0; + litedramcore_bankmachine6_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_trccon_ready)) begin - main_litedramcore_bankmachine6_trccon_count <= (main_litedramcore_bankmachine6_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine6_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_trccon_ready)) begin + litedramcore_bankmachine6_trccon_count <= (litedramcore_bankmachine6_trccon_count - 1'd1); + if ((litedramcore_bankmachine6_trccon_count == 1'd1)) begin + litedramcore_bankmachine6_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine6_trascon_valid) begin - main_litedramcore_bankmachine6_trascon_count <= 3'd4; + if (litedramcore_bankmachine6_trascon_valid) begin + litedramcore_bankmachine6_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd1; + litedramcore_bankmachine6_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd0; + litedramcore_bankmachine6_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_trascon_count <= (main_litedramcore_bankmachine6_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine6_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_trascon_count <= (litedramcore_bankmachine6_trascon_count - 1'd1); + if ((litedramcore_bankmachine6_trascon_count == 1'd1)) begin + litedramcore_bankmachine6_trascon_ready <= 1'd1; end end end - builder_bankmachine6_state <= builder_bankmachine6_next_state; - if (main_litedramcore_bankmachine7_row_close) begin - main_litedramcore_bankmachine7_row_opened <= 1'd0; + litedramcore_bankmachine6_state <= litedramcore_bankmachine6_next_state; + if (litedramcore_bankmachine7_row_close) begin + litedramcore_bankmachine7_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine7_row_open) begin - main_litedramcore_bankmachine7_row_opened <= 1'd1; - main_litedramcore_bankmachine7_row <= main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7]; + if (litedramcore_bankmachine7_row_open) begin + litedramcore_bankmachine7_row_opened <= 1'd1; + litedramcore_bankmachine7_row <= litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7]; end end - if (((main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine7_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine7_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine7_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (litedramcore_bankmachine7_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (litedramcore_bankmachine7_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine7_cmd_buffer_source_valid) | main_litedramcore_bankmachine7_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine7_cmd_buffer_source_valid <= main_litedramcore_bankmachine7_cmd_buffer_sink_valid; - main_litedramcore_bankmachine7_cmd_buffer_source_first <= main_litedramcore_bankmachine7_cmd_buffer_sink_first; - main_litedramcore_bankmachine7_cmd_buffer_source_last <= main_litedramcore_bankmachine7_cmd_buffer_sink_last; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready)) begin + litedramcore_bankmachine7_cmd_buffer_source_valid <= litedramcore_bankmachine7_cmd_buffer_sink_valid; + litedramcore_bankmachine7_cmd_buffer_source_first <= litedramcore_bankmachine7_cmd_buffer_sink_first; + litedramcore_bankmachine7_cmd_buffer_source_last <= litedramcore_bankmachine7_cmd_buffer_sink_last; + litedramcore_bankmachine7_cmd_buffer_source_payload_we <= litedramcore_bankmachine7_cmd_buffer_sink_payload_we; + litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine7_twtpcon_valid) begin - main_litedramcore_bankmachine7_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine7_twtpcon_valid) begin + litedramcore_bankmachine7_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd1; + litedramcore_bankmachine7_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd0; + litedramcore_bankmachine7_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_twtpcon_ready)) begin - main_litedramcore_bankmachine7_twtpcon_count <= (main_litedramcore_bankmachine7_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine7_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_twtpcon_ready)) begin + litedramcore_bankmachine7_twtpcon_count <= (litedramcore_bankmachine7_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine7_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine7_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine7_trccon_valid) begin - main_litedramcore_bankmachine7_trccon_count <= 3'd5; + if (litedramcore_bankmachine7_trccon_valid) begin + litedramcore_bankmachine7_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd1; + litedramcore_bankmachine7_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd0; + litedramcore_bankmachine7_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_trccon_ready)) begin - main_litedramcore_bankmachine7_trccon_count <= (main_litedramcore_bankmachine7_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine7_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_trccon_ready)) begin + litedramcore_bankmachine7_trccon_count <= (litedramcore_bankmachine7_trccon_count - 1'd1); + if ((litedramcore_bankmachine7_trccon_count == 1'd1)) begin + litedramcore_bankmachine7_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine7_trascon_valid) begin - main_litedramcore_bankmachine7_trascon_count <= 3'd4; + if (litedramcore_bankmachine7_trascon_valid) begin + litedramcore_bankmachine7_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd1; + litedramcore_bankmachine7_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd0; + litedramcore_bankmachine7_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_trascon_count <= (main_litedramcore_bankmachine7_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine7_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_trascon_count <= (litedramcore_bankmachine7_trascon_count - 1'd1); + if ((litedramcore_bankmachine7_trascon_count == 1'd1)) begin + litedramcore_bankmachine7_trascon_ready <= 1'd1; end end end - builder_bankmachine7_state <= builder_bankmachine7_next_state; - if ((~main_litedramcore_en0)) begin - main_litedramcore_time0 <= 5'd31; + litedramcore_bankmachine7_state <= litedramcore_bankmachine7_next_state; + if ((~litedramcore_en0)) begin + litedramcore_time0 <= 5'd31; end else begin - if ((~main_litedramcore_max_time0)) begin - main_litedramcore_time0 <= (main_litedramcore_time0 - 1'd1); + if ((~litedramcore_max_time0)) begin + litedramcore_time0 <= (litedramcore_time0 - 1'd1); end end - if ((~main_litedramcore_en1)) begin - main_litedramcore_time1 <= 4'd15; + if ((~litedramcore_en1)) begin + litedramcore_time1 <= 4'd15; end else begin - if ((~main_litedramcore_max_time1)) begin - main_litedramcore_time1 <= (main_litedramcore_time1 - 1'd1); + if ((~litedramcore_max_time1)) begin + litedramcore_time1 <= (litedramcore_time1 - 1'd1); end end - if (main_litedramcore_choose_cmd_ce) begin - case (main_litedramcore_choose_cmd_grant) + if (litedramcore_choose_cmd_ce) begin + case (litedramcore_choose_cmd_grant) 1'd0: begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end end end @@ -12367,26 +12810,26 @@ always @(posedge sys_clk) begin end end 1'd1: begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end end end @@ -12396,26 +12839,26 @@ always @(posedge sys_clk) begin end end 2'd2: begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end end end @@ -12425,26 +12868,26 @@ always @(posedge sys_clk) begin end end 2'd3: begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end end end @@ -12454,26 +12897,26 @@ always @(posedge sys_clk) begin end end 3'd4: begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end end end @@ -12483,26 +12926,26 @@ always @(posedge sys_clk) begin end end 3'd5: begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end end end @@ -12512,26 +12955,26 @@ always @(posedge sys_clk) begin end end 3'd6: begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end end end @@ -12541,26 +12984,26 @@ always @(posedge sys_clk) begin end end 3'd7: begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end end end @@ -12571,29 +13014,29 @@ always @(posedge sys_clk) begin end endcase end - if (main_litedramcore_choose_req_ce) begin - case (main_litedramcore_choose_req_grant) + if (litedramcore_choose_req_ce) begin + case (litedramcore_choose_req_grant) 1'd0: begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end end end @@ -12603,26 +13046,26 @@ always @(posedge sys_clk) begin end end 1'd1: begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end end end @@ -12632,26 +13075,26 @@ always @(posedge sys_clk) begin end end 2'd2: begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end end end @@ -12661,26 +13104,26 @@ always @(posedge sys_clk) begin end end 2'd3: begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end end end @@ -12690,26 +13133,26 @@ always @(posedge sys_clk) begin end end 3'd4: begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end end end @@ -12719,26 +13162,26 @@ always @(posedge sys_clk) begin end end 3'd5: begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end end end @@ -12748,26 +13191,26 @@ always @(posedge sys_clk) begin end end 3'd6: begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end end end @@ -12777,26 +13220,26 @@ always @(posedge sys_clk) begin end end 3'd7: begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end end end @@ -12807,644 +13250,644 @@ always @(posedge sys_clk) begin end endcase end - main_litedramcore_dfi_p0_cs_n <= 1'd0; - main_litedramcore_dfi_p0_bank <= builder_array_muxed0; - main_litedramcore_dfi_p0_address <= builder_array_muxed1; - main_litedramcore_dfi_p0_cas_n <= (~builder_array_muxed2); - main_litedramcore_dfi_p0_ras_n <= (~builder_array_muxed3); - main_litedramcore_dfi_p0_we_n <= (~builder_array_muxed4); - main_litedramcore_dfi_p0_rddata_en <= builder_array_muxed5; - main_litedramcore_dfi_p0_wrdata_en <= builder_array_muxed6; - main_litedramcore_dfi_p1_cs_n <= 1'd0; - main_litedramcore_dfi_p1_bank <= builder_array_muxed7; - main_litedramcore_dfi_p1_address <= builder_array_muxed8; - main_litedramcore_dfi_p1_cas_n <= (~builder_array_muxed9); - main_litedramcore_dfi_p1_ras_n <= (~builder_array_muxed10); - main_litedramcore_dfi_p1_we_n <= (~builder_array_muxed11); - main_litedramcore_dfi_p1_rddata_en <= builder_array_muxed12; - main_litedramcore_dfi_p1_wrdata_en <= builder_array_muxed13; - main_litedramcore_dfi_p2_cs_n <= 1'd0; - main_litedramcore_dfi_p2_bank <= builder_array_muxed14; - main_litedramcore_dfi_p2_address <= builder_array_muxed15; - main_litedramcore_dfi_p2_cas_n <= (~builder_array_muxed16); - main_litedramcore_dfi_p2_ras_n <= (~builder_array_muxed17); - main_litedramcore_dfi_p2_we_n <= (~builder_array_muxed18); - main_litedramcore_dfi_p2_rddata_en <= builder_array_muxed19; - main_litedramcore_dfi_p2_wrdata_en <= builder_array_muxed20; - main_litedramcore_dfi_p3_cs_n <= 1'd0; - main_litedramcore_dfi_p3_bank <= builder_array_muxed21; - main_litedramcore_dfi_p3_address <= builder_array_muxed22; - main_litedramcore_dfi_p3_cas_n <= (~builder_array_muxed23); - main_litedramcore_dfi_p3_ras_n <= (~builder_array_muxed24); - main_litedramcore_dfi_p3_we_n <= (~builder_array_muxed25); - main_litedramcore_dfi_p3_rddata_en <= builder_array_muxed26; - main_litedramcore_dfi_p3_wrdata_en <= builder_array_muxed27; - if (main_litedramcore_trrdcon_valid) begin - main_litedramcore_trrdcon_count <= 1'd1; + litedramcore_dfi_p0_cs_n <= 1'd0; + litedramcore_dfi_p0_bank <= array_muxed0; + litedramcore_dfi_p0_address <= array_muxed1; + litedramcore_dfi_p0_cas_n <= (~array_muxed2); + litedramcore_dfi_p0_ras_n <= (~array_muxed3); + litedramcore_dfi_p0_we_n <= (~array_muxed4); + litedramcore_dfi_p0_rddata_en <= array_muxed5; + litedramcore_dfi_p0_wrdata_en <= array_muxed6; + litedramcore_dfi_p1_cs_n <= 1'd0; + litedramcore_dfi_p1_bank <= array_muxed7; + litedramcore_dfi_p1_address <= array_muxed8; + litedramcore_dfi_p1_cas_n <= (~array_muxed9); + litedramcore_dfi_p1_ras_n <= (~array_muxed10); + litedramcore_dfi_p1_we_n <= (~array_muxed11); + litedramcore_dfi_p1_rddata_en <= array_muxed12; + litedramcore_dfi_p1_wrdata_en <= array_muxed13; + litedramcore_dfi_p2_cs_n <= 1'd0; + litedramcore_dfi_p2_bank <= array_muxed14; + litedramcore_dfi_p2_address <= array_muxed15; + litedramcore_dfi_p2_cas_n <= (~array_muxed16); + litedramcore_dfi_p2_ras_n <= (~array_muxed17); + litedramcore_dfi_p2_we_n <= (~array_muxed18); + litedramcore_dfi_p2_rddata_en <= array_muxed19; + litedramcore_dfi_p2_wrdata_en <= array_muxed20; + litedramcore_dfi_p3_cs_n <= 1'd0; + litedramcore_dfi_p3_bank <= array_muxed21; + litedramcore_dfi_p3_address <= array_muxed22; + litedramcore_dfi_p3_cas_n <= (~array_muxed23); + litedramcore_dfi_p3_ras_n <= (~array_muxed24); + litedramcore_dfi_p3_we_n <= (~array_muxed25); + litedramcore_dfi_p3_rddata_en <= array_muxed26; + litedramcore_dfi_p3_wrdata_en <= array_muxed27; + if (litedramcore_trrdcon_valid) begin + litedramcore_trrdcon_count <= 1'd1; if (1'd0) begin - main_litedramcore_trrdcon_ready <= 1'd1; + litedramcore_trrdcon_ready <= 1'd1; end else begin - main_litedramcore_trrdcon_ready <= 1'd0; + litedramcore_trrdcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_trrdcon_ready)) begin - main_litedramcore_trrdcon_count <= (main_litedramcore_trrdcon_count - 1'd1); - if ((main_litedramcore_trrdcon_count == 1'd1)) begin - main_litedramcore_trrdcon_ready <= 1'd1; + if ((~litedramcore_trrdcon_ready)) begin + litedramcore_trrdcon_count <= (litedramcore_trrdcon_count - 1'd1); + if ((litedramcore_trrdcon_count == 1'd1)) begin + litedramcore_trrdcon_ready <= 1'd1; end end end - main_litedramcore_tfawcon_window <= {main_litedramcore_tfawcon_window, main_litedramcore_tfawcon_valid}; - if ((main_litedramcore_tfawcon_count < 3'd4)) begin - if ((main_litedramcore_tfawcon_count == 2'd3)) begin - main_litedramcore_tfawcon_ready <= (~main_litedramcore_tfawcon_valid); + litedramcore_tfawcon_window <= {litedramcore_tfawcon_window, litedramcore_tfawcon_valid}; + if ((litedramcore_tfawcon_count < 3'd4)) begin + if ((litedramcore_tfawcon_count == 2'd3)) begin + litedramcore_tfawcon_ready <= (~litedramcore_tfawcon_valid); end else begin - main_litedramcore_tfawcon_ready <= 1'd1; + litedramcore_tfawcon_ready <= 1'd1; end end - if (main_litedramcore_tccdcon_valid) begin - main_litedramcore_tccdcon_count <= 1'd0; + if (litedramcore_tccdcon_valid) begin + litedramcore_tccdcon_count <= 1'd0; if (1'd1) begin - main_litedramcore_tccdcon_ready <= 1'd1; + litedramcore_tccdcon_ready <= 1'd1; end else begin - main_litedramcore_tccdcon_ready <= 1'd0; + litedramcore_tccdcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_tccdcon_ready)) begin - main_litedramcore_tccdcon_count <= (main_litedramcore_tccdcon_count - 1'd1); - if ((main_litedramcore_tccdcon_count == 1'd1)) begin - main_litedramcore_tccdcon_ready <= 1'd1; + if ((~litedramcore_tccdcon_ready)) begin + litedramcore_tccdcon_count <= (litedramcore_tccdcon_count - 1'd1); + if ((litedramcore_tccdcon_count == 1'd1)) begin + litedramcore_tccdcon_ready <= 1'd1; end end end - if (main_litedramcore_twtrcon_valid) begin - main_litedramcore_twtrcon_count <= 3'd4; + if (litedramcore_twtrcon_valid) begin + litedramcore_twtrcon_count <= 3'd4; if (1'd0) begin - main_litedramcore_twtrcon_ready <= 1'd1; + litedramcore_twtrcon_ready <= 1'd1; end else begin - main_litedramcore_twtrcon_ready <= 1'd0; + litedramcore_twtrcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_twtrcon_ready)) begin - main_litedramcore_twtrcon_count <= (main_litedramcore_twtrcon_count - 1'd1); - if ((main_litedramcore_twtrcon_count == 1'd1)) begin - main_litedramcore_twtrcon_ready <= 1'd1; + if ((~litedramcore_twtrcon_ready)) begin + litedramcore_twtrcon_count <= (litedramcore_twtrcon_count - 1'd1); + if ((litedramcore_twtrcon_count == 1'd1)) begin + litedramcore_twtrcon_ready <= 1'd1; end end end - builder_multiplexer_state <= builder_multiplexer_next_state; - builder_new_master_wdata_ready0 <= ((((((((1'd0 | ((builder_roundrobin0_grant == 1'd0) & main_litedramcore_interface_bank0_wdata_ready)) | ((builder_roundrobin1_grant == 1'd0) & main_litedramcore_interface_bank1_wdata_ready)) | ((builder_roundrobin2_grant == 1'd0) & main_litedramcore_interface_bank2_wdata_ready)) | ((builder_roundrobin3_grant == 1'd0) & main_litedramcore_interface_bank3_wdata_ready)) | ((builder_roundrobin4_grant == 1'd0) & main_litedramcore_interface_bank4_wdata_ready)) | ((builder_roundrobin5_grant == 1'd0) & main_litedramcore_interface_bank5_wdata_ready)) | ((builder_roundrobin6_grant == 1'd0) & main_litedramcore_interface_bank6_wdata_ready)) | ((builder_roundrobin7_grant == 1'd0) & main_litedramcore_interface_bank7_wdata_ready)); - builder_new_master_wdata_ready1 <= builder_new_master_wdata_ready0; - builder_new_master_rdata_valid0 <= ((((((((1'd0 | ((builder_roundrobin0_grant == 1'd0) & main_litedramcore_interface_bank0_rdata_valid)) | ((builder_roundrobin1_grant == 1'd0) & main_litedramcore_interface_bank1_rdata_valid)) | ((builder_roundrobin2_grant == 1'd0) & main_litedramcore_interface_bank2_rdata_valid)) | ((builder_roundrobin3_grant == 1'd0) & main_litedramcore_interface_bank3_rdata_valid)) | ((builder_roundrobin4_grant == 1'd0) & main_litedramcore_interface_bank4_rdata_valid)) | ((builder_roundrobin5_grant == 1'd0) & main_litedramcore_interface_bank5_rdata_valid)) | ((builder_roundrobin6_grant == 1'd0) & main_litedramcore_interface_bank6_rdata_valid)) | ((builder_roundrobin7_grant == 1'd0) & main_litedramcore_interface_bank7_rdata_valid)); - builder_new_master_rdata_valid1 <= builder_new_master_rdata_valid0; - builder_new_master_rdata_valid2 <= builder_new_master_rdata_valid1; - builder_new_master_rdata_valid3 <= builder_new_master_rdata_valid2; - builder_new_master_rdata_valid4 <= builder_new_master_rdata_valid3; - builder_new_master_rdata_valid5 <= builder_new_master_rdata_valid4; - builder_new_master_rdata_valid6 <= builder_new_master_rdata_valid5; - builder_new_master_rdata_valid7 <= builder_new_master_rdata_valid6; - builder_new_master_rdata_valid8 <= builder_new_master_rdata_valid7; - builder_state <= builder_next_state; - if (builder_litedramcore_dat_w_next_value_ce0) begin - builder_litedramcore_dat_w <= builder_litedramcore_dat_w_next_value0; + litedramcore_multiplexer_state <= litedramcore_multiplexer_next_state; + litedramcore_new_master_wdata_ready0 <= ((((((((1'd0 | ((litedramcore_roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_wdata_ready)) | ((litedramcore_roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_wdata_ready)) | ((litedramcore_roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_wdata_ready)) | ((litedramcore_roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_wdata_ready)) | ((litedramcore_roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_wdata_ready)) | ((litedramcore_roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_wdata_ready)) | ((litedramcore_roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_wdata_ready)) | ((litedramcore_roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_wdata_ready)); + litedramcore_new_master_wdata_ready1 <= litedramcore_new_master_wdata_ready0; + litedramcore_new_master_rdata_valid0 <= ((((((((1'd0 | ((litedramcore_roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_rdata_valid)) | ((litedramcore_roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_rdata_valid)) | ((litedramcore_roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_rdata_valid)) | ((litedramcore_roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_rdata_valid)) | ((litedramcore_roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_rdata_valid)) | ((litedramcore_roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_rdata_valid)) | ((litedramcore_roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_rdata_valid)) | ((litedramcore_roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_rdata_valid)); + litedramcore_new_master_rdata_valid1 <= litedramcore_new_master_rdata_valid0; + litedramcore_new_master_rdata_valid2 <= litedramcore_new_master_rdata_valid1; + litedramcore_new_master_rdata_valid3 <= litedramcore_new_master_rdata_valid2; + litedramcore_new_master_rdata_valid4 <= litedramcore_new_master_rdata_valid3; + litedramcore_new_master_rdata_valid5 <= litedramcore_new_master_rdata_valid4; + litedramcore_new_master_rdata_valid6 <= litedramcore_new_master_rdata_valid5; + litedramcore_new_master_rdata_valid7 <= litedramcore_new_master_rdata_valid6; + litedramcore_new_master_rdata_valid8 <= litedramcore_new_master_rdata_valid7; + litedramcore_state <= litedramcore_next_state; + if (litedramcore_dat_w_next_value_ce0) begin + litedramcore_dat_w <= litedramcore_dat_w_next_value0; end - if (builder_litedramcore_adr_next_value_ce1) begin - builder_litedramcore_adr <= builder_litedramcore_adr_next_value1; + if (litedramcore_adr_next_value_ce1) begin + litedramcore_adr <= litedramcore_adr_next_value1; end - if (builder_litedramcore_we_next_value_ce2) begin - builder_litedramcore_we <= builder_litedramcore_we_next_value2; + if (litedramcore_we_next_value_ce2) begin + litedramcore_we <= litedramcore_we_next_value2; end - builder_interface0_bank_bus_dat_r <= 1'd0; - if (builder_csrbank0_sel) begin - case (builder_interface0_bank_bus_adr[8:0]) + interface0_bank_bus_dat_r <= 1'd0; + if (csrbank0_sel) begin + case (interface0_bank_bus_adr[8:0]) 1'd0: begin - builder_interface0_bank_bus_dat_r <= builder_csrbank0_init_done0_w; + interface0_bank_bus_dat_r <= csrbank0_init_done0_w; end 1'd1: begin - builder_interface0_bank_bus_dat_r <= builder_csrbank0_init_error0_w; + interface0_bank_bus_dat_r <= csrbank0_init_error0_w; end endcase end - if (builder_csrbank0_init_done0_re) begin - main_init_done_storage <= builder_csrbank0_init_done0_r; + if (csrbank0_init_done0_re) begin + init_done_storage <= csrbank0_init_done0_r; end - main_init_done_re <= builder_csrbank0_init_done0_re; - if (builder_csrbank0_init_error0_re) begin - main_init_error_storage <= builder_csrbank0_init_error0_r; + init_done_re <= csrbank0_init_done0_re; + if (csrbank0_init_error0_re) begin + init_error_storage <= csrbank0_init_error0_r; end - main_init_error_re <= builder_csrbank0_init_error0_re; - builder_interface1_bank_bus_dat_r <= 1'd0; - if (builder_csrbank1_sel) begin - case (builder_interface1_bank_bus_adr[8:0]) + init_error_re <= csrbank0_init_error0_re; + interface1_bank_bus_dat_r <= 1'd0; + if (csrbank1_sel) begin + case (interface1_bank_bus_adr[8:0]) 1'd0: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_rst0_w; + interface1_bank_bus_dat_r <= csrbank1_rst0_w; end 1'd1: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_half_sys8x_taps0_w; + interface1_bank_bus_dat_r <= csrbank1_dly_sel0_w; end 2'd2: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_wlevel_en0_w; + interface1_bank_bus_dat_r <= csrbank1_half_sys8x_taps0_w; end 2'd3: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_wlevel_strobe_w; + interface1_bank_bus_dat_r <= csrbank1_wlevel_en0_w; end 3'd4: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_dly_sel0_w; + interface1_bank_bus_dat_r <= a7ddrphy_wlevel_strobe_w; end 3'd5: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_rst_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_rst_w; end 3'd6: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_inc_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_inc_w; end 3'd7: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_bitslip_rst_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_bitslip_rst_w; end 4'd8: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_bitslip_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_bitslip_w; end 4'd9: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_wdly_dq_bitslip_rst_w; + interface1_bank_bus_dat_r <= a7ddrphy_wdly_dq_bitslip_rst_w; end 4'd10: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_wdly_dq_bitslip_w; + interface1_bank_bus_dat_r <= a7ddrphy_wdly_dq_bitslip_w; end 4'd11: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_rdphase0_w; + interface1_bank_bus_dat_r <= csrbank1_rdphase0_w; end 4'd12: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_wrphase0_w; + interface1_bank_bus_dat_r <= csrbank1_wrphase0_w; end endcase end - if (builder_csrbank1_rst0_re) begin - main_a7ddrphy_rst_storage <= builder_csrbank1_rst0_r; + if (csrbank1_rst0_re) begin + a7ddrphy_rst_storage <= csrbank1_rst0_r; end - main_a7ddrphy_rst_re <= builder_csrbank1_rst0_re; - if (builder_csrbank1_half_sys8x_taps0_re) begin - main_a7ddrphy_half_sys8x_taps_storage[4:0] <= builder_csrbank1_half_sys8x_taps0_r; + a7ddrphy_rst_re <= csrbank1_rst0_re; + if (csrbank1_dly_sel0_re) begin + a7ddrphy_dly_sel_storage[1:0] <= csrbank1_dly_sel0_r; end - main_a7ddrphy_half_sys8x_taps_re <= builder_csrbank1_half_sys8x_taps0_re; - if (builder_csrbank1_wlevel_en0_re) begin - main_a7ddrphy_wlevel_en_storage <= builder_csrbank1_wlevel_en0_r; + a7ddrphy_dly_sel_re <= csrbank1_dly_sel0_re; + if (csrbank1_half_sys8x_taps0_re) begin + a7ddrphy_half_sys8x_taps_storage[4:0] <= csrbank1_half_sys8x_taps0_r; end - main_a7ddrphy_wlevel_en_re <= builder_csrbank1_wlevel_en0_re; - if (builder_csrbank1_dly_sel0_re) begin - main_a7ddrphy_dly_sel_storage[1:0] <= builder_csrbank1_dly_sel0_r; + a7ddrphy_half_sys8x_taps_re <= csrbank1_half_sys8x_taps0_re; + if (csrbank1_wlevel_en0_re) begin + a7ddrphy_wlevel_en_storage <= csrbank1_wlevel_en0_r; end - main_a7ddrphy_dly_sel_re <= builder_csrbank1_dly_sel0_re; - if (builder_csrbank1_rdphase0_re) begin - main_a7ddrphy_rdphase_storage[1:0] <= builder_csrbank1_rdphase0_r; + a7ddrphy_wlevel_en_re <= csrbank1_wlevel_en0_re; + if (csrbank1_rdphase0_re) begin + a7ddrphy_rdphase_storage[1:0] <= csrbank1_rdphase0_r; end - main_a7ddrphy_rdphase_re <= builder_csrbank1_rdphase0_re; - if (builder_csrbank1_wrphase0_re) begin - main_a7ddrphy_wrphase_storage[1:0] <= builder_csrbank1_wrphase0_r; + a7ddrphy_rdphase_re <= csrbank1_rdphase0_re; + if (csrbank1_wrphase0_re) begin + a7ddrphy_wrphase_storage[1:0] <= csrbank1_wrphase0_r; end - main_a7ddrphy_wrphase_re <= builder_csrbank1_wrphase0_re; - builder_interface2_bank_bus_dat_r <= 1'd0; - if (builder_csrbank2_sel) begin - case (builder_interface2_bank_bus_adr[8:0]) + a7ddrphy_wrphase_re <= csrbank1_wrphase0_re; + interface2_bank_bus_dat_r <= 1'd0; + if (csrbank2_sel) begin + case (interface2_bank_bus_adr[8:0]) 1'd0: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_control0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_control0_w; end 1'd1: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_command0_w; end 2'd2: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector0_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector0_command_issue_w; end 2'd3: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_address0_w; end 3'd4: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_baddress0_w; end 3'd5: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_wrdata0_w; end 3'd6: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_rddata_w; end 3'd7: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_command0_w; end 4'd8: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector1_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector1_command_issue_w; end 4'd9: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_address0_w; end 4'd10: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_baddress0_w; end 4'd11: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_wrdata0_w; end 4'd12: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_rddata_w; end 4'd13: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_command0_w; end 4'd14: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector2_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector2_command_issue_w; end 4'd15: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_address0_w; end 5'd16: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_baddress0_w; end 5'd17: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_wrdata0_w; end 5'd18: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_rddata_w; end 5'd19: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_command0_w; end 5'd20: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector3_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector3_command_issue_w; end 5'd21: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_address0_w; end 5'd22: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_baddress0_w; end 5'd23: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_wrdata0_w; end 5'd24: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_rddata_w; end endcase end - if (builder_csrbank2_dfii_control0_re) begin - main_litedramcore_storage[3:0] <= builder_csrbank2_dfii_control0_r; + if (csrbank2_dfii_control0_re) begin + litedramcore_storage[3:0] <= csrbank2_dfii_control0_r; end - main_litedramcore_re <= builder_csrbank2_dfii_control0_re; - if (builder_csrbank2_dfii_pi0_command0_re) begin - main_litedramcore_phaseinjector0_command_storage[5:0] <= builder_csrbank2_dfii_pi0_command0_r; + litedramcore_re <= csrbank2_dfii_control0_re; + if (csrbank2_dfii_pi0_command0_re) begin + litedramcore_phaseinjector0_command_storage[5:0] <= csrbank2_dfii_pi0_command0_r; end - main_litedramcore_phaseinjector0_command_re <= builder_csrbank2_dfii_pi0_command0_re; - if (builder_csrbank2_dfii_pi0_address0_re) begin - main_litedramcore_phaseinjector0_address_storage[14:0] <= builder_csrbank2_dfii_pi0_address0_r; + litedramcore_phaseinjector0_command_re <= csrbank2_dfii_pi0_command0_re; + if (csrbank2_dfii_pi0_address0_re) begin + litedramcore_phaseinjector0_address_storage[14:0] <= csrbank2_dfii_pi0_address0_r; end - main_litedramcore_phaseinjector0_address_re <= builder_csrbank2_dfii_pi0_address0_re; - if (builder_csrbank2_dfii_pi0_baddress0_re) begin - main_litedramcore_phaseinjector0_baddress_storage[2:0] <= builder_csrbank2_dfii_pi0_baddress0_r; + litedramcore_phaseinjector0_address_re <= csrbank2_dfii_pi0_address0_re; + if (csrbank2_dfii_pi0_baddress0_re) begin + litedramcore_phaseinjector0_baddress_storage[2:0] <= csrbank2_dfii_pi0_baddress0_r; end - main_litedramcore_phaseinjector0_baddress_re <= builder_csrbank2_dfii_pi0_baddress0_re; - if (builder_csrbank2_dfii_pi0_wrdata0_re) begin - main_litedramcore_phaseinjector0_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi0_wrdata0_r; + litedramcore_phaseinjector0_baddress_re <= csrbank2_dfii_pi0_baddress0_re; + if (csrbank2_dfii_pi0_wrdata0_re) begin + litedramcore_phaseinjector0_wrdata_storage[31:0] <= csrbank2_dfii_pi0_wrdata0_r; end - main_litedramcore_phaseinjector0_wrdata_re <= builder_csrbank2_dfii_pi0_wrdata0_re; - main_litedramcore_phaseinjector0_rddata_re <= builder_csrbank2_dfii_pi0_rddata_re; - if (builder_csrbank2_dfii_pi1_command0_re) begin - main_litedramcore_phaseinjector1_command_storage[5:0] <= builder_csrbank2_dfii_pi1_command0_r; + litedramcore_phaseinjector0_wrdata_re <= csrbank2_dfii_pi0_wrdata0_re; + litedramcore_phaseinjector0_rddata_re <= csrbank2_dfii_pi0_rddata_re; + if (csrbank2_dfii_pi1_command0_re) begin + litedramcore_phaseinjector1_command_storage[5:0] <= csrbank2_dfii_pi1_command0_r; end - main_litedramcore_phaseinjector1_command_re <= builder_csrbank2_dfii_pi1_command0_re; - if (builder_csrbank2_dfii_pi1_address0_re) begin - main_litedramcore_phaseinjector1_address_storage[14:0] <= builder_csrbank2_dfii_pi1_address0_r; + litedramcore_phaseinjector1_command_re <= csrbank2_dfii_pi1_command0_re; + if (csrbank2_dfii_pi1_address0_re) begin + litedramcore_phaseinjector1_address_storage[14:0] <= csrbank2_dfii_pi1_address0_r; end - main_litedramcore_phaseinjector1_address_re <= builder_csrbank2_dfii_pi1_address0_re; - if (builder_csrbank2_dfii_pi1_baddress0_re) begin - main_litedramcore_phaseinjector1_baddress_storage[2:0] <= builder_csrbank2_dfii_pi1_baddress0_r; + litedramcore_phaseinjector1_address_re <= csrbank2_dfii_pi1_address0_re; + if (csrbank2_dfii_pi1_baddress0_re) begin + litedramcore_phaseinjector1_baddress_storage[2:0] <= csrbank2_dfii_pi1_baddress0_r; end - main_litedramcore_phaseinjector1_baddress_re <= builder_csrbank2_dfii_pi1_baddress0_re; - if (builder_csrbank2_dfii_pi1_wrdata0_re) begin - main_litedramcore_phaseinjector1_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi1_wrdata0_r; + litedramcore_phaseinjector1_baddress_re <= csrbank2_dfii_pi1_baddress0_re; + if (csrbank2_dfii_pi1_wrdata0_re) begin + litedramcore_phaseinjector1_wrdata_storage[31:0] <= csrbank2_dfii_pi1_wrdata0_r; end - main_litedramcore_phaseinjector1_wrdata_re <= builder_csrbank2_dfii_pi1_wrdata0_re; - main_litedramcore_phaseinjector1_rddata_re <= builder_csrbank2_dfii_pi1_rddata_re; - if (builder_csrbank2_dfii_pi2_command0_re) begin - main_litedramcore_phaseinjector2_command_storage[5:0] <= builder_csrbank2_dfii_pi2_command0_r; + litedramcore_phaseinjector1_wrdata_re <= csrbank2_dfii_pi1_wrdata0_re; + litedramcore_phaseinjector1_rddata_re <= csrbank2_dfii_pi1_rddata_re; + if (csrbank2_dfii_pi2_command0_re) begin + litedramcore_phaseinjector2_command_storage[5:0] <= csrbank2_dfii_pi2_command0_r; end - main_litedramcore_phaseinjector2_command_re <= builder_csrbank2_dfii_pi2_command0_re; - if (builder_csrbank2_dfii_pi2_address0_re) begin - main_litedramcore_phaseinjector2_address_storage[14:0] <= builder_csrbank2_dfii_pi2_address0_r; + litedramcore_phaseinjector2_command_re <= csrbank2_dfii_pi2_command0_re; + if (csrbank2_dfii_pi2_address0_re) begin + litedramcore_phaseinjector2_address_storage[14:0] <= csrbank2_dfii_pi2_address0_r; end - main_litedramcore_phaseinjector2_address_re <= builder_csrbank2_dfii_pi2_address0_re; - if (builder_csrbank2_dfii_pi2_baddress0_re) begin - main_litedramcore_phaseinjector2_baddress_storage[2:0] <= builder_csrbank2_dfii_pi2_baddress0_r; + litedramcore_phaseinjector2_address_re <= csrbank2_dfii_pi2_address0_re; + if (csrbank2_dfii_pi2_baddress0_re) begin + litedramcore_phaseinjector2_baddress_storage[2:0] <= csrbank2_dfii_pi2_baddress0_r; end - main_litedramcore_phaseinjector2_baddress_re <= builder_csrbank2_dfii_pi2_baddress0_re; - if (builder_csrbank2_dfii_pi2_wrdata0_re) begin - main_litedramcore_phaseinjector2_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi2_wrdata0_r; + litedramcore_phaseinjector2_baddress_re <= csrbank2_dfii_pi2_baddress0_re; + if (csrbank2_dfii_pi2_wrdata0_re) begin + litedramcore_phaseinjector2_wrdata_storage[31:0] <= csrbank2_dfii_pi2_wrdata0_r; end - main_litedramcore_phaseinjector2_wrdata_re <= builder_csrbank2_dfii_pi2_wrdata0_re; - main_litedramcore_phaseinjector2_rddata_re <= builder_csrbank2_dfii_pi2_rddata_re; - if (builder_csrbank2_dfii_pi3_command0_re) begin - main_litedramcore_phaseinjector3_command_storage[5:0] <= builder_csrbank2_dfii_pi3_command0_r; + litedramcore_phaseinjector2_wrdata_re <= csrbank2_dfii_pi2_wrdata0_re; + litedramcore_phaseinjector2_rddata_re <= csrbank2_dfii_pi2_rddata_re; + if (csrbank2_dfii_pi3_command0_re) begin + litedramcore_phaseinjector3_command_storage[5:0] <= csrbank2_dfii_pi3_command0_r; end - main_litedramcore_phaseinjector3_command_re <= builder_csrbank2_dfii_pi3_command0_re; - if (builder_csrbank2_dfii_pi3_address0_re) begin - main_litedramcore_phaseinjector3_address_storage[14:0] <= builder_csrbank2_dfii_pi3_address0_r; + litedramcore_phaseinjector3_command_re <= csrbank2_dfii_pi3_command0_re; + if (csrbank2_dfii_pi3_address0_re) begin + litedramcore_phaseinjector3_address_storage[14:0] <= csrbank2_dfii_pi3_address0_r; end - main_litedramcore_phaseinjector3_address_re <= builder_csrbank2_dfii_pi3_address0_re; - if (builder_csrbank2_dfii_pi3_baddress0_re) begin - main_litedramcore_phaseinjector3_baddress_storage[2:0] <= builder_csrbank2_dfii_pi3_baddress0_r; + litedramcore_phaseinjector3_address_re <= csrbank2_dfii_pi3_address0_re; + if (csrbank2_dfii_pi3_baddress0_re) begin + litedramcore_phaseinjector3_baddress_storage[2:0] <= csrbank2_dfii_pi3_baddress0_r; end - main_litedramcore_phaseinjector3_baddress_re <= builder_csrbank2_dfii_pi3_baddress0_re; - if (builder_csrbank2_dfii_pi3_wrdata0_re) begin - main_litedramcore_phaseinjector3_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi3_wrdata0_r; + litedramcore_phaseinjector3_baddress_re <= csrbank2_dfii_pi3_baddress0_re; + if (csrbank2_dfii_pi3_wrdata0_re) begin + litedramcore_phaseinjector3_wrdata_storage[31:0] <= csrbank2_dfii_pi3_wrdata0_r; end - main_litedramcore_phaseinjector3_wrdata_re <= builder_csrbank2_dfii_pi3_wrdata0_re; - main_litedramcore_phaseinjector3_rddata_re <= builder_csrbank2_dfii_pi3_rddata_re; + litedramcore_phaseinjector3_wrdata_re <= csrbank2_dfii_pi3_wrdata0_re; + litedramcore_phaseinjector3_rddata_re <= csrbank2_dfii_pi3_rddata_re; if (sys_rst) begin - main_a7ddrphy_rst_storage <= 1'd0; - main_a7ddrphy_rst_re <= 1'd0; - main_a7ddrphy_half_sys8x_taps_storage <= 5'd8; - main_a7ddrphy_half_sys8x_taps_re <= 1'd0; - main_a7ddrphy_wlevel_en_storage <= 1'd0; - main_a7ddrphy_wlevel_en_re <= 1'd0; - main_a7ddrphy_dly_sel_storage <= 2'd0; - main_a7ddrphy_dly_sel_re <= 1'd0; - main_a7ddrphy_rdphase_storage <= 2'd2; - main_a7ddrphy_rdphase_re <= 1'd0; - main_a7ddrphy_wrphase_storage <= 2'd3; - main_a7ddrphy_wrphase_re <= 1'd0; - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; - main_a7ddrphy_dqspattern_o1 <= 8'd0; - main_a7ddrphy_bitslip0_value0 <= 3'd7; - main_a7ddrphy_bitslip1_value0 <= 3'd7; - main_a7ddrphy_bitslip0_value1 <= 3'd7; - main_a7ddrphy_bitslip1_value1 <= 3'd7; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; - main_a7ddrphy_bitslip0_value2 <= 3'd7; - main_a7ddrphy_bitslip0_value3 <= 3'd7; - main_a7ddrphy_bitslip1_value2 <= 3'd7; - main_a7ddrphy_bitslip1_value3 <= 3'd7; - main_a7ddrphy_bitslip2_value0 <= 3'd7; - main_a7ddrphy_bitslip2_value1 <= 3'd7; - main_a7ddrphy_bitslip3_value0 <= 3'd7; - main_a7ddrphy_bitslip3_value1 <= 3'd7; - main_a7ddrphy_bitslip4_value0 <= 3'd7; - main_a7ddrphy_bitslip4_value1 <= 3'd7; - main_a7ddrphy_bitslip5_value0 <= 3'd7; - main_a7ddrphy_bitslip5_value1 <= 3'd7; - main_a7ddrphy_bitslip6_value0 <= 3'd7; - main_a7ddrphy_bitslip6_value1 <= 3'd7; - main_a7ddrphy_bitslip7_value0 <= 3'd7; - main_a7ddrphy_bitslip7_value1 <= 3'd7; - main_a7ddrphy_bitslip8_value0 <= 3'd7; - main_a7ddrphy_bitslip8_value1 <= 3'd7; - main_a7ddrphy_bitslip9_value0 <= 3'd7; - main_a7ddrphy_bitslip9_value1 <= 3'd7; - main_a7ddrphy_bitslip10_value0 <= 3'd7; - main_a7ddrphy_bitslip10_value1 <= 3'd7; - main_a7ddrphy_bitslip11_value0 <= 3'd7; - main_a7ddrphy_bitslip11_value1 <= 3'd7; - main_a7ddrphy_bitslip12_value0 <= 3'd7; - main_a7ddrphy_bitslip12_value1 <= 3'd7; - main_a7ddrphy_bitslip13_value0 <= 3'd7; - main_a7ddrphy_bitslip13_value1 <= 3'd7; - main_a7ddrphy_bitslip14_value0 <= 3'd7; - main_a7ddrphy_bitslip14_value1 <= 3'd7; - main_a7ddrphy_bitslip15_value0 <= 3'd7; - main_a7ddrphy_bitslip15_value1 <= 3'd7; - main_a7ddrphy_rddata_en_tappeddelayline0 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline1 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline2 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline3 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline4 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline5 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline6 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline7 <= 1'd0; - main_a7ddrphy_wrdata_en_tappeddelayline0 <= 1'd0; - main_a7ddrphy_wrdata_en_tappeddelayline1 <= 1'd0; - main_a7ddrphy_wrdata_en_tappeddelayline2 <= 1'd0; - main_litedramcore_storage <= 4'd1; - main_litedramcore_re <= 1'd0; - main_litedramcore_phaseinjector0_command_storage <= 6'd0; - main_litedramcore_phaseinjector0_command_re <= 1'd0; - main_litedramcore_phaseinjector0_address_re <= 1'd0; - main_litedramcore_phaseinjector0_baddress_re <= 1'd0; - main_litedramcore_phaseinjector0_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector0_rddata_status <= 32'd0; - main_litedramcore_phaseinjector0_rddata_re <= 1'd0; - main_litedramcore_phaseinjector1_command_storage <= 6'd0; - main_litedramcore_phaseinjector1_command_re <= 1'd0; - main_litedramcore_phaseinjector1_address_re <= 1'd0; - main_litedramcore_phaseinjector1_baddress_re <= 1'd0; - main_litedramcore_phaseinjector1_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector1_rddata_status <= 32'd0; - main_litedramcore_phaseinjector1_rddata_re <= 1'd0; - main_litedramcore_phaseinjector2_command_storage <= 6'd0; - main_litedramcore_phaseinjector2_command_re <= 1'd0; - main_litedramcore_phaseinjector2_address_re <= 1'd0; - main_litedramcore_phaseinjector2_baddress_re <= 1'd0; - main_litedramcore_phaseinjector2_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector2_rddata_status <= 32'd0; - main_litedramcore_phaseinjector2_rddata_re <= 1'd0; - main_litedramcore_phaseinjector3_command_storage <= 6'd0; - main_litedramcore_phaseinjector3_command_re <= 1'd0; - main_litedramcore_phaseinjector3_address_re <= 1'd0; - main_litedramcore_phaseinjector3_baddress_re <= 1'd0; - main_litedramcore_phaseinjector3_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector3_rddata_status <= 32'd0; - main_litedramcore_phaseinjector3_rddata_re <= 1'd0; - main_litedramcore_dfi_p0_address <= 15'd0; - main_litedramcore_dfi_p0_bank <= 3'd0; - main_litedramcore_dfi_p0_cas_n <= 1'd1; - main_litedramcore_dfi_p0_cs_n <= 1'd1; - main_litedramcore_dfi_p0_ras_n <= 1'd1; - main_litedramcore_dfi_p0_we_n <= 1'd1; - main_litedramcore_dfi_p0_wrdata_en <= 1'd0; - main_litedramcore_dfi_p0_rddata_en <= 1'd0; - main_litedramcore_dfi_p1_address <= 15'd0; - main_litedramcore_dfi_p1_bank <= 3'd0; - main_litedramcore_dfi_p1_cas_n <= 1'd1; - main_litedramcore_dfi_p1_cs_n <= 1'd1; - main_litedramcore_dfi_p1_ras_n <= 1'd1; - main_litedramcore_dfi_p1_we_n <= 1'd1; - main_litedramcore_dfi_p1_wrdata_en <= 1'd0; - main_litedramcore_dfi_p1_rddata_en <= 1'd0; - main_litedramcore_dfi_p2_address <= 15'd0; - main_litedramcore_dfi_p2_bank <= 3'd0; - main_litedramcore_dfi_p2_cas_n <= 1'd1; - main_litedramcore_dfi_p2_cs_n <= 1'd1; - main_litedramcore_dfi_p2_ras_n <= 1'd1; - main_litedramcore_dfi_p2_we_n <= 1'd1; - main_litedramcore_dfi_p2_wrdata_en <= 1'd0; - main_litedramcore_dfi_p2_rddata_en <= 1'd0; - main_litedramcore_dfi_p3_address <= 15'd0; - main_litedramcore_dfi_p3_bank <= 3'd0; - main_litedramcore_dfi_p3_cas_n <= 1'd1; - main_litedramcore_dfi_p3_cs_n <= 1'd1; - main_litedramcore_dfi_p3_ras_n <= 1'd1; - main_litedramcore_dfi_p3_we_n <= 1'd1; - main_litedramcore_dfi_p3_wrdata_en <= 1'd0; - main_litedramcore_dfi_p3_rddata_en <= 1'd0; - main_litedramcore_cmd_payload_a <= 15'd0; - main_litedramcore_cmd_payload_ba <= 3'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_timer_count1 <= 10'd781; - main_litedramcore_postponer_req_o <= 1'd0; - main_litedramcore_postponer_count <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd0; - main_litedramcore_sequencer_counter <= 6'd0; - main_litedramcore_sequencer_count <= 1'd0; - main_litedramcore_zqcs_timer_count1 <= 27'd99999999; - main_litedramcore_zqcs_executer_done <= 1'd0; - main_litedramcore_zqcs_executer_counter <= 5'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine0_row <= 15'd0; - main_litedramcore_bankmachine0_row_opened <= 1'd0; - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine0_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine0_trccon_ready <= 1'd0; - main_litedramcore_bankmachine0_trccon_count <= 3'd0; - main_litedramcore_bankmachine0_trascon_ready <= 1'd0; - main_litedramcore_bankmachine0_trascon_count <= 3'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine1_row <= 15'd0; - main_litedramcore_bankmachine1_row_opened <= 1'd0; - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine1_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine1_trccon_ready <= 1'd0; - main_litedramcore_bankmachine1_trccon_count <= 3'd0; - main_litedramcore_bankmachine1_trascon_ready <= 1'd0; - main_litedramcore_bankmachine1_trascon_count <= 3'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine2_row <= 15'd0; - main_litedramcore_bankmachine2_row_opened <= 1'd0; - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine2_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine2_trccon_ready <= 1'd0; - main_litedramcore_bankmachine2_trccon_count <= 3'd0; - main_litedramcore_bankmachine2_trascon_ready <= 1'd0; - main_litedramcore_bankmachine2_trascon_count <= 3'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine3_row <= 15'd0; - main_litedramcore_bankmachine3_row_opened <= 1'd0; - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine3_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine3_trccon_ready <= 1'd0; - main_litedramcore_bankmachine3_trccon_count <= 3'd0; - main_litedramcore_bankmachine3_trascon_ready <= 1'd0; - main_litedramcore_bankmachine3_trascon_count <= 3'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine4_row <= 15'd0; - main_litedramcore_bankmachine4_row_opened <= 1'd0; - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine4_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine4_trccon_ready <= 1'd0; - main_litedramcore_bankmachine4_trccon_count <= 3'd0; - main_litedramcore_bankmachine4_trascon_ready <= 1'd0; - main_litedramcore_bankmachine4_trascon_count <= 3'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine5_row <= 15'd0; - main_litedramcore_bankmachine5_row_opened <= 1'd0; - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine5_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine5_trccon_ready <= 1'd0; - main_litedramcore_bankmachine5_trccon_count <= 3'd0; - main_litedramcore_bankmachine5_trascon_ready <= 1'd0; - main_litedramcore_bankmachine5_trascon_count <= 3'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine6_row <= 15'd0; - main_litedramcore_bankmachine6_row_opened <= 1'd0; - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine6_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine6_trccon_ready <= 1'd0; - main_litedramcore_bankmachine6_trccon_count <= 3'd0; - main_litedramcore_bankmachine6_trascon_ready <= 1'd0; - main_litedramcore_bankmachine6_trascon_count <= 3'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= 22'd0; - main_litedramcore_bankmachine7_row <= 15'd0; - main_litedramcore_bankmachine7_row_opened <= 1'd0; - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine7_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine7_trccon_ready <= 1'd0; - main_litedramcore_bankmachine7_trccon_count <= 3'd0; - main_litedramcore_bankmachine7_trascon_ready <= 1'd0; - main_litedramcore_bankmachine7_trascon_count <= 3'd0; - main_litedramcore_choose_cmd_grant <= 3'd0; - main_litedramcore_choose_req_grant <= 3'd0; - main_litedramcore_trrdcon_ready <= 1'd0; - main_litedramcore_trrdcon_count <= 1'd0; - main_litedramcore_tfawcon_ready <= 1'd1; - main_litedramcore_tfawcon_window <= 5'd0; - main_litedramcore_tccdcon_ready <= 1'd0; - main_litedramcore_tccdcon_count <= 1'd0; - main_litedramcore_twtrcon_ready <= 1'd0; - main_litedramcore_twtrcon_count <= 3'd0; - main_litedramcore_time0 <= 5'd0; - main_litedramcore_time1 <= 4'd0; - main_init_done_storage <= 1'd0; - main_init_done_re <= 1'd0; - main_init_error_storage <= 1'd0; - main_init_error_re <= 1'd0; - builder_refresher_state <= 2'd0; - builder_bankmachine0_state <= 4'd0; - builder_bankmachine1_state <= 4'd0; - builder_bankmachine2_state <= 4'd0; - builder_bankmachine3_state <= 4'd0; - builder_bankmachine4_state <= 4'd0; - builder_bankmachine5_state <= 4'd0; - builder_bankmachine6_state <= 4'd0; - builder_bankmachine7_state <= 4'd0; - builder_multiplexer_state <= 4'd0; - builder_new_master_wdata_ready0 <= 1'd0; - builder_new_master_wdata_ready1 <= 1'd0; - builder_new_master_rdata_valid0 <= 1'd0; - builder_new_master_rdata_valid1 <= 1'd0; - builder_new_master_rdata_valid2 <= 1'd0; - builder_new_master_rdata_valid3 <= 1'd0; - builder_new_master_rdata_valid4 <= 1'd0; - builder_new_master_rdata_valid5 <= 1'd0; - builder_new_master_rdata_valid6 <= 1'd0; - builder_new_master_rdata_valid7 <= 1'd0; - builder_new_master_rdata_valid8 <= 1'd0; - builder_litedramcore_we <= 1'd0; - builder_state <= 2'd0; + a7ddrphy_rst_storage <= 1'd0; + a7ddrphy_rst_re <= 1'd0; + a7ddrphy_dly_sel_storage <= 2'd0; + a7ddrphy_dly_sel_re <= 1'd0; + a7ddrphy_half_sys8x_taps_storage <= 5'd8; + a7ddrphy_half_sys8x_taps_re <= 1'd0; + a7ddrphy_wlevel_en_storage <= 1'd0; + a7ddrphy_wlevel_en_re <= 1'd0; + a7ddrphy_rdphase_storage <= 2'd2; + a7ddrphy_rdphase_re <= 1'd0; + a7ddrphy_wrphase_storage <= 2'd3; + a7ddrphy_wrphase_re <= 1'd0; + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; + a7ddrphy_dqspattern_o1 <= 8'd0; + a7ddrphy_bitslip0_value0 <= 3'd7; + a7ddrphy_bitslip1_value0 <= 3'd7; + a7ddrphy_bitslip0_value1 <= 3'd7; + a7ddrphy_bitslip1_value1 <= 3'd7; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; + a7ddrphy_bitslip0_value2 <= 3'd7; + a7ddrphy_bitslip0_value3 <= 3'd7; + a7ddrphy_bitslip1_value2 <= 3'd7; + a7ddrphy_bitslip1_value3 <= 3'd7; + a7ddrphy_bitslip2_value0 <= 3'd7; + a7ddrphy_bitslip2_value1 <= 3'd7; + a7ddrphy_bitslip3_value0 <= 3'd7; + a7ddrphy_bitslip3_value1 <= 3'd7; + a7ddrphy_bitslip4_value0 <= 3'd7; + a7ddrphy_bitslip4_value1 <= 3'd7; + a7ddrphy_bitslip5_value0 <= 3'd7; + a7ddrphy_bitslip5_value1 <= 3'd7; + a7ddrphy_bitslip6_value0 <= 3'd7; + a7ddrphy_bitslip6_value1 <= 3'd7; + a7ddrphy_bitslip7_value0 <= 3'd7; + a7ddrphy_bitslip7_value1 <= 3'd7; + a7ddrphy_bitslip8_value0 <= 3'd7; + a7ddrphy_bitslip8_value1 <= 3'd7; + a7ddrphy_bitslip9_value0 <= 3'd7; + a7ddrphy_bitslip9_value1 <= 3'd7; + a7ddrphy_bitslip10_value0 <= 3'd7; + a7ddrphy_bitslip10_value1 <= 3'd7; + a7ddrphy_bitslip11_value0 <= 3'd7; + a7ddrphy_bitslip11_value1 <= 3'd7; + a7ddrphy_bitslip12_value0 <= 3'd7; + a7ddrphy_bitslip12_value1 <= 3'd7; + a7ddrphy_bitslip13_value0 <= 3'd7; + a7ddrphy_bitslip13_value1 <= 3'd7; + a7ddrphy_bitslip14_value0 <= 3'd7; + a7ddrphy_bitslip14_value1 <= 3'd7; + a7ddrphy_bitslip15_value0 <= 3'd7; + a7ddrphy_bitslip15_value1 <= 3'd7; + a7ddrphy_rddata_en_tappeddelayline0 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline1 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline2 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline3 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline4 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline5 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline6 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline7 <= 1'd0; + a7ddrphy_wrdata_en_tappeddelayline0 <= 1'd0; + a7ddrphy_wrdata_en_tappeddelayline1 <= 1'd0; + a7ddrphy_wrdata_en_tappeddelayline2 <= 1'd0; + litedramcore_storage <= 4'd1; + litedramcore_re <= 1'd0; + litedramcore_phaseinjector0_command_storage <= 6'd0; + litedramcore_phaseinjector0_command_re <= 1'd0; + litedramcore_phaseinjector0_address_re <= 1'd0; + litedramcore_phaseinjector0_baddress_re <= 1'd0; + litedramcore_phaseinjector0_wrdata_re <= 1'd0; + litedramcore_phaseinjector0_rddata_status <= 32'd0; + litedramcore_phaseinjector0_rddata_re <= 1'd0; + litedramcore_phaseinjector1_command_storage <= 6'd0; + litedramcore_phaseinjector1_command_re <= 1'd0; + litedramcore_phaseinjector1_address_re <= 1'd0; + litedramcore_phaseinjector1_baddress_re <= 1'd0; + litedramcore_phaseinjector1_wrdata_re <= 1'd0; + litedramcore_phaseinjector1_rddata_status <= 32'd0; + litedramcore_phaseinjector1_rddata_re <= 1'd0; + litedramcore_phaseinjector2_command_storage <= 6'd0; + litedramcore_phaseinjector2_command_re <= 1'd0; + litedramcore_phaseinjector2_address_re <= 1'd0; + litedramcore_phaseinjector2_baddress_re <= 1'd0; + litedramcore_phaseinjector2_wrdata_re <= 1'd0; + litedramcore_phaseinjector2_rddata_status <= 32'd0; + litedramcore_phaseinjector2_rddata_re <= 1'd0; + litedramcore_phaseinjector3_command_storage <= 6'd0; + litedramcore_phaseinjector3_command_re <= 1'd0; + litedramcore_phaseinjector3_address_re <= 1'd0; + litedramcore_phaseinjector3_baddress_re <= 1'd0; + litedramcore_phaseinjector3_wrdata_re <= 1'd0; + litedramcore_phaseinjector3_rddata_status <= 32'd0; + litedramcore_phaseinjector3_rddata_re <= 1'd0; + litedramcore_dfi_p0_address <= 15'd0; + litedramcore_dfi_p0_bank <= 3'd0; + litedramcore_dfi_p0_cas_n <= 1'd1; + litedramcore_dfi_p0_cs_n <= 1'd1; + litedramcore_dfi_p0_ras_n <= 1'd1; + litedramcore_dfi_p0_we_n <= 1'd1; + litedramcore_dfi_p0_wrdata_en <= 1'd0; + litedramcore_dfi_p0_rddata_en <= 1'd0; + litedramcore_dfi_p1_address <= 15'd0; + litedramcore_dfi_p1_bank <= 3'd0; + litedramcore_dfi_p1_cas_n <= 1'd1; + litedramcore_dfi_p1_cs_n <= 1'd1; + litedramcore_dfi_p1_ras_n <= 1'd1; + litedramcore_dfi_p1_we_n <= 1'd1; + litedramcore_dfi_p1_wrdata_en <= 1'd0; + litedramcore_dfi_p1_rddata_en <= 1'd0; + litedramcore_dfi_p2_address <= 15'd0; + litedramcore_dfi_p2_bank <= 3'd0; + litedramcore_dfi_p2_cas_n <= 1'd1; + litedramcore_dfi_p2_cs_n <= 1'd1; + litedramcore_dfi_p2_ras_n <= 1'd1; + litedramcore_dfi_p2_we_n <= 1'd1; + litedramcore_dfi_p2_wrdata_en <= 1'd0; + litedramcore_dfi_p2_rddata_en <= 1'd0; + litedramcore_dfi_p3_address <= 15'd0; + litedramcore_dfi_p3_bank <= 3'd0; + litedramcore_dfi_p3_cas_n <= 1'd1; + litedramcore_dfi_p3_cs_n <= 1'd1; + litedramcore_dfi_p3_ras_n <= 1'd1; + litedramcore_dfi_p3_we_n <= 1'd1; + litedramcore_dfi_p3_wrdata_en <= 1'd0; + litedramcore_dfi_p3_rddata_en <= 1'd0; + litedramcore_cmd_payload_a <= 15'd0; + litedramcore_cmd_payload_ba <= 3'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_timer_count1 <= 10'd781; + litedramcore_postponer_req_o <= 1'd0; + litedramcore_postponer_count <= 1'd0; + litedramcore_sequencer_done1 <= 1'd0; + litedramcore_sequencer_counter <= 6'd0; + litedramcore_sequencer_count <= 1'd0; + litedramcore_zqcs_timer_count1 <= 27'd99999999; + litedramcore_zqcs_executer_done <= 1'd0; + litedramcore_zqcs_executer_counter <= 5'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine0_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine0_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine0_row <= 15'd0; + litedramcore_bankmachine0_row_opened <= 1'd0; + litedramcore_bankmachine0_twtpcon_ready <= 1'd0; + litedramcore_bankmachine0_twtpcon_count <= 3'd0; + litedramcore_bankmachine0_trccon_ready <= 1'd0; + litedramcore_bankmachine0_trccon_count <= 3'd0; + litedramcore_bankmachine0_trascon_ready <= 1'd0; + litedramcore_bankmachine0_trascon_count <= 3'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine1_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine1_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine1_row <= 15'd0; + litedramcore_bankmachine1_row_opened <= 1'd0; + litedramcore_bankmachine1_twtpcon_ready <= 1'd0; + litedramcore_bankmachine1_twtpcon_count <= 3'd0; + litedramcore_bankmachine1_trccon_ready <= 1'd0; + litedramcore_bankmachine1_trccon_count <= 3'd0; + litedramcore_bankmachine1_trascon_ready <= 1'd0; + litedramcore_bankmachine1_trascon_count <= 3'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine2_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine2_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine2_row <= 15'd0; + litedramcore_bankmachine2_row_opened <= 1'd0; + litedramcore_bankmachine2_twtpcon_ready <= 1'd0; + litedramcore_bankmachine2_twtpcon_count <= 3'd0; + litedramcore_bankmachine2_trccon_ready <= 1'd0; + litedramcore_bankmachine2_trccon_count <= 3'd0; + litedramcore_bankmachine2_trascon_ready <= 1'd0; + litedramcore_bankmachine2_trascon_count <= 3'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine3_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine3_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine3_row <= 15'd0; + litedramcore_bankmachine3_row_opened <= 1'd0; + litedramcore_bankmachine3_twtpcon_ready <= 1'd0; + litedramcore_bankmachine3_twtpcon_count <= 3'd0; + litedramcore_bankmachine3_trccon_ready <= 1'd0; + litedramcore_bankmachine3_trccon_count <= 3'd0; + litedramcore_bankmachine3_trascon_ready <= 1'd0; + litedramcore_bankmachine3_trascon_count <= 3'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine4_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine4_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine4_row <= 15'd0; + litedramcore_bankmachine4_row_opened <= 1'd0; + litedramcore_bankmachine4_twtpcon_ready <= 1'd0; + litedramcore_bankmachine4_twtpcon_count <= 3'd0; + litedramcore_bankmachine4_trccon_ready <= 1'd0; + litedramcore_bankmachine4_trccon_count <= 3'd0; + litedramcore_bankmachine4_trascon_ready <= 1'd0; + litedramcore_bankmachine4_trascon_count <= 3'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine5_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine5_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine5_row <= 15'd0; + litedramcore_bankmachine5_row_opened <= 1'd0; + litedramcore_bankmachine5_twtpcon_ready <= 1'd0; + litedramcore_bankmachine5_twtpcon_count <= 3'd0; + litedramcore_bankmachine5_trccon_ready <= 1'd0; + litedramcore_bankmachine5_trccon_count <= 3'd0; + litedramcore_bankmachine5_trascon_ready <= 1'd0; + litedramcore_bankmachine5_trascon_count <= 3'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine6_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine6_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine6_row <= 15'd0; + litedramcore_bankmachine6_row_opened <= 1'd0; + litedramcore_bankmachine6_twtpcon_ready <= 1'd0; + litedramcore_bankmachine6_twtpcon_count <= 3'd0; + litedramcore_bankmachine6_trccon_ready <= 1'd0; + litedramcore_bankmachine6_trccon_count <= 3'd0; + litedramcore_bankmachine6_trascon_ready <= 1'd0; + litedramcore_bankmachine6_trascon_count <= 3'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine7_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine7_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= 22'd0; + litedramcore_bankmachine7_row <= 15'd0; + litedramcore_bankmachine7_row_opened <= 1'd0; + litedramcore_bankmachine7_twtpcon_ready <= 1'd0; + litedramcore_bankmachine7_twtpcon_count <= 3'd0; + litedramcore_bankmachine7_trccon_ready <= 1'd0; + litedramcore_bankmachine7_trccon_count <= 3'd0; + litedramcore_bankmachine7_trascon_ready <= 1'd0; + litedramcore_bankmachine7_trascon_count <= 3'd0; + litedramcore_choose_cmd_grant <= 3'd0; + litedramcore_choose_req_grant <= 3'd0; + litedramcore_trrdcon_ready <= 1'd0; + litedramcore_trrdcon_count <= 1'd0; + litedramcore_tfawcon_ready <= 1'd1; + litedramcore_tfawcon_window <= 5'd0; + litedramcore_tccdcon_ready <= 1'd0; + litedramcore_tccdcon_count <= 1'd0; + litedramcore_twtrcon_ready <= 1'd0; + litedramcore_twtrcon_count <= 3'd0; + litedramcore_time0 <= 5'd0; + litedramcore_time1 <= 4'd0; + init_done_storage <= 1'd0; + init_done_re <= 1'd0; + init_error_storage <= 1'd0; + init_error_re <= 1'd0; + litedramcore_we <= 1'd0; + litedramcore_refresher_state <= 2'd0; + litedramcore_bankmachine0_state <= 4'd0; + litedramcore_bankmachine1_state <= 4'd0; + litedramcore_bankmachine2_state <= 4'd0; + litedramcore_bankmachine3_state <= 4'd0; + litedramcore_bankmachine4_state <= 4'd0; + litedramcore_bankmachine5_state <= 4'd0; + litedramcore_bankmachine6_state <= 4'd0; + litedramcore_bankmachine7_state <= 4'd0; + litedramcore_multiplexer_state <= 4'd0; + litedramcore_new_master_wdata_ready0 <= 1'd0; + litedramcore_new_master_wdata_ready1 <= 1'd0; + litedramcore_new_master_rdata_valid0 <= 1'd0; + litedramcore_new_master_rdata_valid1 <= 1'd0; + litedramcore_new_master_rdata_valid2 <= 1'd0; + litedramcore_new_master_rdata_valid3 <= 1'd0; + litedramcore_new_master_rdata_valid4 <= 1'd0; + litedramcore_new_master_rdata_valid5 <= 1'd0; + litedramcore_new_master_rdata_valid6 <= 1'd0; + litedramcore_new_master_rdata_valid7 <= 1'd0; + litedramcore_new_master_rdata_valid8 <= 1'd0; + litedramcore_state <= 2'd0; end end @@ -13454,28 +13897,28 @@ end //------------------------------------------------------------------------------ BUFG BUFG( - .I(main_clkout0), - .O(main_clkout_buf0) + .I(clkout0), + .O(clkout_buf0) ); BUFG BUFG_1( - .I(main_clkout1), - .O(main_clkout_buf1) + .I(clkout1), + .O(clkout_buf1) ); BUFG BUFG_2( - .I(main_clkout2), - .O(main_clkout_buf2) + .I(clkout2), + .O(clkout_buf2) ); BUFG BUFG_3( - .I(main_clkout3), - .O(main_clkout_buf3) + .I(clkout3), + .O(clkout_buf3) ); IDELAYCTRL IDELAYCTRL( .REFCLK(iodelay_clk), - .RST(main_ic_reset) + .RST(ic_reset) ); OSERDESE2 #( @@ -13496,12 +13939,12 @@ OSERDESE2 #( .D7(1'd0), .D8(1'd1), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(main_a7ddrphy_sd_clk_se_nodelay) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_sd_clk_se_nodelay) ); OBUFDS OBUFDS( - .I(main_a7ddrphy_sd_clk_se_nodelay), + .I(a7ddrphy_sd_clk_se_nodelay), .O(ddram_clk_p), .OB(ddram_clk_n) ); @@ -13515,16 +13958,16 @@ OSERDESE2 #( ) OSERDESE2_1 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_reset_n), - .D2(main_a7ddrphy_dfi_p0_reset_n), - .D3(main_a7ddrphy_dfi_p1_reset_n), - .D4(main_a7ddrphy_dfi_p1_reset_n), - .D5(main_a7ddrphy_dfi_p2_reset_n), - .D6(main_a7ddrphy_dfi_p2_reset_n), - .D7(main_a7ddrphy_dfi_p3_reset_n), - .D8(main_a7ddrphy_dfi_p3_reset_n), + .D1(a7ddrphy_dfi_p0_reset_n), + .D2(a7ddrphy_dfi_p0_reset_n), + .D3(a7ddrphy_dfi_p1_reset_n), + .D4(a7ddrphy_dfi_p1_reset_n), + .D5(a7ddrphy_dfi_p2_reset_n), + .D6(a7ddrphy_dfi_p2_reset_n), + .D7(a7ddrphy_dfi_p3_reset_n), + .D8(a7ddrphy_dfi_p3_reset_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_reset_n) ); @@ -13537,16 +13980,16 @@ OSERDESE2 #( ) OSERDESE2_2 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_cs_n), - .D2(main_a7ddrphy_dfi_p0_cs_n), - .D3(main_a7ddrphy_dfi_p1_cs_n), - .D4(main_a7ddrphy_dfi_p1_cs_n), - .D5(main_a7ddrphy_dfi_p2_cs_n), - .D6(main_a7ddrphy_dfi_p2_cs_n), - .D7(main_a7ddrphy_dfi_p3_cs_n), - .D8(main_a7ddrphy_dfi_p3_cs_n), + .D1(a7ddrphy_dfi_p0_cs_n), + .D2(a7ddrphy_dfi_p0_cs_n), + .D3(a7ddrphy_dfi_p1_cs_n), + .D4(a7ddrphy_dfi_p1_cs_n), + .D5(a7ddrphy_dfi_p2_cs_n), + .D6(a7ddrphy_dfi_p2_cs_n), + .D7(a7ddrphy_dfi_p3_cs_n), + .D8(a7ddrphy_dfi_p3_cs_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_cs_n) ); @@ -13559,16 +14002,16 @@ OSERDESE2 #( ) OSERDESE2_3 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[0]), - .D2(main_a7ddrphy_dfi_p0_address[0]), - .D3(main_a7ddrphy_dfi_p1_address[0]), - .D4(main_a7ddrphy_dfi_p1_address[0]), - .D5(main_a7ddrphy_dfi_p2_address[0]), - .D6(main_a7ddrphy_dfi_p2_address[0]), - .D7(main_a7ddrphy_dfi_p3_address[0]), - .D8(main_a7ddrphy_dfi_p3_address[0]), + .D1(a7ddrphy_dfi_p0_address[0]), + .D2(a7ddrphy_dfi_p0_address[0]), + .D3(a7ddrphy_dfi_p1_address[0]), + .D4(a7ddrphy_dfi_p1_address[0]), + .D5(a7ddrphy_dfi_p2_address[0]), + .D6(a7ddrphy_dfi_p2_address[0]), + .D7(a7ddrphy_dfi_p3_address[0]), + .D8(a7ddrphy_dfi_p3_address[0]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[0]) ); @@ -13581,16 +14024,16 @@ OSERDESE2 #( ) OSERDESE2_4 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[1]), - .D2(main_a7ddrphy_dfi_p0_address[1]), - .D3(main_a7ddrphy_dfi_p1_address[1]), - .D4(main_a7ddrphy_dfi_p1_address[1]), - .D5(main_a7ddrphy_dfi_p2_address[1]), - .D6(main_a7ddrphy_dfi_p2_address[1]), - .D7(main_a7ddrphy_dfi_p3_address[1]), - .D8(main_a7ddrphy_dfi_p3_address[1]), + .D1(a7ddrphy_dfi_p0_address[1]), + .D2(a7ddrphy_dfi_p0_address[1]), + .D3(a7ddrphy_dfi_p1_address[1]), + .D4(a7ddrphy_dfi_p1_address[1]), + .D5(a7ddrphy_dfi_p2_address[1]), + .D6(a7ddrphy_dfi_p2_address[1]), + .D7(a7ddrphy_dfi_p3_address[1]), + .D8(a7ddrphy_dfi_p3_address[1]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[1]) ); @@ -13603,16 +14046,16 @@ OSERDESE2 #( ) OSERDESE2_5 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[2]), - .D2(main_a7ddrphy_dfi_p0_address[2]), - .D3(main_a7ddrphy_dfi_p1_address[2]), - .D4(main_a7ddrphy_dfi_p1_address[2]), - .D5(main_a7ddrphy_dfi_p2_address[2]), - .D6(main_a7ddrphy_dfi_p2_address[2]), - .D7(main_a7ddrphy_dfi_p3_address[2]), - .D8(main_a7ddrphy_dfi_p3_address[2]), + .D1(a7ddrphy_dfi_p0_address[2]), + .D2(a7ddrphy_dfi_p0_address[2]), + .D3(a7ddrphy_dfi_p1_address[2]), + .D4(a7ddrphy_dfi_p1_address[2]), + .D5(a7ddrphy_dfi_p2_address[2]), + .D6(a7ddrphy_dfi_p2_address[2]), + .D7(a7ddrphy_dfi_p3_address[2]), + .D8(a7ddrphy_dfi_p3_address[2]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[2]) ); @@ -13625,16 +14068,16 @@ OSERDESE2 #( ) OSERDESE2_6 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[3]), - .D2(main_a7ddrphy_dfi_p0_address[3]), - .D3(main_a7ddrphy_dfi_p1_address[3]), - .D4(main_a7ddrphy_dfi_p1_address[3]), - .D5(main_a7ddrphy_dfi_p2_address[3]), - .D6(main_a7ddrphy_dfi_p2_address[3]), - .D7(main_a7ddrphy_dfi_p3_address[3]), - .D8(main_a7ddrphy_dfi_p3_address[3]), + .D1(a7ddrphy_dfi_p0_address[3]), + .D2(a7ddrphy_dfi_p0_address[3]), + .D3(a7ddrphy_dfi_p1_address[3]), + .D4(a7ddrphy_dfi_p1_address[3]), + .D5(a7ddrphy_dfi_p2_address[3]), + .D6(a7ddrphy_dfi_p2_address[3]), + .D7(a7ddrphy_dfi_p3_address[3]), + .D8(a7ddrphy_dfi_p3_address[3]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[3]) ); @@ -13647,16 +14090,16 @@ OSERDESE2 #( ) OSERDESE2_7 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[4]), - .D2(main_a7ddrphy_dfi_p0_address[4]), - .D3(main_a7ddrphy_dfi_p1_address[4]), - .D4(main_a7ddrphy_dfi_p1_address[4]), - .D5(main_a7ddrphy_dfi_p2_address[4]), - .D6(main_a7ddrphy_dfi_p2_address[4]), - .D7(main_a7ddrphy_dfi_p3_address[4]), - .D8(main_a7ddrphy_dfi_p3_address[4]), + .D1(a7ddrphy_dfi_p0_address[4]), + .D2(a7ddrphy_dfi_p0_address[4]), + .D3(a7ddrphy_dfi_p1_address[4]), + .D4(a7ddrphy_dfi_p1_address[4]), + .D5(a7ddrphy_dfi_p2_address[4]), + .D6(a7ddrphy_dfi_p2_address[4]), + .D7(a7ddrphy_dfi_p3_address[4]), + .D8(a7ddrphy_dfi_p3_address[4]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[4]) ); @@ -13669,16 +14112,16 @@ OSERDESE2 #( ) OSERDESE2_8 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[5]), - .D2(main_a7ddrphy_dfi_p0_address[5]), - .D3(main_a7ddrphy_dfi_p1_address[5]), - .D4(main_a7ddrphy_dfi_p1_address[5]), - .D5(main_a7ddrphy_dfi_p2_address[5]), - .D6(main_a7ddrphy_dfi_p2_address[5]), - .D7(main_a7ddrphy_dfi_p3_address[5]), - .D8(main_a7ddrphy_dfi_p3_address[5]), + .D1(a7ddrphy_dfi_p0_address[5]), + .D2(a7ddrphy_dfi_p0_address[5]), + .D3(a7ddrphy_dfi_p1_address[5]), + .D4(a7ddrphy_dfi_p1_address[5]), + .D5(a7ddrphy_dfi_p2_address[5]), + .D6(a7ddrphy_dfi_p2_address[5]), + .D7(a7ddrphy_dfi_p3_address[5]), + .D8(a7ddrphy_dfi_p3_address[5]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[5]) ); @@ -13691,16 +14134,16 @@ OSERDESE2 #( ) OSERDESE2_9 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[6]), - .D2(main_a7ddrphy_dfi_p0_address[6]), - .D3(main_a7ddrphy_dfi_p1_address[6]), - .D4(main_a7ddrphy_dfi_p1_address[6]), - .D5(main_a7ddrphy_dfi_p2_address[6]), - .D6(main_a7ddrphy_dfi_p2_address[6]), - .D7(main_a7ddrphy_dfi_p3_address[6]), - .D8(main_a7ddrphy_dfi_p3_address[6]), + .D1(a7ddrphy_dfi_p0_address[6]), + .D2(a7ddrphy_dfi_p0_address[6]), + .D3(a7ddrphy_dfi_p1_address[6]), + .D4(a7ddrphy_dfi_p1_address[6]), + .D5(a7ddrphy_dfi_p2_address[6]), + .D6(a7ddrphy_dfi_p2_address[6]), + .D7(a7ddrphy_dfi_p3_address[6]), + .D8(a7ddrphy_dfi_p3_address[6]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[6]) ); @@ -13713,16 +14156,16 @@ OSERDESE2 #( ) OSERDESE2_10 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[7]), - .D2(main_a7ddrphy_dfi_p0_address[7]), - .D3(main_a7ddrphy_dfi_p1_address[7]), - .D4(main_a7ddrphy_dfi_p1_address[7]), - .D5(main_a7ddrphy_dfi_p2_address[7]), - .D6(main_a7ddrphy_dfi_p2_address[7]), - .D7(main_a7ddrphy_dfi_p3_address[7]), - .D8(main_a7ddrphy_dfi_p3_address[7]), + .D1(a7ddrphy_dfi_p0_address[7]), + .D2(a7ddrphy_dfi_p0_address[7]), + .D3(a7ddrphy_dfi_p1_address[7]), + .D4(a7ddrphy_dfi_p1_address[7]), + .D5(a7ddrphy_dfi_p2_address[7]), + .D6(a7ddrphy_dfi_p2_address[7]), + .D7(a7ddrphy_dfi_p3_address[7]), + .D8(a7ddrphy_dfi_p3_address[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[7]) ); @@ -13735,16 +14178,16 @@ OSERDESE2 #( ) OSERDESE2_11 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[8]), - .D2(main_a7ddrphy_dfi_p0_address[8]), - .D3(main_a7ddrphy_dfi_p1_address[8]), - .D4(main_a7ddrphy_dfi_p1_address[8]), - .D5(main_a7ddrphy_dfi_p2_address[8]), - .D6(main_a7ddrphy_dfi_p2_address[8]), - .D7(main_a7ddrphy_dfi_p3_address[8]), - .D8(main_a7ddrphy_dfi_p3_address[8]), + .D1(a7ddrphy_dfi_p0_address[8]), + .D2(a7ddrphy_dfi_p0_address[8]), + .D3(a7ddrphy_dfi_p1_address[8]), + .D4(a7ddrphy_dfi_p1_address[8]), + .D5(a7ddrphy_dfi_p2_address[8]), + .D6(a7ddrphy_dfi_p2_address[8]), + .D7(a7ddrphy_dfi_p3_address[8]), + .D8(a7ddrphy_dfi_p3_address[8]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[8]) ); @@ -13757,16 +14200,16 @@ OSERDESE2 #( ) OSERDESE2_12 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[9]), - .D2(main_a7ddrphy_dfi_p0_address[9]), - .D3(main_a7ddrphy_dfi_p1_address[9]), - .D4(main_a7ddrphy_dfi_p1_address[9]), - .D5(main_a7ddrphy_dfi_p2_address[9]), - .D6(main_a7ddrphy_dfi_p2_address[9]), - .D7(main_a7ddrphy_dfi_p3_address[9]), - .D8(main_a7ddrphy_dfi_p3_address[9]), + .D1(a7ddrphy_dfi_p0_address[9]), + .D2(a7ddrphy_dfi_p0_address[9]), + .D3(a7ddrphy_dfi_p1_address[9]), + .D4(a7ddrphy_dfi_p1_address[9]), + .D5(a7ddrphy_dfi_p2_address[9]), + .D6(a7ddrphy_dfi_p2_address[9]), + .D7(a7ddrphy_dfi_p3_address[9]), + .D8(a7ddrphy_dfi_p3_address[9]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[9]) ); @@ -13779,16 +14222,16 @@ OSERDESE2 #( ) OSERDESE2_13 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[10]), - .D2(main_a7ddrphy_dfi_p0_address[10]), - .D3(main_a7ddrphy_dfi_p1_address[10]), - .D4(main_a7ddrphy_dfi_p1_address[10]), - .D5(main_a7ddrphy_dfi_p2_address[10]), - .D6(main_a7ddrphy_dfi_p2_address[10]), - .D7(main_a7ddrphy_dfi_p3_address[10]), - .D8(main_a7ddrphy_dfi_p3_address[10]), + .D1(a7ddrphy_dfi_p0_address[10]), + .D2(a7ddrphy_dfi_p0_address[10]), + .D3(a7ddrphy_dfi_p1_address[10]), + .D4(a7ddrphy_dfi_p1_address[10]), + .D5(a7ddrphy_dfi_p2_address[10]), + .D6(a7ddrphy_dfi_p2_address[10]), + .D7(a7ddrphy_dfi_p3_address[10]), + .D8(a7ddrphy_dfi_p3_address[10]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[10]) ); @@ -13801,16 +14244,16 @@ OSERDESE2 #( ) OSERDESE2_14 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[11]), - .D2(main_a7ddrphy_dfi_p0_address[11]), - .D3(main_a7ddrphy_dfi_p1_address[11]), - .D4(main_a7ddrphy_dfi_p1_address[11]), - .D5(main_a7ddrphy_dfi_p2_address[11]), - .D6(main_a7ddrphy_dfi_p2_address[11]), - .D7(main_a7ddrphy_dfi_p3_address[11]), - .D8(main_a7ddrphy_dfi_p3_address[11]), + .D1(a7ddrphy_dfi_p0_address[11]), + .D2(a7ddrphy_dfi_p0_address[11]), + .D3(a7ddrphy_dfi_p1_address[11]), + .D4(a7ddrphy_dfi_p1_address[11]), + .D5(a7ddrphy_dfi_p2_address[11]), + .D6(a7ddrphy_dfi_p2_address[11]), + .D7(a7ddrphy_dfi_p3_address[11]), + .D8(a7ddrphy_dfi_p3_address[11]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[11]) ); @@ -13823,16 +14266,16 @@ OSERDESE2 #( ) OSERDESE2_15 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[12]), - .D2(main_a7ddrphy_dfi_p0_address[12]), - .D3(main_a7ddrphy_dfi_p1_address[12]), - .D4(main_a7ddrphy_dfi_p1_address[12]), - .D5(main_a7ddrphy_dfi_p2_address[12]), - .D6(main_a7ddrphy_dfi_p2_address[12]), - .D7(main_a7ddrphy_dfi_p3_address[12]), - .D8(main_a7ddrphy_dfi_p3_address[12]), + .D1(a7ddrphy_dfi_p0_address[12]), + .D2(a7ddrphy_dfi_p0_address[12]), + .D3(a7ddrphy_dfi_p1_address[12]), + .D4(a7ddrphy_dfi_p1_address[12]), + .D5(a7ddrphy_dfi_p2_address[12]), + .D6(a7ddrphy_dfi_p2_address[12]), + .D7(a7ddrphy_dfi_p3_address[12]), + .D8(a7ddrphy_dfi_p3_address[12]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[12]) ); @@ -13845,16 +14288,16 @@ OSERDESE2 #( ) OSERDESE2_16 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[13]), - .D2(main_a7ddrphy_dfi_p0_address[13]), - .D3(main_a7ddrphy_dfi_p1_address[13]), - .D4(main_a7ddrphy_dfi_p1_address[13]), - .D5(main_a7ddrphy_dfi_p2_address[13]), - .D6(main_a7ddrphy_dfi_p2_address[13]), - .D7(main_a7ddrphy_dfi_p3_address[13]), - .D8(main_a7ddrphy_dfi_p3_address[13]), + .D1(a7ddrphy_dfi_p0_address[13]), + .D2(a7ddrphy_dfi_p0_address[13]), + .D3(a7ddrphy_dfi_p1_address[13]), + .D4(a7ddrphy_dfi_p1_address[13]), + .D5(a7ddrphy_dfi_p2_address[13]), + .D6(a7ddrphy_dfi_p2_address[13]), + .D7(a7ddrphy_dfi_p3_address[13]), + .D8(a7ddrphy_dfi_p3_address[13]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[13]) ); @@ -13867,16 +14310,16 @@ OSERDESE2 #( ) OSERDESE2_17 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[14]), - .D2(main_a7ddrphy_dfi_p0_address[14]), - .D3(main_a7ddrphy_dfi_p1_address[14]), - .D4(main_a7ddrphy_dfi_p1_address[14]), - .D5(main_a7ddrphy_dfi_p2_address[14]), - .D6(main_a7ddrphy_dfi_p2_address[14]), - .D7(main_a7ddrphy_dfi_p3_address[14]), - .D8(main_a7ddrphy_dfi_p3_address[14]), + .D1(a7ddrphy_dfi_p0_address[14]), + .D2(a7ddrphy_dfi_p0_address[14]), + .D3(a7ddrphy_dfi_p1_address[14]), + .D4(a7ddrphy_dfi_p1_address[14]), + .D5(a7ddrphy_dfi_p2_address[14]), + .D6(a7ddrphy_dfi_p2_address[14]), + .D7(a7ddrphy_dfi_p3_address[14]), + .D8(a7ddrphy_dfi_p3_address[14]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[14]) ); @@ -13889,17 +14332,17 @@ OSERDESE2 #( ) OSERDESE2_18 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_bank[0]), - .D2(main_a7ddrphy_dfi_p0_bank[0]), - .D3(main_a7ddrphy_dfi_p1_bank[0]), - .D4(main_a7ddrphy_dfi_p1_bank[0]), - .D5(main_a7ddrphy_dfi_p2_bank[0]), - .D6(main_a7ddrphy_dfi_p2_bank[0]), - .D7(main_a7ddrphy_dfi_p3_bank[0]), - .D8(main_a7ddrphy_dfi_p3_bank[0]), + .D1(a7ddrphy_dfi_p0_bank[0]), + .D2(a7ddrphy_dfi_p0_bank[0]), + .D3(a7ddrphy_dfi_p1_bank[0]), + .D4(a7ddrphy_dfi_p1_bank[0]), + .D5(a7ddrphy_dfi_p2_bank[0]), + .D6(a7ddrphy_dfi_p2_bank[0]), + .D7(a7ddrphy_dfi_p3_bank[0]), + .D8(a7ddrphy_dfi_p3_bank[0]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(ddram_ba[0]) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_pads_ba[0]) ); OSERDESE2 #( @@ -13911,17 +14354,17 @@ OSERDESE2 #( ) OSERDESE2_19 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_bank[1]), - .D2(main_a7ddrphy_dfi_p0_bank[1]), - .D3(main_a7ddrphy_dfi_p1_bank[1]), - .D4(main_a7ddrphy_dfi_p1_bank[1]), - .D5(main_a7ddrphy_dfi_p2_bank[1]), - .D6(main_a7ddrphy_dfi_p2_bank[1]), - .D7(main_a7ddrphy_dfi_p3_bank[1]), - .D8(main_a7ddrphy_dfi_p3_bank[1]), + .D1(a7ddrphy_dfi_p0_bank[1]), + .D2(a7ddrphy_dfi_p0_bank[1]), + .D3(a7ddrphy_dfi_p1_bank[1]), + .D4(a7ddrphy_dfi_p1_bank[1]), + .D5(a7ddrphy_dfi_p2_bank[1]), + .D6(a7ddrphy_dfi_p2_bank[1]), + .D7(a7ddrphy_dfi_p3_bank[1]), + .D8(a7ddrphy_dfi_p3_bank[1]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(ddram_ba[1]) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_pads_ba[1]) ); OSERDESE2 #( @@ -13933,17 +14376,17 @@ OSERDESE2 #( ) OSERDESE2_20 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_bank[2]), - .D2(main_a7ddrphy_dfi_p0_bank[2]), - .D3(main_a7ddrphy_dfi_p1_bank[2]), - .D4(main_a7ddrphy_dfi_p1_bank[2]), - .D5(main_a7ddrphy_dfi_p2_bank[2]), - .D6(main_a7ddrphy_dfi_p2_bank[2]), - .D7(main_a7ddrphy_dfi_p3_bank[2]), - .D8(main_a7ddrphy_dfi_p3_bank[2]), + .D1(a7ddrphy_dfi_p0_bank[2]), + .D2(a7ddrphy_dfi_p0_bank[2]), + .D3(a7ddrphy_dfi_p1_bank[2]), + .D4(a7ddrphy_dfi_p1_bank[2]), + .D5(a7ddrphy_dfi_p2_bank[2]), + .D6(a7ddrphy_dfi_p2_bank[2]), + .D7(a7ddrphy_dfi_p3_bank[2]), + .D8(a7ddrphy_dfi_p3_bank[2]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(ddram_ba[2]) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_pads_ba[2]) ); OSERDESE2 #( @@ -13955,16 +14398,16 @@ OSERDESE2 #( ) OSERDESE2_21 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_ras_n), - .D2(main_a7ddrphy_dfi_p0_ras_n), - .D3(main_a7ddrphy_dfi_p1_ras_n), - .D4(main_a7ddrphy_dfi_p1_ras_n), - .D5(main_a7ddrphy_dfi_p2_ras_n), - .D6(main_a7ddrphy_dfi_p2_ras_n), - .D7(main_a7ddrphy_dfi_p3_ras_n), - .D8(main_a7ddrphy_dfi_p3_ras_n), + .D1(a7ddrphy_dfi_p0_ras_n), + .D2(a7ddrphy_dfi_p0_ras_n), + .D3(a7ddrphy_dfi_p1_ras_n), + .D4(a7ddrphy_dfi_p1_ras_n), + .D5(a7ddrphy_dfi_p2_ras_n), + .D6(a7ddrphy_dfi_p2_ras_n), + .D7(a7ddrphy_dfi_p3_ras_n), + .D8(a7ddrphy_dfi_p3_ras_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_ras_n) ); @@ -13977,16 +14420,16 @@ OSERDESE2 #( ) OSERDESE2_22 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_cas_n), - .D2(main_a7ddrphy_dfi_p0_cas_n), - .D3(main_a7ddrphy_dfi_p1_cas_n), - .D4(main_a7ddrphy_dfi_p1_cas_n), - .D5(main_a7ddrphy_dfi_p2_cas_n), - .D6(main_a7ddrphy_dfi_p2_cas_n), - .D7(main_a7ddrphy_dfi_p3_cas_n), - .D8(main_a7ddrphy_dfi_p3_cas_n), + .D1(a7ddrphy_dfi_p0_cas_n), + .D2(a7ddrphy_dfi_p0_cas_n), + .D3(a7ddrphy_dfi_p1_cas_n), + .D4(a7ddrphy_dfi_p1_cas_n), + .D5(a7ddrphy_dfi_p2_cas_n), + .D6(a7ddrphy_dfi_p2_cas_n), + .D7(a7ddrphy_dfi_p3_cas_n), + .D8(a7ddrphy_dfi_p3_cas_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_cas_n) ); @@ -13999,16 +14442,16 @@ OSERDESE2 #( ) OSERDESE2_23 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_we_n), - .D2(main_a7ddrphy_dfi_p0_we_n), - .D3(main_a7ddrphy_dfi_p1_we_n), - .D4(main_a7ddrphy_dfi_p1_we_n), - .D5(main_a7ddrphy_dfi_p2_we_n), - .D6(main_a7ddrphy_dfi_p2_we_n), - .D7(main_a7ddrphy_dfi_p3_we_n), - .D8(main_a7ddrphy_dfi_p3_we_n), + .D1(a7ddrphy_dfi_p0_we_n), + .D2(a7ddrphy_dfi_p0_we_n), + .D3(a7ddrphy_dfi_p1_we_n), + .D4(a7ddrphy_dfi_p1_we_n), + .D5(a7ddrphy_dfi_p2_we_n), + .D6(a7ddrphy_dfi_p2_we_n), + .D7(a7ddrphy_dfi_p3_we_n), + .D8(a7ddrphy_dfi_p3_we_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_we_n) ); @@ -14021,16 +14464,16 @@ OSERDESE2 #( ) OSERDESE2_24 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_cke), - .D2(main_a7ddrphy_dfi_p0_cke), - .D3(main_a7ddrphy_dfi_p1_cke), - .D4(main_a7ddrphy_dfi_p1_cke), - .D5(main_a7ddrphy_dfi_p2_cke), - .D6(main_a7ddrphy_dfi_p2_cke), - .D7(main_a7ddrphy_dfi_p3_cke), - .D8(main_a7ddrphy_dfi_p3_cke), + .D1(a7ddrphy_dfi_p0_cke), + .D2(a7ddrphy_dfi_p0_cke), + .D3(a7ddrphy_dfi_p1_cke), + .D4(a7ddrphy_dfi_p1_cke), + .D5(a7ddrphy_dfi_p2_cke), + .D6(a7ddrphy_dfi_p2_cke), + .D7(a7ddrphy_dfi_p3_cke), + .D8(a7ddrphy_dfi_p3_cke), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_cke) ); @@ -14043,16 +14486,16 @@ OSERDESE2 #( ) OSERDESE2_25 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_odt), - .D2(main_a7ddrphy_dfi_p0_odt), - .D3(main_a7ddrphy_dfi_p1_odt), - .D4(main_a7ddrphy_dfi_p1_odt), - .D5(main_a7ddrphy_dfi_p2_odt), - .D6(main_a7ddrphy_dfi_p2_odt), - .D7(main_a7ddrphy_dfi_p3_odt), - .D8(main_a7ddrphy_dfi_p3_odt), + .D1(a7ddrphy_dfi_p0_odt), + .D2(a7ddrphy_dfi_p0_odt), + .D3(a7ddrphy_dfi_p1_odt), + .D4(a7ddrphy_dfi_p1_odt), + .D5(a7ddrphy_dfi_p2_odt), + .D6(a7ddrphy_dfi_p2_odt), + .D7(a7ddrphy_dfi_p3_odt), + .D8(a7ddrphy_dfi_p3_odt), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_odt) ); @@ -14065,26 +14508,26 @@ OSERDESE2 #( ) OSERDESE2_26 ( .CLK(sys4x_dqs_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip00[0]), - .D2(main_a7ddrphy_bitslip00[1]), - .D3(main_a7ddrphy_bitslip00[2]), - .D4(main_a7ddrphy_bitslip00[3]), - .D5(main_a7ddrphy_bitslip00[4]), - .D6(main_a7ddrphy_bitslip00[5]), - .D7(main_a7ddrphy_bitslip00[6]), - .D8(main_a7ddrphy_bitslip00[7]), + .D1(a7ddrphy_bitslip00[0]), + .D2(a7ddrphy_bitslip00[1]), + .D3(a7ddrphy_bitslip00[2]), + .D4(a7ddrphy_bitslip00[3]), + .D5(a7ddrphy_bitslip00[4]), + .D6(a7ddrphy_bitslip00[5]), + .D7(a7ddrphy_bitslip00[6]), + .D8(a7ddrphy_bitslip00[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OFB(main_a7ddrphy0), - .OQ(main_a7ddrphy_dqs_o_no_delay0), - .TQ(main_a7ddrphy_dqs_t0) + .OFB(a7ddrphy0), + .OQ(a7ddrphy_dqs_o_no_delay0), + .TQ(a7ddrphy_dqs_t0) ); IOBUFDS IOBUFDS( - .I(main_a7ddrphy_dqs_o_no_delay0), - .T(main_a7ddrphy_dqs_t0), + .I(a7ddrphy_dqs_o_no_delay0), + .T(a7ddrphy_dqs_t0), .IO(ddram_dqs_p[0]), .IOB(ddram_dqs_n[0]) ); @@ -14098,26 +14541,26 @@ OSERDESE2 #( ) OSERDESE2_27 ( .CLK(sys4x_dqs_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip10[0]), - .D2(main_a7ddrphy_bitslip10[1]), - .D3(main_a7ddrphy_bitslip10[2]), - .D4(main_a7ddrphy_bitslip10[3]), - .D5(main_a7ddrphy_bitslip10[4]), - .D6(main_a7ddrphy_bitslip10[5]), - .D7(main_a7ddrphy_bitslip10[6]), - .D8(main_a7ddrphy_bitslip10[7]), + .D1(a7ddrphy_bitslip10[0]), + .D2(a7ddrphy_bitslip10[1]), + .D3(a7ddrphy_bitslip10[2]), + .D4(a7ddrphy_bitslip10[3]), + .D5(a7ddrphy_bitslip10[4]), + .D6(a7ddrphy_bitslip10[5]), + .D7(a7ddrphy_bitslip10[6]), + .D8(a7ddrphy_bitslip10[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OFB(main_a7ddrphy1), - .OQ(main_a7ddrphy_dqs_o_no_delay1), - .TQ(main_a7ddrphy_dqs_t1) + .OFB(a7ddrphy1), + .OQ(a7ddrphy_dqs_o_no_delay1), + .TQ(a7ddrphy_dqs_t1) ); IOBUFDS IOBUFDS_1( - .I(main_a7ddrphy_dqs_o_no_delay1), - .T(main_a7ddrphy_dqs_t1), + .I(a7ddrphy_dqs_o_no_delay1), + .T(a7ddrphy_dqs_t1), .IO(ddram_dqs_p[1]), .IOB(ddram_dqs_n[1]) ); @@ -14131,16 +14574,16 @@ OSERDESE2 #( ) OSERDESE2_28 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip01[0]), - .D2(main_a7ddrphy_bitslip01[1]), - .D3(main_a7ddrphy_bitslip01[2]), - .D4(main_a7ddrphy_bitslip01[3]), - .D5(main_a7ddrphy_bitslip01[4]), - .D6(main_a7ddrphy_bitslip01[5]), - .D7(main_a7ddrphy_bitslip01[6]), - .D8(main_a7ddrphy_bitslip01[7]), + .D1(a7ddrphy_bitslip01[0]), + .D2(a7ddrphy_bitslip01[1]), + .D3(a7ddrphy_bitslip01[2]), + .D4(a7ddrphy_bitslip01[3]), + .D5(a7ddrphy_bitslip01[4]), + .D6(a7ddrphy_bitslip01[5]), + .D7(a7ddrphy_bitslip01[6]), + .D8(a7ddrphy_bitslip01[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_dm[0]) ); @@ -14153,16 +14596,16 @@ OSERDESE2 #( ) OSERDESE2_29 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip11[0]), - .D2(main_a7ddrphy_bitslip11[1]), - .D3(main_a7ddrphy_bitslip11[2]), - .D4(main_a7ddrphy_bitslip11[3]), - .D5(main_a7ddrphy_bitslip11[4]), - .D6(main_a7ddrphy_bitslip11[5]), - .D7(main_a7ddrphy_bitslip11[6]), - .D8(main_a7ddrphy_bitslip11[7]), + .D1(a7ddrphy_bitslip11[0]), + .D2(a7ddrphy_bitslip11[1]), + .D3(a7ddrphy_bitslip11[2]), + .D4(a7ddrphy_bitslip11[3]), + .D5(a7ddrphy_bitslip11[4]), + .D6(a7ddrphy_bitslip11[5]), + .D7(a7ddrphy_bitslip11[6]), + .D8(a7ddrphy_bitslip11[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_dm[1]) ); @@ -14175,20 +14618,20 @@ OSERDESE2 #( ) OSERDESE2_30 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip02[0]), - .D2(main_a7ddrphy_bitslip02[1]), - .D3(main_a7ddrphy_bitslip02[2]), - .D4(main_a7ddrphy_bitslip02[3]), - .D5(main_a7ddrphy_bitslip02[4]), - .D6(main_a7ddrphy_bitslip02[5]), - .D7(main_a7ddrphy_bitslip02[6]), - .D8(main_a7ddrphy_bitslip02[7]), + .D1(a7ddrphy_bitslip02[0]), + .D2(a7ddrphy_bitslip02[1]), + .D3(a7ddrphy_bitslip02[2]), + .D4(a7ddrphy_bitslip02[3]), + .D5(a7ddrphy_bitslip02[4]), + .D6(a7ddrphy_bitslip02[5]), + .D7(a7ddrphy_bitslip02[6]), + .D8(a7ddrphy_bitslip02[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay0), - .TQ(main_a7ddrphy_dq_t0) + .OQ(a7ddrphy_dq_o_nodelay0), + .TQ(a7ddrphy_dq_t0) ); ISERDESE2 #( @@ -14204,16 +14647,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed0), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip03[7]), - .Q2(main_a7ddrphy_bitslip03[6]), - .Q3(main_a7ddrphy_bitslip03[5]), - .Q4(main_a7ddrphy_bitslip03[4]), - .Q5(main_a7ddrphy_bitslip03[3]), - .Q6(main_a7ddrphy_bitslip03[2]), - .Q7(main_a7ddrphy_bitslip03[1]), - .Q8(main_a7ddrphy_bitslip03[0]) + .DDLY(a7ddrphy_dq_i_delayed0), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip03[7]), + .Q2(a7ddrphy_bitslip03[6]), + .Q3(a7ddrphy_bitslip03[5]), + .Q4(a7ddrphy_bitslip03[4]), + .Q5(a7ddrphy_bitslip03[3]), + .Q6(a7ddrphy_bitslip03[2]), + .Q7(a7ddrphy_bitslip03[1]), + .Q8(a7ddrphy_bitslip03[0]) ); IDELAYE2 #( @@ -14227,19 +14670,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay0), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay0), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed0) + .DATAOUT(a7ddrphy_dq_i_delayed0) ); IOBUF IOBUF( - .I(main_a7ddrphy_dq_o_nodelay0), - .T(main_a7ddrphy_dq_t0), + .I(a7ddrphy_dq_o_nodelay0), + .T(a7ddrphy_dq_t0), .IO(ddram_dq[0]), - .O(main_a7ddrphy_dq_i_nodelay0) + .O(a7ddrphy_dq_i_nodelay0) ); OSERDESE2 #( @@ -14251,20 +14694,20 @@ OSERDESE2 #( ) OSERDESE2_31 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip12[0]), - .D2(main_a7ddrphy_bitslip12[1]), - .D3(main_a7ddrphy_bitslip12[2]), - .D4(main_a7ddrphy_bitslip12[3]), - .D5(main_a7ddrphy_bitslip12[4]), - .D6(main_a7ddrphy_bitslip12[5]), - .D7(main_a7ddrphy_bitslip12[6]), - .D8(main_a7ddrphy_bitslip12[7]), + .D1(a7ddrphy_bitslip12[0]), + .D2(a7ddrphy_bitslip12[1]), + .D3(a7ddrphy_bitslip12[2]), + .D4(a7ddrphy_bitslip12[3]), + .D5(a7ddrphy_bitslip12[4]), + .D6(a7ddrphy_bitslip12[5]), + .D7(a7ddrphy_bitslip12[6]), + .D8(a7ddrphy_bitslip12[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay1), - .TQ(main_a7ddrphy_dq_t1) + .OQ(a7ddrphy_dq_o_nodelay1), + .TQ(a7ddrphy_dq_t1) ); ISERDESE2 #( @@ -14280,16 +14723,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip13[7]), - .Q2(main_a7ddrphy_bitslip13[6]), - .Q3(main_a7ddrphy_bitslip13[5]), - .Q4(main_a7ddrphy_bitslip13[4]), - .Q5(main_a7ddrphy_bitslip13[3]), - .Q6(main_a7ddrphy_bitslip13[2]), - .Q7(main_a7ddrphy_bitslip13[1]), - .Q8(main_a7ddrphy_bitslip13[0]) + .DDLY(a7ddrphy_dq_i_delayed1), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip13[7]), + .Q2(a7ddrphy_bitslip13[6]), + .Q3(a7ddrphy_bitslip13[5]), + .Q4(a7ddrphy_bitslip13[4]), + .Q5(a7ddrphy_bitslip13[3]), + .Q6(a7ddrphy_bitslip13[2]), + .Q7(a7ddrphy_bitslip13[1]), + .Q8(a7ddrphy_bitslip13[0]) ); IDELAYE2 #( @@ -14303,19 +14746,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_1 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay1), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay1), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed1) + .DATAOUT(a7ddrphy_dq_i_delayed1) ); IOBUF IOBUF_1( - .I(main_a7ddrphy_dq_o_nodelay1), - .T(main_a7ddrphy_dq_t1), + .I(a7ddrphy_dq_o_nodelay1), + .T(a7ddrphy_dq_t1), .IO(ddram_dq[1]), - .O(main_a7ddrphy_dq_i_nodelay1) + .O(a7ddrphy_dq_i_nodelay1) ); OSERDESE2 #( @@ -14327,20 +14770,20 @@ OSERDESE2 #( ) OSERDESE2_32 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip20[0]), - .D2(main_a7ddrphy_bitslip20[1]), - .D3(main_a7ddrphy_bitslip20[2]), - .D4(main_a7ddrphy_bitslip20[3]), - .D5(main_a7ddrphy_bitslip20[4]), - .D6(main_a7ddrphy_bitslip20[5]), - .D7(main_a7ddrphy_bitslip20[6]), - .D8(main_a7ddrphy_bitslip20[7]), + .D1(a7ddrphy_bitslip20[0]), + .D2(a7ddrphy_bitslip20[1]), + .D3(a7ddrphy_bitslip20[2]), + .D4(a7ddrphy_bitslip20[3]), + .D5(a7ddrphy_bitslip20[4]), + .D6(a7ddrphy_bitslip20[5]), + .D7(a7ddrphy_bitslip20[6]), + .D8(a7ddrphy_bitslip20[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay2), - .TQ(main_a7ddrphy_dq_t2) + .OQ(a7ddrphy_dq_o_nodelay2), + .TQ(a7ddrphy_dq_t2) ); ISERDESE2 #( @@ -14356,16 +14799,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed2), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip21[7]), - .Q2(main_a7ddrphy_bitslip21[6]), - .Q3(main_a7ddrphy_bitslip21[5]), - .Q4(main_a7ddrphy_bitslip21[4]), - .Q5(main_a7ddrphy_bitslip21[3]), - .Q6(main_a7ddrphy_bitslip21[2]), - .Q7(main_a7ddrphy_bitslip21[1]), - .Q8(main_a7ddrphy_bitslip21[0]) + .DDLY(a7ddrphy_dq_i_delayed2), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip21[7]), + .Q2(a7ddrphy_bitslip21[6]), + .Q3(a7ddrphy_bitslip21[5]), + .Q4(a7ddrphy_bitslip21[4]), + .Q5(a7ddrphy_bitslip21[3]), + .Q6(a7ddrphy_bitslip21[2]), + .Q7(a7ddrphy_bitslip21[1]), + .Q8(a7ddrphy_bitslip21[0]) ); IDELAYE2 #( @@ -14379,19 +14822,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_2 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay2), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay2), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed2) + .DATAOUT(a7ddrphy_dq_i_delayed2) ); IOBUF IOBUF_2( - .I(main_a7ddrphy_dq_o_nodelay2), - .T(main_a7ddrphy_dq_t2), + .I(a7ddrphy_dq_o_nodelay2), + .T(a7ddrphy_dq_t2), .IO(ddram_dq[2]), - .O(main_a7ddrphy_dq_i_nodelay2) + .O(a7ddrphy_dq_i_nodelay2) ); OSERDESE2 #( @@ -14403,20 +14846,20 @@ OSERDESE2 #( ) OSERDESE2_33 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip30[0]), - .D2(main_a7ddrphy_bitslip30[1]), - .D3(main_a7ddrphy_bitslip30[2]), - .D4(main_a7ddrphy_bitslip30[3]), - .D5(main_a7ddrphy_bitslip30[4]), - .D6(main_a7ddrphy_bitslip30[5]), - .D7(main_a7ddrphy_bitslip30[6]), - .D8(main_a7ddrphy_bitslip30[7]), + .D1(a7ddrphy_bitslip30[0]), + .D2(a7ddrphy_bitslip30[1]), + .D3(a7ddrphy_bitslip30[2]), + .D4(a7ddrphy_bitslip30[3]), + .D5(a7ddrphy_bitslip30[4]), + .D6(a7ddrphy_bitslip30[5]), + .D7(a7ddrphy_bitslip30[6]), + .D8(a7ddrphy_bitslip30[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay3), - .TQ(main_a7ddrphy_dq_t3) + .OQ(a7ddrphy_dq_o_nodelay3), + .TQ(a7ddrphy_dq_t3) ); ISERDESE2 #( @@ -14432,16 +14875,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed3), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip31[7]), - .Q2(main_a7ddrphy_bitslip31[6]), - .Q3(main_a7ddrphy_bitslip31[5]), - .Q4(main_a7ddrphy_bitslip31[4]), - .Q5(main_a7ddrphy_bitslip31[3]), - .Q6(main_a7ddrphy_bitslip31[2]), - .Q7(main_a7ddrphy_bitslip31[1]), - .Q8(main_a7ddrphy_bitslip31[0]) + .DDLY(a7ddrphy_dq_i_delayed3), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip31[7]), + .Q2(a7ddrphy_bitslip31[6]), + .Q3(a7ddrphy_bitslip31[5]), + .Q4(a7ddrphy_bitslip31[4]), + .Q5(a7ddrphy_bitslip31[3]), + .Q6(a7ddrphy_bitslip31[2]), + .Q7(a7ddrphy_bitslip31[1]), + .Q8(a7ddrphy_bitslip31[0]) ); IDELAYE2 #( @@ -14455,19 +14898,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_3 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay3), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay3), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed3) + .DATAOUT(a7ddrphy_dq_i_delayed3) ); IOBUF IOBUF_3( - .I(main_a7ddrphy_dq_o_nodelay3), - .T(main_a7ddrphy_dq_t3), + .I(a7ddrphy_dq_o_nodelay3), + .T(a7ddrphy_dq_t3), .IO(ddram_dq[3]), - .O(main_a7ddrphy_dq_i_nodelay3) + .O(a7ddrphy_dq_i_nodelay3) ); OSERDESE2 #( @@ -14479,20 +14922,20 @@ OSERDESE2 #( ) OSERDESE2_34 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip40[0]), - .D2(main_a7ddrphy_bitslip40[1]), - .D3(main_a7ddrphy_bitslip40[2]), - .D4(main_a7ddrphy_bitslip40[3]), - .D5(main_a7ddrphy_bitslip40[4]), - .D6(main_a7ddrphy_bitslip40[5]), - .D7(main_a7ddrphy_bitslip40[6]), - .D8(main_a7ddrphy_bitslip40[7]), + .D1(a7ddrphy_bitslip40[0]), + .D2(a7ddrphy_bitslip40[1]), + .D3(a7ddrphy_bitslip40[2]), + .D4(a7ddrphy_bitslip40[3]), + .D5(a7ddrphy_bitslip40[4]), + .D6(a7ddrphy_bitslip40[5]), + .D7(a7ddrphy_bitslip40[6]), + .D8(a7ddrphy_bitslip40[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay4), - .TQ(main_a7ddrphy_dq_t4) + .OQ(a7ddrphy_dq_o_nodelay4), + .TQ(a7ddrphy_dq_t4) ); ISERDESE2 #( @@ -14508,16 +14951,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed4), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip41[7]), - .Q2(main_a7ddrphy_bitslip41[6]), - .Q3(main_a7ddrphy_bitslip41[5]), - .Q4(main_a7ddrphy_bitslip41[4]), - .Q5(main_a7ddrphy_bitslip41[3]), - .Q6(main_a7ddrphy_bitslip41[2]), - .Q7(main_a7ddrphy_bitslip41[1]), - .Q8(main_a7ddrphy_bitslip41[0]) + .DDLY(a7ddrphy_dq_i_delayed4), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip41[7]), + .Q2(a7ddrphy_bitslip41[6]), + .Q3(a7ddrphy_bitslip41[5]), + .Q4(a7ddrphy_bitslip41[4]), + .Q5(a7ddrphy_bitslip41[3]), + .Q6(a7ddrphy_bitslip41[2]), + .Q7(a7ddrphy_bitslip41[1]), + .Q8(a7ddrphy_bitslip41[0]) ); IDELAYE2 #( @@ -14531,19 +14974,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_4 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay4), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay4), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed4) + .DATAOUT(a7ddrphy_dq_i_delayed4) ); IOBUF IOBUF_4( - .I(main_a7ddrphy_dq_o_nodelay4), - .T(main_a7ddrphy_dq_t4), + .I(a7ddrphy_dq_o_nodelay4), + .T(a7ddrphy_dq_t4), .IO(ddram_dq[4]), - .O(main_a7ddrphy_dq_i_nodelay4) + .O(a7ddrphy_dq_i_nodelay4) ); OSERDESE2 #( @@ -14555,20 +14998,20 @@ OSERDESE2 #( ) OSERDESE2_35 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip50[0]), - .D2(main_a7ddrphy_bitslip50[1]), - .D3(main_a7ddrphy_bitslip50[2]), - .D4(main_a7ddrphy_bitslip50[3]), - .D5(main_a7ddrphy_bitslip50[4]), - .D6(main_a7ddrphy_bitslip50[5]), - .D7(main_a7ddrphy_bitslip50[6]), - .D8(main_a7ddrphy_bitslip50[7]), + .D1(a7ddrphy_bitslip50[0]), + .D2(a7ddrphy_bitslip50[1]), + .D3(a7ddrphy_bitslip50[2]), + .D4(a7ddrphy_bitslip50[3]), + .D5(a7ddrphy_bitslip50[4]), + .D6(a7ddrphy_bitslip50[5]), + .D7(a7ddrphy_bitslip50[6]), + .D8(a7ddrphy_bitslip50[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay5), - .TQ(main_a7ddrphy_dq_t5) + .OQ(a7ddrphy_dq_o_nodelay5), + .TQ(a7ddrphy_dq_t5) ); ISERDESE2 #( @@ -14584,16 +15027,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed5), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip51[7]), - .Q2(main_a7ddrphy_bitslip51[6]), - .Q3(main_a7ddrphy_bitslip51[5]), - .Q4(main_a7ddrphy_bitslip51[4]), - .Q5(main_a7ddrphy_bitslip51[3]), - .Q6(main_a7ddrphy_bitslip51[2]), - .Q7(main_a7ddrphy_bitslip51[1]), - .Q8(main_a7ddrphy_bitslip51[0]) + .DDLY(a7ddrphy_dq_i_delayed5), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip51[7]), + .Q2(a7ddrphy_bitslip51[6]), + .Q3(a7ddrphy_bitslip51[5]), + .Q4(a7ddrphy_bitslip51[4]), + .Q5(a7ddrphy_bitslip51[3]), + .Q6(a7ddrphy_bitslip51[2]), + .Q7(a7ddrphy_bitslip51[1]), + .Q8(a7ddrphy_bitslip51[0]) ); IDELAYE2 #( @@ -14607,19 +15050,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_5 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay5), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay5), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed5) + .DATAOUT(a7ddrphy_dq_i_delayed5) ); IOBUF IOBUF_5( - .I(main_a7ddrphy_dq_o_nodelay5), - .T(main_a7ddrphy_dq_t5), + .I(a7ddrphy_dq_o_nodelay5), + .T(a7ddrphy_dq_t5), .IO(ddram_dq[5]), - .O(main_a7ddrphy_dq_i_nodelay5) + .O(a7ddrphy_dq_i_nodelay5) ); OSERDESE2 #( @@ -14631,20 +15074,20 @@ OSERDESE2 #( ) OSERDESE2_36 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip60[0]), - .D2(main_a7ddrphy_bitslip60[1]), - .D3(main_a7ddrphy_bitslip60[2]), - .D4(main_a7ddrphy_bitslip60[3]), - .D5(main_a7ddrphy_bitslip60[4]), - .D6(main_a7ddrphy_bitslip60[5]), - .D7(main_a7ddrphy_bitslip60[6]), - .D8(main_a7ddrphy_bitslip60[7]), + .D1(a7ddrphy_bitslip60[0]), + .D2(a7ddrphy_bitslip60[1]), + .D3(a7ddrphy_bitslip60[2]), + .D4(a7ddrphy_bitslip60[3]), + .D5(a7ddrphy_bitslip60[4]), + .D6(a7ddrphy_bitslip60[5]), + .D7(a7ddrphy_bitslip60[6]), + .D8(a7ddrphy_bitslip60[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay6), - .TQ(main_a7ddrphy_dq_t6) + .OQ(a7ddrphy_dq_o_nodelay6), + .TQ(a7ddrphy_dq_t6) ); ISERDESE2 #( @@ -14660,16 +15103,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed6), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip61[7]), - .Q2(main_a7ddrphy_bitslip61[6]), - .Q3(main_a7ddrphy_bitslip61[5]), - .Q4(main_a7ddrphy_bitslip61[4]), - .Q5(main_a7ddrphy_bitslip61[3]), - .Q6(main_a7ddrphy_bitslip61[2]), - .Q7(main_a7ddrphy_bitslip61[1]), - .Q8(main_a7ddrphy_bitslip61[0]) + .DDLY(a7ddrphy_dq_i_delayed6), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip61[7]), + .Q2(a7ddrphy_bitslip61[6]), + .Q3(a7ddrphy_bitslip61[5]), + .Q4(a7ddrphy_bitslip61[4]), + .Q5(a7ddrphy_bitslip61[3]), + .Q6(a7ddrphy_bitslip61[2]), + .Q7(a7ddrphy_bitslip61[1]), + .Q8(a7ddrphy_bitslip61[0]) ); IDELAYE2 #( @@ -14683,19 +15126,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_6 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay6), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay6), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed6) + .DATAOUT(a7ddrphy_dq_i_delayed6) ); IOBUF IOBUF_6( - .I(main_a7ddrphy_dq_o_nodelay6), - .T(main_a7ddrphy_dq_t6), + .I(a7ddrphy_dq_o_nodelay6), + .T(a7ddrphy_dq_t6), .IO(ddram_dq[6]), - .O(main_a7ddrphy_dq_i_nodelay6) + .O(a7ddrphy_dq_i_nodelay6) ); OSERDESE2 #( @@ -14707,20 +15150,20 @@ OSERDESE2 #( ) OSERDESE2_37 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip70[0]), - .D2(main_a7ddrphy_bitslip70[1]), - .D3(main_a7ddrphy_bitslip70[2]), - .D4(main_a7ddrphy_bitslip70[3]), - .D5(main_a7ddrphy_bitslip70[4]), - .D6(main_a7ddrphy_bitslip70[5]), - .D7(main_a7ddrphy_bitslip70[6]), - .D8(main_a7ddrphy_bitslip70[7]), + .D1(a7ddrphy_bitslip70[0]), + .D2(a7ddrphy_bitslip70[1]), + .D3(a7ddrphy_bitslip70[2]), + .D4(a7ddrphy_bitslip70[3]), + .D5(a7ddrphy_bitslip70[4]), + .D6(a7ddrphy_bitslip70[5]), + .D7(a7ddrphy_bitslip70[6]), + .D8(a7ddrphy_bitslip70[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay7), - .TQ(main_a7ddrphy_dq_t7) + .OQ(a7ddrphy_dq_o_nodelay7), + .TQ(a7ddrphy_dq_t7) ); ISERDESE2 #( @@ -14736,16 +15179,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed7), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip71[7]), - .Q2(main_a7ddrphy_bitslip71[6]), - .Q3(main_a7ddrphy_bitslip71[5]), - .Q4(main_a7ddrphy_bitslip71[4]), - .Q5(main_a7ddrphy_bitslip71[3]), - .Q6(main_a7ddrphy_bitslip71[2]), - .Q7(main_a7ddrphy_bitslip71[1]), - .Q8(main_a7ddrphy_bitslip71[0]) + .DDLY(a7ddrphy_dq_i_delayed7), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip71[7]), + .Q2(a7ddrphy_bitslip71[6]), + .Q3(a7ddrphy_bitslip71[5]), + .Q4(a7ddrphy_bitslip71[4]), + .Q5(a7ddrphy_bitslip71[3]), + .Q6(a7ddrphy_bitslip71[2]), + .Q7(a7ddrphy_bitslip71[1]), + .Q8(a7ddrphy_bitslip71[0]) ); IDELAYE2 #( @@ -14759,19 +15202,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_7 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay7), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay7), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed7) + .DATAOUT(a7ddrphy_dq_i_delayed7) ); IOBUF IOBUF_7( - .I(main_a7ddrphy_dq_o_nodelay7), - .T(main_a7ddrphy_dq_t7), + .I(a7ddrphy_dq_o_nodelay7), + .T(a7ddrphy_dq_t7), .IO(ddram_dq[7]), - .O(main_a7ddrphy_dq_i_nodelay7) + .O(a7ddrphy_dq_i_nodelay7) ); OSERDESE2 #( @@ -14783,20 +15226,20 @@ OSERDESE2 #( ) OSERDESE2_38 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip80[0]), - .D2(main_a7ddrphy_bitslip80[1]), - .D3(main_a7ddrphy_bitslip80[2]), - .D4(main_a7ddrphy_bitslip80[3]), - .D5(main_a7ddrphy_bitslip80[4]), - .D6(main_a7ddrphy_bitslip80[5]), - .D7(main_a7ddrphy_bitslip80[6]), - .D8(main_a7ddrphy_bitslip80[7]), + .D1(a7ddrphy_bitslip80[0]), + .D2(a7ddrphy_bitslip80[1]), + .D3(a7ddrphy_bitslip80[2]), + .D4(a7ddrphy_bitslip80[3]), + .D5(a7ddrphy_bitslip80[4]), + .D6(a7ddrphy_bitslip80[5]), + .D7(a7ddrphy_bitslip80[6]), + .D8(a7ddrphy_bitslip80[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay8), - .TQ(main_a7ddrphy_dq_t8) + .OQ(a7ddrphy_dq_o_nodelay8), + .TQ(a7ddrphy_dq_t8) ); ISERDESE2 #( @@ -14812,16 +15255,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed8), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip81[7]), - .Q2(main_a7ddrphy_bitslip81[6]), - .Q3(main_a7ddrphy_bitslip81[5]), - .Q4(main_a7ddrphy_bitslip81[4]), - .Q5(main_a7ddrphy_bitslip81[3]), - .Q6(main_a7ddrphy_bitslip81[2]), - .Q7(main_a7ddrphy_bitslip81[1]), - .Q8(main_a7ddrphy_bitslip81[0]) + .DDLY(a7ddrphy_dq_i_delayed8), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip81[7]), + .Q2(a7ddrphy_bitslip81[6]), + .Q3(a7ddrphy_bitslip81[5]), + .Q4(a7ddrphy_bitslip81[4]), + .Q5(a7ddrphy_bitslip81[3]), + .Q6(a7ddrphy_bitslip81[2]), + .Q7(a7ddrphy_bitslip81[1]), + .Q8(a7ddrphy_bitslip81[0]) ); IDELAYE2 #( @@ -14835,19 +15278,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_8 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay8), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay8), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed8) + .DATAOUT(a7ddrphy_dq_i_delayed8) ); IOBUF IOBUF_8( - .I(main_a7ddrphy_dq_o_nodelay8), - .T(main_a7ddrphy_dq_t8), + .I(a7ddrphy_dq_o_nodelay8), + .T(a7ddrphy_dq_t8), .IO(ddram_dq[8]), - .O(main_a7ddrphy_dq_i_nodelay8) + .O(a7ddrphy_dq_i_nodelay8) ); OSERDESE2 #( @@ -14859,20 +15302,20 @@ OSERDESE2 #( ) OSERDESE2_39 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip90[0]), - .D2(main_a7ddrphy_bitslip90[1]), - .D3(main_a7ddrphy_bitslip90[2]), - .D4(main_a7ddrphy_bitslip90[3]), - .D5(main_a7ddrphy_bitslip90[4]), - .D6(main_a7ddrphy_bitslip90[5]), - .D7(main_a7ddrphy_bitslip90[6]), - .D8(main_a7ddrphy_bitslip90[7]), + .D1(a7ddrphy_bitslip90[0]), + .D2(a7ddrphy_bitslip90[1]), + .D3(a7ddrphy_bitslip90[2]), + .D4(a7ddrphy_bitslip90[3]), + .D5(a7ddrphy_bitslip90[4]), + .D6(a7ddrphy_bitslip90[5]), + .D7(a7ddrphy_bitslip90[6]), + .D8(a7ddrphy_bitslip90[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay9), - .TQ(main_a7ddrphy_dq_t9) + .OQ(a7ddrphy_dq_o_nodelay9), + .TQ(a7ddrphy_dq_t9) ); ISERDESE2 #( @@ -14888,16 +15331,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed9), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip91[7]), - .Q2(main_a7ddrphy_bitslip91[6]), - .Q3(main_a7ddrphy_bitslip91[5]), - .Q4(main_a7ddrphy_bitslip91[4]), - .Q5(main_a7ddrphy_bitslip91[3]), - .Q6(main_a7ddrphy_bitslip91[2]), - .Q7(main_a7ddrphy_bitslip91[1]), - .Q8(main_a7ddrphy_bitslip91[0]) + .DDLY(a7ddrphy_dq_i_delayed9), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip91[7]), + .Q2(a7ddrphy_bitslip91[6]), + .Q3(a7ddrphy_bitslip91[5]), + .Q4(a7ddrphy_bitslip91[4]), + .Q5(a7ddrphy_bitslip91[3]), + .Q6(a7ddrphy_bitslip91[2]), + .Q7(a7ddrphy_bitslip91[1]), + .Q8(a7ddrphy_bitslip91[0]) ); IDELAYE2 #( @@ -14911,19 +15354,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_9 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay9), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay9), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed9) + .DATAOUT(a7ddrphy_dq_i_delayed9) ); IOBUF IOBUF_9( - .I(main_a7ddrphy_dq_o_nodelay9), - .T(main_a7ddrphy_dq_t9), + .I(a7ddrphy_dq_o_nodelay9), + .T(a7ddrphy_dq_t9), .IO(ddram_dq[9]), - .O(main_a7ddrphy_dq_i_nodelay9) + .O(a7ddrphy_dq_i_nodelay9) ); OSERDESE2 #( @@ -14935,20 +15378,20 @@ OSERDESE2 #( ) OSERDESE2_40 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip100[0]), - .D2(main_a7ddrphy_bitslip100[1]), - .D3(main_a7ddrphy_bitslip100[2]), - .D4(main_a7ddrphy_bitslip100[3]), - .D5(main_a7ddrphy_bitslip100[4]), - .D6(main_a7ddrphy_bitslip100[5]), - .D7(main_a7ddrphy_bitslip100[6]), - .D8(main_a7ddrphy_bitslip100[7]), + .D1(a7ddrphy_bitslip100[0]), + .D2(a7ddrphy_bitslip100[1]), + .D3(a7ddrphy_bitslip100[2]), + .D4(a7ddrphy_bitslip100[3]), + .D5(a7ddrphy_bitslip100[4]), + .D6(a7ddrphy_bitslip100[5]), + .D7(a7ddrphy_bitslip100[6]), + .D8(a7ddrphy_bitslip100[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay10), - .TQ(main_a7ddrphy_dq_t10) + .OQ(a7ddrphy_dq_o_nodelay10), + .TQ(a7ddrphy_dq_t10) ); ISERDESE2 #( @@ -14964,16 +15407,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed10), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip101[7]), - .Q2(main_a7ddrphy_bitslip101[6]), - .Q3(main_a7ddrphy_bitslip101[5]), - .Q4(main_a7ddrphy_bitslip101[4]), - .Q5(main_a7ddrphy_bitslip101[3]), - .Q6(main_a7ddrphy_bitslip101[2]), - .Q7(main_a7ddrphy_bitslip101[1]), - .Q8(main_a7ddrphy_bitslip101[0]) + .DDLY(a7ddrphy_dq_i_delayed10), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip101[7]), + .Q2(a7ddrphy_bitslip101[6]), + .Q3(a7ddrphy_bitslip101[5]), + .Q4(a7ddrphy_bitslip101[4]), + .Q5(a7ddrphy_bitslip101[3]), + .Q6(a7ddrphy_bitslip101[2]), + .Q7(a7ddrphy_bitslip101[1]), + .Q8(a7ddrphy_bitslip101[0]) ); IDELAYE2 #( @@ -14987,19 +15430,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_10 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay10), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay10), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed10) + .DATAOUT(a7ddrphy_dq_i_delayed10) ); IOBUF IOBUF_10( - .I(main_a7ddrphy_dq_o_nodelay10), - .T(main_a7ddrphy_dq_t10), + .I(a7ddrphy_dq_o_nodelay10), + .T(a7ddrphy_dq_t10), .IO(ddram_dq[10]), - .O(main_a7ddrphy_dq_i_nodelay10) + .O(a7ddrphy_dq_i_nodelay10) ); OSERDESE2 #( @@ -15011,20 +15454,20 @@ OSERDESE2 #( ) OSERDESE2_41 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip110[0]), - .D2(main_a7ddrphy_bitslip110[1]), - .D3(main_a7ddrphy_bitslip110[2]), - .D4(main_a7ddrphy_bitslip110[3]), - .D5(main_a7ddrphy_bitslip110[4]), - .D6(main_a7ddrphy_bitslip110[5]), - .D7(main_a7ddrphy_bitslip110[6]), - .D8(main_a7ddrphy_bitslip110[7]), + .D1(a7ddrphy_bitslip110[0]), + .D2(a7ddrphy_bitslip110[1]), + .D3(a7ddrphy_bitslip110[2]), + .D4(a7ddrphy_bitslip110[3]), + .D5(a7ddrphy_bitslip110[4]), + .D6(a7ddrphy_bitslip110[5]), + .D7(a7ddrphy_bitslip110[6]), + .D8(a7ddrphy_bitslip110[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay11), - .TQ(main_a7ddrphy_dq_t11) + .OQ(a7ddrphy_dq_o_nodelay11), + .TQ(a7ddrphy_dq_t11) ); ISERDESE2 #( @@ -15040,16 +15483,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed11), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip111[7]), - .Q2(main_a7ddrphy_bitslip111[6]), - .Q3(main_a7ddrphy_bitslip111[5]), - .Q4(main_a7ddrphy_bitslip111[4]), - .Q5(main_a7ddrphy_bitslip111[3]), - .Q6(main_a7ddrphy_bitslip111[2]), - .Q7(main_a7ddrphy_bitslip111[1]), - .Q8(main_a7ddrphy_bitslip111[0]) + .DDLY(a7ddrphy_dq_i_delayed11), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip111[7]), + .Q2(a7ddrphy_bitslip111[6]), + .Q3(a7ddrphy_bitslip111[5]), + .Q4(a7ddrphy_bitslip111[4]), + .Q5(a7ddrphy_bitslip111[3]), + .Q6(a7ddrphy_bitslip111[2]), + .Q7(a7ddrphy_bitslip111[1]), + .Q8(a7ddrphy_bitslip111[0]) ); IDELAYE2 #( @@ -15063,19 +15506,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_11 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay11), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay11), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed11) + .DATAOUT(a7ddrphy_dq_i_delayed11) ); IOBUF IOBUF_11( - .I(main_a7ddrphy_dq_o_nodelay11), - .T(main_a7ddrphy_dq_t11), + .I(a7ddrphy_dq_o_nodelay11), + .T(a7ddrphy_dq_t11), .IO(ddram_dq[11]), - .O(main_a7ddrphy_dq_i_nodelay11) + .O(a7ddrphy_dq_i_nodelay11) ); OSERDESE2 #( @@ -15087,20 +15530,20 @@ OSERDESE2 #( ) OSERDESE2_42 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip120[0]), - .D2(main_a7ddrphy_bitslip120[1]), - .D3(main_a7ddrphy_bitslip120[2]), - .D4(main_a7ddrphy_bitslip120[3]), - .D5(main_a7ddrphy_bitslip120[4]), - .D6(main_a7ddrphy_bitslip120[5]), - .D7(main_a7ddrphy_bitslip120[6]), - .D8(main_a7ddrphy_bitslip120[7]), + .D1(a7ddrphy_bitslip120[0]), + .D2(a7ddrphy_bitslip120[1]), + .D3(a7ddrphy_bitslip120[2]), + .D4(a7ddrphy_bitslip120[3]), + .D5(a7ddrphy_bitslip120[4]), + .D6(a7ddrphy_bitslip120[5]), + .D7(a7ddrphy_bitslip120[6]), + .D8(a7ddrphy_bitslip120[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay12), - .TQ(main_a7ddrphy_dq_t12) + .OQ(a7ddrphy_dq_o_nodelay12), + .TQ(a7ddrphy_dq_t12) ); ISERDESE2 #( @@ -15116,16 +15559,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed12), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip121[7]), - .Q2(main_a7ddrphy_bitslip121[6]), - .Q3(main_a7ddrphy_bitslip121[5]), - .Q4(main_a7ddrphy_bitslip121[4]), - .Q5(main_a7ddrphy_bitslip121[3]), - .Q6(main_a7ddrphy_bitslip121[2]), - .Q7(main_a7ddrphy_bitslip121[1]), - .Q8(main_a7ddrphy_bitslip121[0]) + .DDLY(a7ddrphy_dq_i_delayed12), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip121[7]), + .Q2(a7ddrphy_bitslip121[6]), + .Q3(a7ddrphy_bitslip121[5]), + .Q4(a7ddrphy_bitslip121[4]), + .Q5(a7ddrphy_bitslip121[3]), + .Q6(a7ddrphy_bitslip121[2]), + .Q7(a7ddrphy_bitslip121[1]), + .Q8(a7ddrphy_bitslip121[0]) ); IDELAYE2 #( @@ -15139,19 +15582,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_12 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay12), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay12), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed12) + .DATAOUT(a7ddrphy_dq_i_delayed12) ); IOBUF IOBUF_12( - .I(main_a7ddrphy_dq_o_nodelay12), - .T(main_a7ddrphy_dq_t12), + .I(a7ddrphy_dq_o_nodelay12), + .T(a7ddrphy_dq_t12), .IO(ddram_dq[12]), - .O(main_a7ddrphy_dq_i_nodelay12) + .O(a7ddrphy_dq_i_nodelay12) ); OSERDESE2 #( @@ -15163,20 +15606,20 @@ OSERDESE2 #( ) OSERDESE2_43 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip130[0]), - .D2(main_a7ddrphy_bitslip130[1]), - .D3(main_a7ddrphy_bitslip130[2]), - .D4(main_a7ddrphy_bitslip130[3]), - .D5(main_a7ddrphy_bitslip130[4]), - .D6(main_a7ddrphy_bitslip130[5]), - .D7(main_a7ddrphy_bitslip130[6]), - .D8(main_a7ddrphy_bitslip130[7]), + .D1(a7ddrphy_bitslip130[0]), + .D2(a7ddrphy_bitslip130[1]), + .D3(a7ddrphy_bitslip130[2]), + .D4(a7ddrphy_bitslip130[3]), + .D5(a7ddrphy_bitslip130[4]), + .D6(a7ddrphy_bitslip130[5]), + .D7(a7ddrphy_bitslip130[6]), + .D8(a7ddrphy_bitslip130[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay13), - .TQ(main_a7ddrphy_dq_t13) + .OQ(a7ddrphy_dq_o_nodelay13), + .TQ(a7ddrphy_dq_t13) ); ISERDESE2 #( @@ -15192,16 +15635,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed13), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip131[7]), - .Q2(main_a7ddrphy_bitslip131[6]), - .Q3(main_a7ddrphy_bitslip131[5]), - .Q4(main_a7ddrphy_bitslip131[4]), - .Q5(main_a7ddrphy_bitslip131[3]), - .Q6(main_a7ddrphy_bitslip131[2]), - .Q7(main_a7ddrphy_bitslip131[1]), - .Q8(main_a7ddrphy_bitslip131[0]) + .DDLY(a7ddrphy_dq_i_delayed13), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip131[7]), + .Q2(a7ddrphy_bitslip131[6]), + .Q3(a7ddrphy_bitslip131[5]), + .Q4(a7ddrphy_bitslip131[4]), + .Q5(a7ddrphy_bitslip131[3]), + .Q6(a7ddrphy_bitslip131[2]), + .Q7(a7ddrphy_bitslip131[1]), + .Q8(a7ddrphy_bitslip131[0]) ); IDELAYE2 #( @@ -15215,19 +15658,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_13 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay13), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay13), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed13) + .DATAOUT(a7ddrphy_dq_i_delayed13) ); IOBUF IOBUF_13( - .I(main_a7ddrphy_dq_o_nodelay13), - .T(main_a7ddrphy_dq_t13), + .I(a7ddrphy_dq_o_nodelay13), + .T(a7ddrphy_dq_t13), .IO(ddram_dq[13]), - .O(main_a7ddrphy_dq_i_nodelay13) + .O(a7ddrphy_dq_i_nodelay13) ); OSERDESE2 #( @@ -15239,20 +15682,20 @@ OSERDESE2 #( ) OSERDESE2_44 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip140[0]), - .D2(main_a7ddrphy_bitslip140[1]), - .D3(main_a7ddrphy_bitslip140[2]), - .D4(main_a7ddrphy_bitslip140[3]), - .D5(main_a7ddrphy_bitslip140[4]), - .D6(main_a7ddrphy_bitslip140[5]), - .D7(main_a7ddrphy_bitslip140[6]), - .D8(main_a7ddrphy_bitslip140[7]), + .D1(a7ddrphy_bitslip140[0]), + .D2(a7ddrphy_bitslip140[1]), + .D3(a7ddrphy_bitslip140[2]), + .D4(a7ddrphy_bitslip140[3]), + .D5(a7ddrphy_bitslip140[4]), + .D6(a7ddrphy_bitslip140[5]), + .D7(a7ddrphy_bitslip140[6]), + .D8(a7ddrphy_bitslip140[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay14), - .TQ(main_a7ddrphy_dq_t14) + .OQ(a7ddrphy_dq_o_nodelay14), + .TQ(a7ddrphy_dq_t14) ); ISERDESE2 #( @@ -15268,16 +15711,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed14), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip141[7]), - .Q2(main_a7ddrphy_bitslip141[6]), - .Q3(main_a7ddrphy_bitslip141[5]), - .Q4(main_a7ddrphy_bitslip141[4]), - .Q5(main_a7ddrphy_bitslip141[3]), - .Q6(main_a7ddrphy_bitslip141[2]), - .Q7(main_a7ddrphy_bitslip141[1]), - .Q8(main_a7ddrphy_bitslip141[0]) + .DDLY(a7ddrphy_dq_i_delayed14), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip141[7]), + .Q2(a7ddrphy_bitslip141[6]), + .Q3(a7ddrphy_bitslip141[5]), + .Q4(a7ddrphy_bitslip141[4]), + .Q5(a7ddrphy_bitslip141[3]), + .Q6(a7ddrphy_bitslip141[2]), + .Q7(a7ddrphy_bitslip141[1]), + .Q8(a7ddrphy_bitslip141[0]) ); IDELAYE2 #( @@ -15291,19 +15734,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_14 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay14), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay14), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed14) + .DATAOUT(a7ddrphy_dq_i_delayed14) ); IOBUF IOBUF_14( - .I(main_a7ddrphy_dq_o_nodelay14), - .T(main_a7ddrphy_dq_t14), + .I(a7ddrphy_dq_o_nodelay14), + .T(a7ddrphy_dq_t14), .IO(ddram_dq[14]), - .O(main_a7ddrphy_dq_i_nodelay14) + .O(a7ddrphy_dq_i_nodelay14) ); OSERDESE2 #( @@ -15315,20 +15758,20 @@ OSERDESE2 #( ) OSERDESE2_45 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip150[0]), - .D2(main_a7ddrphy_bitslip150[1]), - .D3(main_a7ddrphy_bitslip150[2]), - .D4(main_a7ddrphy_bitslip150[3]), - .D5(main_a7ddrphy_bitslip150[4]), - .D6(main_a7ddrphy_bitslip150[5]), - .D7(main_a7ddrphy_bitslip150[6]), - .D8(main_a7ddrphy_bitslip150[7]), + .D1(a7ddrphy_bitslip150[0]), + .D2(a7ddrphy_bitslip150[1]), + .D3(a7ddrphy_bitslip150[2]), + .D4(a7ddrphy_bitslip150[3]), + .D5(a7ddrphy_bitslip150[4]), + .D6(a7ddrphy_bitslip150[5]), + .D7(a7ddrphy_bitslip150[6]), + .D8(a7ddrphy_bitslip150[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay15), - .TQ(main_a7ddrphy_dq_t15) + .OQ(a7ddrphy_dq_o_nodelay15), + .TQ(a7ddrphy_dq_t15) ); ISERDESE2 #( @@ -15344,16 +15787,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed15), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip151[7]), - .Q2(main_a7ddrphy_bitslip151[6]), - .Q3(main_a7ddrphy_bitslip151[5]), - .Q4(main_a7ddrphy_bitslip151[4]), - .Q5(main_a7ddrphy_bitslip151[3]), - .Q6(main_a7ddrphy_bitslip151[2]), - .Q7(main_a7ddrphy_bitslip151[1]), - .Q8(main_a7ddrphy_bitslip151[0]) + .DDLY(a7ddrphy_dq_i_delayed15), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip151[7]), + .Q2(a7ddrphy_bitslip151[6]), + .Q3(a7ddrphy_bitslip151[5]), + .Q4(a7ddrphy_bitslip151[4]), + .Q5(a7ddrphy_bitslip151[3]), + .Q6(a7ddrphy_bitslip151[2]), + .Q7(a7ddrphy_bitslip151[1]), + .Q8(a7ddrphy_bitslip151[0]) ); IDELAYE2 #( @@ -15367,19 +15810,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_15 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay15), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay15), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed15) + .DATAOUT(a7ddrphy_dq_i_delayed15) ); IOBUF IOBUF_15( - .I(main_a7ddrphy_dq_o_nodelay15), - .T(main_a7ddrphy_dq_t15), + .I(a7ddrphy_dq_o_nodelay15), + .T(a7ddrphy_dq_t15), .IO(ddram_dq[15]), - .O(main_a7ddrphy_dq_i_nodelay15) + .O(a7ddrphy_dq_i_nodelay15) ); //------------------------------------------------------------------------------ @@ -15390,14 +15833,14 @@ IOBUF IOBUF_15( reg [24:0] storage[0:15]; reg [24:0] storage_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we) - storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; - storage_dat0 <= storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we) + storage[litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; + storage_dat0 <= storage[litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = storage_dat0; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = storage_dat0; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage[litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15408,14 +15851,14 @@ assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storag reg [24:0] storage_1[0:15]; reg [24:0] storage_1_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we) - storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; - storage_1_dat0 <= storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we) + storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; + storage_1_dat0 <= storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = storage_1_dat0; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = storage_1_dat0; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15426,14 +15869,14 @@ assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storag reg [24:0] storage_2[0:15]; reg [24:0] storage_2_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we) - storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; - storage_2_dat0 <= storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we) + storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; + storage_2_dat0 <= storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = storage_2_dat0; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = storage_2_dat0; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15444,14 +15887,14 @@ assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storag reg [24:0] storage_3[0:15]; reg [24:0] storage_3_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we) - storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; - storage_3_dat0 <= storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we) + storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; + storage_3_dat0 <= storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = storage_3_dat0; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = storage_3_dat0; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15462,14 +15905,14 @@ assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storag reg [24:0] storage_4[0:15]; reg [24:0] storage_4_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we) - storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; - storage_4_dat0 <= storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we) + storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; + storage_4_dat0 <= storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = storage_4_dat0; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = storage_4_dat0; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15480,14 +15923,14 @@ assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storag reg [24:0] storage_5[0:15]; reg [24:0] storage_5_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we) - storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; - storage_5_dat0 <= storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we) + storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; + storage_5_dat0 <= storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = storage_5_dat0; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = storage_5_dat0; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15498,14 +15941,14 @@ assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storag reg [24:0] storage_6[0:15]; reg [24:0] storage_6_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we) - storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; - storage_6_dat0 <= storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we) + storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; + storage_6_dat0 <= storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = storage_6_dat0; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = storage_6_dat0; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15516,62 +15959,78 @@ assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storag reg [24:0] storage_7[0:15]; reg [24:0] storage_7_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we) - storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; - storage_7_dat0 <= storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we) + storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; + storage_7_dat0 <= storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = storage_7_dat0; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = storage_7_dat0; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr]; -FD FD( - .C(main_clkin), - .D(main_reset), - .Q(builder_reset0) +FDCE FDCE( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(reset), + .Q(litedramcore_reset0) ); -FD FD_1( - .C(main_clkin), - .D(builder_reset0), - .Q(builder_reset1) +FDCE FDCE_1( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset0), + .Q(litedramcore_reset1) ); -FD FD_2( - .C(main_clkin), - .D(builder_reset1), - .Q(builder_reset2) +FDCE FDCE_2( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset1), + .Q(litedramcore_reset2) ); -FD FD_3( - .C(main_clkin), - .D(builder_reset2), - .Q(builder_reset3) +FDCE FDCE_3( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset2), + .Q(litedramcore_reset3) ); -FD FD_4( - .C(main_clkin), - .D(builder_reset3), - .Q(builder_reset4) +FDCE FDCE_4( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset3), + .Q(litedramcore_reset4) ); -FD FD_5( - .C(main_clkin), - .D(builder_reset4), - .Q(builder_reset5) +FDCE FDCE_5( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset4), + .Q(litedramcore_reset5) ); -FD FD_6( - .C(main_clkin), - .D(builder_reset5), - .Q(builder_reset6) +FDCE FDCE_6( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset5), + .Q(litedramcore_reset6) ); -FD FD_7( - .C(main_clkin), - .D(builder_reset6), - .Q(builder_reset7) +FDCE FDCE_7( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset6), + .Q(litedramcore_reset7) ); PLLE2_ADV #( @@ -15589,16 +16048,16 @@ PLLE2_ADV #( .REF_JITTER1(0.01), .STARTUP_WAIT("FALSE") ) PLLE2_ADV ( - .CLKFBIN(builder_pll_fb), - .CLKIN1(main_clkin), - .PWRDWN(main_power_down), - .RST(builder_reset7), - .CLKFBOUT(builder_pll_fb), - .CLKOUT0(main_clkout0), - .CLKOUT1(main_clkout1), - .CLKOUT2(main_clkout2), - .CLKOUT3(main_clkout3), - .LOCKED(main_locked) + .CLKFBIN(litedramcore_pll_fb), + .CLKIN1(clkin), + .PWRDWN(power_down), + .RST(litedramcore_reset7), + .CLKFBOUT(litedramcore_pll_fb), + .CLKOUT0(clkout0), + .CLKOUT1(clkout1), + .CLKOUT2(clkout2), + .CLKOUT3(clkout3), + .LOCKED(locked) ); (* ars_ff1 = "true", async_reg = "true" *) FDPE #( @@ -15607,8 +16066,8 @@ PLLE2_ADV #( .C(iodelay_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl0), - .Q(builder_xilinxasyncresetsynchronizerimpl0_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl0), + .Q(xilinxasyncresetsynchronizerimpl0_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15616,8 +16075,8 @@ PLLE2_ADV #( ) FDPE_1 ( .C(iodelay_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl0_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl0), + .D(xilinxasyncresetsynchronizerimpl0_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl0), .Q(iodelay_rst) ); @@ -15627,8 +16086,8 @@ PLLE2_ADV #( .C(sys_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl1), - .Q(builder_xilinxasyncresetsynchronizerimpl1_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl1), + .Q(xilinxasyncresetsynchronizerimpl1_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15636,8 +16095,8 @@ PLLE2_ADV #( ) FDPE_3 ( .C(sys_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl1_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl1), + .D(xilinxasyncresetsynchronizerimpl1_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl1), .Q(sys_rst) ); @@ -15647,8 +16106,8 @@ PLLE2_ADV #( .C(sys4x_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl2), - .Q(builder_xilinxasyncresetsynchronizerimpl2_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl2), + .Q(xilinxasyncresetsynchronizerimpl2_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15656,9 +16115,9 @@ PLLE2_ADV #( ) FDPE_5 ( .C(sys4x_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl2_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl2), - .Q(builder_xilinxasyncresetsynchronizerimpl2_expr) + .D(xilinxasyncresetsynchronizerimpl2_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl2), + .Q(xilinxasyncresetsynchronizerimpl2_expr) ); (* ars_ff1 = "true", async_reg = "true" *) FDPE #( @@ -15667,8 +16126,8 @@ PLLE2_ADV #( .C(sys4x_dqs_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl3), - .Q(builder_xilinxasyncresetsynchronizerimpl3_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl3), + .Q(xilinxasyncresetsynchronizerimpl3_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15676,13 +16135,13 @@ PLLE2_ADV #( ) FDPE_7 ( .C(sys4x_dqs_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl3_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl3), - .Q(builder_xilinxasyncresetsynchronizerimpl3_expr) + .D(xilinxasyncresetsynchronizerimpl3_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl3), + .Q(xilinxasyncresetsynchronizerimpl3_expr) ); endmodule // ----------------------------------------------------------------------------- -// Auto-Generated by LiteX on 2022-01-14 08:32:10. +// Auto-Generated by LiteX on 2022-08-04 21:06:57. //------------------------------------------------------------------------------ diff --git a/litedram/generated/orangecrab-85-0.2/litedram_core.init b/litedram/generated/orangecrab-85-0.2/litedram_core.init index 54fd98a..cb505da 100644 --- a/litedram/generated/orangecrab-85-0.2/litedram_core.init +++ b/litedram/generated/orangecrab-85-0.2/litedram_core.init @@ -7,7 +7,7 @@ a64b5a7d14004a39 6421ff00782107c6 3d80000060215f00 798c07c6618c0000 -618c10e0658cff00 +618c10d8658cff00 4e8004217d8903a6 4e8004207c6903a6 0000000000000000 @@ -519,213 +519,215 @@ a64b5a7d14004a39 0000000000000000 3c4c000100000000 7c0802a63842acc4 -fbe1fff8fbc1fff0 -f821ff51f8010010 -f88100d83bc10020 +f8010010fbe1fff8 +f88100d8f821ff51 38800080f8a100e0 f8c100e87c651b78 -38c100d87fc3f378 +38c100d838610020 f90100f8f8e100f0 f9410108f9210100 -6000000048002061 -7fc3f3787c7f1b78 -6000000048001a85 +600000004800204d +386100207c7f1b78 +6000000048001a65 7fe3fb78382100b0 -00000000480026dc -0000028001000000 +0000000048002754 +0000018001000000 000000004e800020 0000000000000000 4c00012c7c0007ac 000000004e800020 0000000000000000 -3842ac203c4c0001 -7d8000267c0802a6 -9181000848002619 -48001a81f821fed1 +3842ac283c4c0001 +7d6000267c0802a6 +916100084800268d +48001a61f821fed1 3c62ffff60000000 -4bffff3938637a98 +4bffff4138637b08 788400203c80c000 7c8026ea7c0004ac 3fe0c0003c62ffff -63ff000838637ab8 -3c62ffff4bffff15 -38637ad87bff0020 -7c0004ac4bffff05 +63ff000838637b28 +3c62ffff4bffff1d +38637b487bff0020 +7c0004ac4bffff0d 73e900017fe0feea 3c62ffff41820010 -4bfffee938637af0 -4e00000073e90002 +4bfffef138637b60 +4d80000073e90002 3c62ffff41820010 -4bfffed138637af8 -4d80000073e90004 +4bfffed938637b68 +4e00000073e90004 3c62ffff41820010 -4bfffeb938637b00 +4bfffec138637b70 4d00000073e90008 3c62ffff41820010 -4bfffea138637b08 +4bfffea938637b78 4182001073e90010 -38637b183c62ffff -73ff01004bfffe8d +38637b883c62ffff +73ff01004bfffe95 3c62ffff41820010 -4bfffe7938637b28 -3b7b7b303f62ffff -4bfffe697f63db78 -3c80c000418e0028 +4bfffe8138637b98 +3b7b7ba03f62ffff +4bfffe717f63db78 +3c80c00041920028 7884002060840010 7c8026ea7c0004ac 7884b5823c62ffff -4bfffe4138637b38 -3c80c0004192004c +4bfffe4938637ba8 +3c80c000418e004c 7884002060840018 7c8026ea7c0004ac 788460223c62ffff -4bfffe1938637b50 +4bfffe2138637bc0 608400303c80c000 7c0004ac78840020 3c62ffff7c8026ea -38637b687884b282 -3d20c0004bfffdf5 +38637bd87884b282 +3d20c0004bfffdfd 7929002061290020 7d204eea7c0004ac 792906003c80000f 3c62ffff60844240 -38637b807c892392 -418a025c4bfffdc5 -639c00383f80c000 -7c0004ac7b9c0020 -3d40c0007f80e6ea +38637bf07c892392 +418a02604bfffdcd +63de00383fc0c000 +7c0004ac7bde0020 +3d40c0007fc0f6ea 614a600439200002 7c0004ac794a0020 3fe0c0007d2057aa 63ff60003920ff9f 7c0004ac7bff0020 7c0004ac7d20ffaa -7c0004ac7fc0feaa 7c0004ac7fa0feaa -4bfffd1d7fe0feaa +7c0004ac7f80feaa +4bfffd257fe0feaa 57e6063e3c62ffff -57c4063e57a5063e -57f8063e38637ba0 -7fc9eb784bfffd45 -7d29fb7857b9063e -5529063e57da063e +57a4063e5785063e +57f8063e38637c10 +7fa9e3784bfffd4d +7d29fb78579a063e +5529063e57b9063e 418201682c090000 -7fdef8387fdee838 -2c1e00ff57de063e -2c1a000141820154 -2c19000240820360 -73bd00bf41820010 -408201302c1d0020 +7fbdf8387fbde038 +2c1d00ff57bd063e +2c19000141820154 +2c1a000240820184 +739c00bf41820010 +408201302c1c0020 57ff063e3bffffe8 41810120281f0001 392000353fe0c000 7bff002063ff6000 7d20ffaa7c0004ac -3b4000023fc0c000 -7bde002063de6004 -7f40f7aa7c0004ac +3b4000023fa0c000 +7bbd002063bd6004 +7f40efaa7c0004ac 7d20ffaa7c0004ac -7fa0feaa7c0004ac -3c62ffff4bfffc61 -38637bc057a4063e -73a900024bfffc95 +7f80feaa7c0004ac +3c62ffff4bfffc69 +38637c305784063e +738900024bfffc9d 3c62ffff40820090 -4bfffc8138637be0 -7f40f7aa7c0004ac +4bfffc8938637c50 +7f40efaa7c0004ac 7c0004ac39200006 -4bfffc257d20ffaa -7f40f7aa7c0004ac +4bfffc2d7d20ffaa +7f40efaa7c0004ac 7c0004ac39200001 392000007d20ffaa 7d20ffaa7c0004ac -7c0004ac63bd0002 -7c0004ac7fa0ffaa -4bfffbed7d20f7aa +7c0004ac639c0002 +7c0004ac7f80ffaa +4bfffbf57d20efaa 3b4000053b000002 7c0004ac7ff9fb78 -7c0004ac7f00f7aa +7c0004ac7f00efaa 7c0004ac7f40cfaa -4bfffbc57fa0feaa -4082ffe073bd0001 -38637bf83c62ffff -3d40c0004bfffbf5 +4bfffbcd7f80feaa +4082ffe0739c0001 +38637c683c62ffff +3d40c0004bfffbfd 794a0020614a6008 7d20562a7c0004ac 652920005529021e 7c0004ac61291f6b 7f63db787d20572a -3c62ffff4bfffbc5 -38637c087b840020 -4bfffbb17f9ae378 -7f63db783be00001 -419200244bfffba5 -3c62ffff3ca2ffff -38637c3838a57c28 -4bfffb897ca42b78 -6000000048000bb1 -3c62ffff418e0024 -4bfffb7138637c68 -4800013c38600000 -3b4000003be00000 -73ff00014bffffb4 -3c62ffff418200a4 -4bfffb4938637c80 -38a000403c9af000 +3c62ffff4bfffbcd +38637c787bc40020 +4bfffbb97fdaf378 +4bfffbb17f63db78 +419200d0408e0094 +38637c983c62ffff +386000004bfffb9d +2c190020480001a0 +2c1a00ba4082ffbc +2c1800184082ffb4 +3c62ffff4082ffac +4bfffb7138637c60 +7f63db784bffff68 +408e00684bfffb65 +3c62ffff4092ffb8 +4bfffb5138637da8 +38a000003c80ff00 +60a5a00060846000 +3c60400078840020 +600000004800177d +38637dc83c62ffff +4bfffb9d4bfffb25 +3c82ffff4bffff84 +38847cb03c62ffff +4bfffb0938637cc0 +6000000048000ba9 +3c82ffff4bffff54 +38847cb03c62ffff +4bfffae938637cc0 +6000000048000b89 +3c62ffff4bffff80 +4bfffad138637ce0 +38a000403c9ef000 3861007078840020 -6000000048001791 +6000000048001705 3d400002e9210070 614a464c3c62ffff -794a83e438637c98 +794a83e438637cf8 614a457f79290600 408200247c295000 2c09000189210075 a121008240820010 -418200802c090015 -38637cb83c62ffff -892100774bfffae5 +418200442c090015 +38637d183c62ffff +892100774bfffa6d 8901007489410076 3c62ffff88e10073 88a1007188c10072 -38637d1888810070 +38637d7888810070 89210075f9210060 -3c62ffff4bfffab5 -4bfffaa938637d48 -38a000003c80ff00 -60a5a00060846000 -3c60400078840020 -60000000480016e9 -38637d683c62ffff -4bfffafd4bfffa7d -ebe100904bffff08 -3ba000003f02ffff -3b187cd03b2100b0 -a12100a87ffafa14 +4bfffee04bfffa3d +3f22ffffe9210090 +3b397d303ba00000 +a12100a87fde4a14 418000347c1d4840 3c62ffff80810088 -4bfffa4138637cf8 -e86100884bfffac1 -4182ff802c23ffff -8181000838210130 -480021347d838120 -38a000383c9ff000 -7f23cb7878840020 -6000000048001669 +4bfffa0938637d58 +e86100884bfffa81 +4182fea02c23ffff +8161000838210130 +480021687d638120 +38a000383c9ef000 +386100b078840020 +600000004800161d 2c090001812100b0 eb6100d040820048 -ebc100b8eb8100c0 -7f03c3787ba40020 +ebe100b8eb8100c0 +7f23cb787ba40020 7b6500207f86e378 -4bfff9d93fdef000 -7b6500207c9af214 +4bfff9a13ffff000 +7b6500207c9fd214 7f83e37878840020 -6000000048001621 -7fff4a14a12100a6 +60000000480015d5 +7fde4a14a12100a6 4bffff583bbd0001 -4082fde02c1a0020 -4082fdd82c1900ba -4082fdd02c180018 -38637bf03c62ffff -4bfffd8c4bfff98d 0300000000000000 3d20c80000000880 7929002061291004 @@ -775,273 +777,286 @@ ebc100b8eb8100c0 600000004d820020 000000004bfffff0 0000000000000000 -3842a4b83c4c0001 -48001ec57c0802a6 -39200008f821ffa1 +3842a4a83c4c0001 +392000087c0802a6 3d0080207d2903a6 -7c7e1b7861080003 -790800203941001f -788407e07889f862 -7c8440387c8400d0 +7908002061080003 +f821ffa148001f0d +3b81001f7c7f1b78 +7889f8627f8ae378 +7c8400d0788407e0 +7c894a787c844038 +9d2a00017d244b78 +392000084200ffe4 +7d2903a63d008020 +610800033ba10027 +3bc000087faaeb78 +7889f86279080020 +7c8400d0788407e0 +7c8440383bdeffff 7d244b787c894a78 -4200ffe49d2a0001 -3ce0802039400008 -3be100277d4903a6 -7fe8fb7860e70003 -78e7002039200008 -788407e0788af862 -3929ffff7c8400d0 -7c8a52787c843838 -9d4800017d445378 -3d40c8004200ffe0 +4200ffe09d2a0001 +392000003d40c800 794a0020614a100c 7d20572a7c0004ac 614a10103d40c800 7c0004ac794a0020 386000097d20572a -3860000f4bfffdc5 -392100204bffff05 -614a10143d40c800 -794a00207d204c2c -7d20572a7c0004ac -392100243d40c800 -7d204c2c614a1018 -7c0004ac794a0020 -394000047d20572a -7d4903a639200000 -792a400c8d5f0001 -4200fff47d495378 -614a10343d40c800 -7c0004ac794a0020 -390000047d20572a -7d0903a63941002b -392000003be00004 -3bffffff8d0a0001 -7d0943787928400c +3860000f4bfffdbd +394000044bfffefd +7d4903a639200004 +3929ffff8d5c0001 +7d5e53787bca400c 3d40c8004200fff0 -794a0020614a1038 -7d20572a7c0004ac -6129102c3d20c800 +794a0020614a1014 +7fc0572a7c0004ac +3901002338e00004 +394000047ce903a6 +394affff8ce80001 +7ce93b787927400c +3d00c8004200fff0 +7908002061081018 +7ce0472a7c0004ac +3920000439000004 +8d1d00017d0903a6 +7948400c3929ffff +4200fff07d0a4378 +610810343d00c800 +7c0004ac79080020 +390000047d40472a +7d0903a63941002b +7928400c8d0a0001 +4200fff47d094378 +614a10383d40c800 +7c0004ac794a0020 +3d20c8007d20572a +6129102c3bc00000 7c0004ac79290020 -3d20c8007fe04f2a +3d20c8007fc04f2a 7929002061291030 -7fe04f2a7c0004ac +7fc04f2a7c0004ac 392000173d40c800 794a0020614a1024 7d20572a7c0004ac 3ba000013d20c800 7929002061291028 7fa04f2a7c0004ac -4bfffe013860000f +4bfffdc93860000f 612908143d20c800 7c0004ac79290020 3f80c8007fa04f2a 7b9c0020639c100c -7fe0e72a7c0004ac +7fc0e72a7c0004ac 63bd10103fa0c800 7c0004ac7bbd0020 -386000257fe0ef2a -3860000f4bfffc75 -7c0004ac4bfffdb5 -7c0004ac7fe0e72a -3860000b7fe0ef2a -3860000f4bfffc55 -3d20c8004bfffd95 -6129101c3ca0c800 -3cc033333d605555 -60a5103c3c000f0f -38e0000039010020 -209e000179290020 -616b555538610030 -60000f0f60c63333 -7c0004ac78a50020 -3ba000047d404e2a -7fa903a6794a0020 -9d4cffff39810034 -4200fff8794ac202 -7c0004ac39290004 -394100347d204e2a -392000087d20552c -7d2903a639400000 -7c092000554907fe -7faa18ae40820054 -7d29ea787d2850ae -7929fe62553d063e -7d29e8507d295838 -5529f0be7d2c3038 -7d8c4a147d293038 -7d2962145589e13e -553dc23e7d290038 -553d843e7d29ea14 -552906be7d29ea14 -394a00017fff4a14 -2c0700014200ffa0 -7ca92b7839080008 +386000257fc0ef2a +3860000f4bfffc3d +7c0004ac4bfffd7d +7c0004ac7fc0e72a +3860000b7fc0ef2a +4bfffc193bc10030 +4bfffd593860000f +3c80c8003d20c800 +3c0055556129101c +3d800f0f3ca03333 +38e100206084103c +3860000038c00000 +217f000179290020 +60a5333360005555 +78840020618c0f0f +7d004e2a7c0004ac +790800203b800004 +3ba100347f8903a6 +9d1dffff39400004 +7908c202394affff +392900044200fff4 +7d204e2a7c0004ac +7d20452c39010034 +7d2903a639200008 +7c095800554907fe +7d0af0ae40820054 +7d2942787d2750ae +7929fe625528063e +7d2940507d290038 +5529f0be7d282838 +7d084a147d292838 +7d2942145509e13e +5528c23e7d296038 +5528843e7d294214 +552906be7d294214 +394a00017c634a14 +2c0600014200ffa0 +7c89237838e70008 3d20c80040820034 7929002061290818 7d204e2a7c0004ac -712900017d29f430 -3bff000140820008 -7be3002038210060 -38e0000148001bc0 -000000004bffff14 +712900017d29fc30 +3863000140820008 +7863002038210060 +38c0000148001bdc +000000004bffff10 0000048001000000 -3842a1403c4c0001 -48001b457c0802a6 -3ba00000f821ff71 -4bfffb2d7c7f1b78 +3842a0f03c4c0001 +48001b617c0802a6 +7c7f1b78f821ff71 +4bfffaed3bc00000 7fe3fb783880002a -388000544bfffc69 -7c7e1b783b9d0001 -4bfffc557fe3fb78 -2c0300007c63f214 +388000544bfffc29 +7c7d1b783b9e0001 +4bfffc157fe3fb78 +2c0300007c63ea14 2c1c00084182001c 7fe3fb7841820074 -4bfffb4d7f9de378 -7fbeeb784bffffc0 -3b7d00017fe3fb78 -3b80ffff4bfffb39 +4bfffb0d7f9ee378 +7fdcf3784bffffc0 +3b7e00017fe3fb78 +3ba0ffff4bfffaf9 7fe3fb783880002a -388000544bfffc11 +388000544bfffbd1 7fe3fb787c7a1b78 -7c63d2144bfffc01 +7c63d2144bfffbc1 418200102c030000 -408200082c1cffff -3b7b00017f7cdb78 +408200082c1dffff +3b7b00017f7ddb78 4181001c2c1b0007 -4bfffaed7fe3fb78 -3ba000084bffffb8 -4bffff9c3bc0ffff -395d00022c1d0006 -4181000839200000 -2c1cffff213d0006 -408200087d295214 -2c1effff7d3c4b78 -7fbd0e707fbee214 -57bd077e7fbd0194 -3c62ffff40820038 -4bfff37138637d80 -7fe3fb7860000000 -4bfffa253bc00000 -4bfffb2938600064 -408200347c1df000 -48001a6438210090 -3c62ffff7cbee050 +4bfffaad7fe3fb78 +3bc000084bffffb8 +4bffff9c3b80ffff +4082001c2c1dffff +3ba000002c1e0006 +23be000641810008 +7fbdf2143bde0002 +4082001c2c1cffff +38637de03c62ffff +600000004bfff335 +48001ab038210090 +7c9cea147cbce850 7ca501947ca50e70 -38637d907fa407b4 -4bfff3297ca507b4 -4bffffb860000000 -3bde00017fe3fb78 -386000644bfffa39 -4bffffb44bfffadd -0100000000000000 -3c4c000100000680 -3d20c80038429fb4 -7929002061291000 -7d404e2a7c0004ac -4d820020280a000e -f80100107c0802a6 -3940000ef821ffa1 -7d404f2a7c0004ac -38637da83c62ffff -600000004bfff2b5 -e801001038210060 -4e8000207c0803a6 -0100000000000000 -3c4c000100000080 -3d20c80038429f4c -7929002061291000 -7d404e2a7c0004ac -4d820020280a0001 -f80100107c0802a6 -39400001f821ffa1 -7d404f2a7c0004ac -38637dd03c62ffff -600000004bfff24d -e801001038210060 -4e8000207c0803a6 -0100000000000000 -3c4c000100000080 -7c0802a638429ee4 -f821ff01480018b9 -3f00c8003f80c800 -3e82ffff3ea2ffff +3c62ffff789bff62 +7f64db787ca507b4 +3bc0000838637df0 +600000004bfff2fd +3ba000007fe3fb78 +386000644bfff9c1 +7c1be8004bfffac5 +3880002a4082003c +4bfffaed7fe3fb78 +7c7d1b7838800054 +4bfffadd7fe3fb78 +2c0300007c63ea14 +3bdeffff4182ff88 +4082ffb42c1e0000 +7fe3fb784bffff78 +4bfff9cd3bbd0001 +4bfffa7138600064 +000000004bffffac +0000068001000000 +38429f383c4c0001 +612910003d20c800 +7c0004ac79290020 +280a000e7d404e2a +7c0802a64d820020 +f80100103940000e +7c0004acf821ffa1 +3c62ffff7d404f2a +4bfff23938637e08 +3821006060000000 +7c0803a6e8010010 +000000004e800020 +0000008001000000 +38429ed03c4c0001 +612910003d20c800 +7c0004ac79290020 +280a00017d404e2a +7c0802a64d820020 +f801001039400001 +7c0004acf821ffa1 +3c62ffff7d404f2a +4bfff1d138637e30 +3821006060000000 +7c0803a6e8010010 +000000004e800020 +0000008001000000 +38429e683c4c0001 +3d22ffff7c0802a6 +480018a139297e58 +3f80c800f821ff01 +3e82ffff3f00c800 3f22ffff3e62ffff 63180810639c0800 3ba000003e42ffff -3ae000003b400001 -3a947e083ab57df8 -3b397b303a737e10 +f92100603b400001 +3a947e683ae00000 +3b397ba03a737e70 7b1800207b9c0020 -7ba307e03a527e18 -4bfff9457fb0eb78 +7ba307e03a527e78 +4bfff8d57fb0eb78 3be000007f56e830 39e000003a200000 -7de507b47fbe07b4 -7ea3ab787fc4f378 -4bfff19939c00008 -7fc3f37860000000 -4bfff84d3b600000 +e86100607fbe07b4 +7fc4f3787de507b4 +3b60000039c00008 +600000004bfff115 +4bfff7dd7fc3f378 7fc3f3783880002a -388000544bfff989 -f861006039ceffff -4bfff9757fc3f378 -7c634a14e9210060 -206300807c640034 -5489502a5484d97e -7d291a147c8407b4 -7f7b4a147e83a378 -600000004bfff13d -4bfff8557fc3f378 -4082ffa42c0e0000 -4bfff1217e639b78 +388000544bfff919 +7fc3f3787c751b78 +7c63aa144bfff909 +212300807c640034 +5484d97e7e83a378 +7c8407b4548a502a +7f7b4a147d295214 +600000004bfff0c5 +4bfff7ed7fc3f378 +4082ffac35ceffff +4bfff0a97e639b78 7fc3f37860000000 -7f23cb784bfffc99 -600000004bfff10d +7f23cb784bfffc71 +600000004bfff095 4080000c7c11d840 7f71db787dff7b78 4182002c2c0f0003 7ec0e72a7c0004ac 7f40c72a7c0004ac 7ee0e72a7c0004ac -4bffff2839ef0001 -4bffff003ba00001 +4bffff3039ef0001 +4bffff083ba00001 7fc4f3787fe507b4 7bff00207e439378 -600000004bfff0b5 -4bfff82d7a0307e0 +600000004bfff03d +4bfff7c57a0307e0 7d2903a6393f0001 7fc3f37842000028 -7f23cb784bfffc19 -600000004bfff08d -4082ffb42c1d0001 -4800176438210100 +7f23cb784bfffbf1 +600000004bfff015 +4182ffb42c1d0000 +4800175838210100 7ec0e72a7c0004ac 7f40c72a7c0004ac 7ee0e72a7c0004ac 000000004bffffc0 0000128001000000 -38429d083c4c0001 +38429c903c4c0001 f80100107c0802a6 -4bfffd49f821ffa1 -4bfff6f538600000 -4bfff7ad38600000 -4bfff6e538600001 -4bfff79d38600001 -38637e303c62ffff -600000004bfff00d -4bfffd794bfffde5 +4bfffd4df821ffa1 +4bfff68d38600000 +4bfff74538600000 +4bfff67d38600001 +4bfff73538600001 +38637e903c62ffff +600000004bffef95 +4bfffd7d4bfffde9 3860000138210060 7c0803a6e8010010 000000004e800020 0000008001000000 -38429c983c4c0001 -4800169d7c0802a6 -3c804000f821ff71 -3f60c8003c62ffff -3be0000038637e40 -600000004bffefb5 -4bfffcb97b7b0020 +38429c203c4c0001 +3c62ffff7c0802a6 +38637ea03c804000 +f821ff7148001685 +3be000003f60c800 +4bffef397b7b0020 +4bfffcbd60000000 7fe0df2a7c0004ac 635a00043f40c800 7c0004ac7b5a0020 @@ -1054,47 +1069,86 @@ f80100107c0802a6 639c10003920000c 7c0004ac7b9c0020 386000007d20e72a -4bfff7116063c350 +4bfff6a96063c350 7fe0ef2a7c0004ac 7fe0f72a7c0004ac 7c0004ac3920000e 386027107d20e72a -392002004bfff6ed +392002004bfff685 7d20ef2a7c0004ac 7c0004ac39200002 3860000f7d20f72a -7c0004ac4bfff585 +7c0004ac4bfff51d 392000037fe0ef2a 7d20f72a7c0004ac -4bfff5693860000f +4bfff5013860000f 7c0004ac39200006 3b8000017d20ef2a 7f80f72a7c0004ac -4bfff5493860000f +4bfff4e13860000f 7c0004ac39200320 7c0004ac7d20ef2a 3860000f7fe0f72a -386000c84bfff52d -392004004bfff66d +386000c84bfff4c5 +392004004bfff605 7d20ef2a7c0004ac 7fe0f72a7c0004ac -4bfff50938600003 -4bfff649386000c8 -4bfffbe94bfffe31 +4bfff4a138600003 +4bfff5e1386000c8 +4bfffbed4bfffe31 3c6040003c800020 -6000000048000779 +60000000480006e9 408200242c030000 7c0004ac7c691b78 7c0004ac7f80d72a 382100907f80df2a -480015547d2307b4 +480015487d2307b4 38a0000038c00000 3c6040003c800020 -600000004800055d +6000000048000471 7f80df2a7c0004ac 4bffffd039200001 0100000000000000 -2c24000000000680 +3c4c000100000680 +7c0802a638429a44 +f8010010282303ff +41810028f821ffa1 +3c62ffff7c641b78 +4bffed6138637ec0 +3821006060000000 +7c0803a6e8010010 +3d2000104e800020 +408000287c234840 +39200066786505a0 +7864b2827ca54b92 +38637ec83c62ffff +600000004bffed25 +3d2040004bffffc4 +7c23484078646502 +7863b28240800024 +7d29185078895564 +3c62ffff38a00066 +38637ed87ca92b92 +786317824bffffc8 +7865556439200066 +7c641b787ca52050 +7ca54b923c62ffff +4bffffa438637ee8 +0100000000000000 +3c4c000100000080 +7c0802a638429974 +7cc42a14fbe1fff8 +7c8523787cbf2b78 +3c62ffff7c641b78 +38637ef878c60020 +f821ff91f8010010 +600000004bffec85 +4bfffef97fe3fb78 +38637f083c62ffff +600000004bffec6d +4800141038210070 +0100000000000000 +2c24000000000180 7869f84241820024 7c6300d0786307e0 5463028054630794 @@ -1102,54 +1156,15 @@ f80100107c0802a6 386300014e800020 000000004bfffff4 0000000000000000 -38429a803c4c0001 -f80100107c0802a6 -282303fff821ffa1 -7c641b7841810028 -38637e603c62ffff -600000004bffed9d -e801001038210060 -4e8000207c0803a6 -7c2348403d200010 -786505a040800028 -7ca54b9239200066 -3c62ffff7864b282 -4bffed6138637e68 -4bffffc460000000 -786465023d204000 -408000247c234840 -788955647863b282 -38a000667d291850 -7ca92b923c62ffff -4bffffc838637e78 -3920006678631782 -7ca5205078655564 -3c62ffff7c641b78 -38637e887ca54b92 -000000004bffffa4 -0000008001000000 -384299b03c4c0001 -fbe1fff87c0802a6 -f821ff91f8010010 -7cbf2b787cc42a14 -7c641b787c852378 -78c600203c62ffff -4bffecc138637e98 -7fe3fb7860000000 -3c62ffff4bfffef9 -4bffeca938637ea8 -3821007060000000 -00000000480013e0 -0000018001000000 -384299483c4c0001 -480013557c0802a6 -3d40aaaaf821ffc1 -7c7f1b7878840764 +384298d03c4c0001 +788407647c0802a6 +7c691b783d40aaaa +48001339614aaaaa 7884f0827f832214 -7c7d1b7839040001 -7c691b787d0903a6 -42000080614aaaaa -600000004bffecc1 +39040001f821ffc1 +7d0903a67c7f1b78 +420000807c7d1b78 +600000004bffec41 3d00aaaa7d3fe050 7feafb787929f082 3bc0000039290001 @@ -1159,12 +1174,12 @@ f821ff91f8010010 392900017feafb78 7d2903a661085555 7fffe05042000058 -600000004bffec71 +600000004bffebf1 3d2055557bfff082 61295555395f0001 420000407d4903a6 7fc307b438210040 -91490000480012f8 +91490000480012ec 4bffff7839290004 7c094000812a0000 3bde000141820008 @@ -1175,608 +1190,607 @@ f821ff91f8010010 3bbd00043bde0001 000000004bffffac 0000048001000000 -384298383c4c0001 -480012497c0802a6 -39200001f821ffc1 -2fa50000789ff022 -7c7e1b78391f0001 -394000007d0903a6 -420000387cbd2b78 -4bffebb57bff0020 -391f000160000000 -7d0903a62fbd0000 -3860000039200001 -4200004039400000 -7c6307b438210040 -419e00284800123c -792907e07928fc62 -7129d0087d2900d0 -792813a87d294278 -394a00017d5e412e -392900014bffffa4 -419e00384bffffec -792907e07928fc62 -7129d0087d2900d0 -792813a87d294278 -5508043e7d1e402e -418200087c085000 -394a000138630001 -392900014bffff8c -000000004bffffdc -0000038001000000 -384297503c4c0001 -7d8000267c0802a6 -918100084800113d -2e260000f821ff41 +384297c03c4c0001 +7c0802a67d600026 +2e26000091610008 +f821ff4148001211 7cba2b787c7f1b78 789cf0827cde3378 -81260004419200c4 +81260004419200c0 2c09000082e60000 -3ec2ffff40820048 +3f02ffff40820044 3b6000013ba00000 -7bf800207ff9fb78 -7c3ce8403ad67eb0 -3c62ffff4082009c -38637eb07b851028 -4bfffd357b240020 -38637b303c62ffff -600000004bffea15 -600000004bffea81 -7ffbfb782d970000 -3ac000013ba00000 -7bf500203b200000 -7fb8eb787c3de040 -2c17000040820084 -3c62ffff41820028 -38637ec07b051028 -4bfffcdd7be40020 -38637b303c62ffff -600000004bffe9bd -7f2307b4382100c0 -7d81812081810008 -3ae00001480010ac -7b6300204bffff4c -4bfffb917f44d378 -7c7f492e7ba91764 -7c7b1b7873a97fff -7ba5102840820014 -7ec3b3787f04c378 -3bbd00014bfffc81 -7f44d3784bffff2c -4bfffb597ac30020 -7c651b78809b0000 -7c0320407c761b78 -3b3900014182003c -e99e000841920034 -418200282c2c0000 -e8de00107d8903a6 -f841001878840020 -4e8004217b630020 -2c030000e8410018 -73097fff4082ff58 -418e00184082001c +3b187f107bf90020 +4082009c7c3ce840 +7b8510283c62ffff +7be4002038637f10 +3c62ffff4bfffde5 +4bffea8938637ba0 +4bffeaed60000000 +2d97000060000000 +3ba000007ffbfb78 +3b2000003ac00001 +7c3de0407bf50020 +408200847fb8eb78 +418200282c170000 7b0510283c62ffff -38637ec07ea4ab78 -3bbd00014bfffc01 -4bfffef43b7b0004 -0300000000000000 -3c4c000100000b80 -7c0802a63842958c -918100087d908026 -f821ff7148000f89 -7c7e1b787cdd3378 -7c9f23782e3d0000 -3c62ffff7c641b78 -7cbc2b7838637ed0 -600000004bffe895 -38637ee83c62ffff -3c62ffff4092000c -4bffe87938637ef8 -7fe3fb7860000000 -4bfffaad7bfde8c2 -38637f083c62ffff -600000004bffe85d -408200742c3c0000 -38fd00017d5602a6 -7ce903a67fc9f378 -420000843900ffff -3f8002dc7d3602a6 -639c6c00794a0020 -7f9fe1d279290020 -3c62ffff7d295050 -7f9c4b9238637f10 -600000004bffe80d -4bfffa457f83e378 -38637f203c62ffff -600000004bffe7f5 -38637b303c62ffff -600000004bffe7e5 -600000004bffe851 -409200287f7602a6 -7d2903a6393d0001 -e93e000042400030 -4bfffff43bde0008 -39290008f9090000 -7fbaeb784bffff74 -3b80000039400000 -4082006c7c1ae000 +7be4002038637f20 +3c62ffff4bfffd8d +4bffea3138637ba0 +382100c060000000 +816100087f2307b4 +4800118c7d618120 +4bffff503ae00001 +7f44d3787b630020 +7ba917644bfffdb5 +73a97fff7c7f492e +408200147c7b1b78 +7f24cb787ba51028 +4bfffd317f03c378 +4bffff2c3bbd0001 +7ac300207f44d378 +809b00004bfffd7d +7c761b787c651b78 +4182003c7c032040 +419200343b390001 +2c2c0000e99e0008 +7d8903a641820028 +78840020e8de0010 +7b630020f8410018 +e84100184e800421 +4082ff582c030000 +4082001c73187fff +3c62ffff418e0018 +7ea4ab787ba51028 +4bfffcb138637f20 +3b7b00043bbd0001 +000000004bfffef4 +00000b8003000000 +384296003c4c0001 +7c0802a67d708026 +4800106991610008 +7cdb3378f821ff71 +2e3b00003ba4ffe0 +7c9e23787c7f1b78 +7c641b787fa3ea14 +38637f303c62ffff +4bffe9017cbc2b78 +3c62ffff60000000 +4092000c38637f48 +38637f583c62ffff +600000004bffe8e5 +4bfffb597fc3f378 +38637f683c62ffff +600000004bffe8cd +408200a82c3c0000 +38df00207cf602a6 +7c26284038bd0020 +7929d9427d3fe850 +3900ffff7feafb78 +4081000839290001 +2c29000139200001 +3929fffff90a0000 +f90a0010f90a0008 +394a0020f90a0018 +7d3602a64082ffe4 +78ea00203f8002dc +79290020639c6c00 +7d2950507f9ee1d2 +38637f703c62ffff +4bffe8497f9c4b92 +7f83e37860000000 +3c62ffff4bfffabd +4bffe83138637f80 +3c62ffff60000000 +4bffe82138637ba0 +4bffe88560000000 +7f9602a660000000 +7d3fe85040920048 +3bbd0020395f0020 +7c2ae8407929d942 +4081000839290001 +2c29000139200001 +3929ffffe95f0000 +e95f0010e95f0008 +3bff0020e95f0018 +4800001c4082ffe4 +394000007bdbe8c2 +3ba000007f7adb78 +4082006c7c1dd000 3d4002dc7d3602a6 -614a6c007b7b0020 -7fff51d279290020 -3c62ffff7d29d850 -7fff4b9238637f28 +614a6c007b9c0020 +7fde51d279290020 +3c62ffff7d29e050 +7fde4b9238637f88 +600000004bffe785 +4bfff9f97fc3f378 +38637f803c62ffff 600000004bffe76d -4bfff9a57fe3fb78 -38637f203c62ffff -600000004bffe755 -38637b303c62ffff -600000004bffe745 -8181000838210090 -48000e4c7d908120 -794300207f8407b4 -3b9c00014bfff925 -7c6a1b787d23eb96 -7d2918507d29e9d6 -7d3e482a79291f48 +38637ba03c62ffff +600000004bffe75d +8161000838210090 +48000ed07d708120 +794300207fa407b4 +3bbd00014bfffaed +7c6a1b787d23db96 +7d2918507d29d9d6 +7d3f482a79291f48 000000004bffff68 0000068003000000 -384293b03c4c0001 -48000db57c0802a6 -3b400200f821ff71 -7c7e1b7828240200 -418100087c9f2378 -283f80007c9a2378 -4081000c7ffbfb78 -577b04203b60ffff -7fc4f3783c62ffff -4bffe6a938637f38 -7fe3fb7860000000 -3c62ffff4bfff8e1 -4bffe69138637f08 -7f44d37860000000 -4bfff9fd7fc3f378 -7f64db7838a00000 -7fc3f3787c7c1b78 -38c000004bfffaf9 +384293c83c4c0001 +282402007c0802a6 +f821ff8148000e3d +7c9f23787c7e1b78 +418100083b800200 +3c62ffff7c9c2378 +38637f987fc4f378 +600000004bffe6d5 +4bfff9497fe3fb78 +38637f683c62ffff +600000004bffe6bd +7fc3f3787f84e378 +38c000004bfffaa1 7fe4fb7838a00001 7fc3f3787c7d1b78 -7d3de2144bfffbc9 -7c7e1b787d291a14 -4182006c2c090000 -7b45f8823c62ffff -38637f487f84e378 -600000004bffe62d -7b65f0823c62ffff -38637f607fa4eb78 -600000004bffe615 +7d23ea144bfffb99 +2c0900007c7e1b78 +3c62ffff41820080 +7fa4eb787b85f882 +4bffe67138637fa8 +283f800060000000 +4081000c7fe5fb78 +54a5042038a0ffff +78a5f0823c62ffff +38637fc038800000 +600000004bffe645 7be5f0823c62ffff -38637f787fc4f378 +38637fd87fc4f378 +600000004bffe62d +38637ff03c62ffff +600000004bffe61d +3821008038600000 +48000d987c6307b4 +3862800060000000 600000004bffe5fd -38637f903c62ffff -600000004bffe5ed -3821009038600000 -48000cf47c6307b4 -38637fa03c62ffff -600000004bffe5cd 4bffffe038600001 0100000000000000 -3c4c000100000680 -600000003842926c -6000000089228020 -2c09000039428018 -e92a00004182002c +3c4c000100000480 +600000003842929c +6000000089228080 +2c09000039428078 +e92a000041820030 7c0004ac39290014 712900207d204eaa -e92a00004182ffec -7c604faa7c0004ac -e92a00004e800020 -7c0004ac39290010 -712900087d204eea -5469063e4082ffec -7c0004ace94a0000 +600000004182ffec +7c0004ace9228078 +4e8000207c604faa +39290010e92a0000 +7d204eea7c0004ac +4082ffec71290008 +600000005469063e +7c0004ace9428078 4e8000207d2057ea 0000000000000000 3c4c000100000000 -7c0802a6384291ec -fbe1fff8fbc1fff0 -f821ffd1f8010010 -8fdf00013be3ffff +7c0802a638429214 +fbc1fff0fbe1fff8 +f80100103be3ffff +8fdf0001f821ffd1 408200102c1e0000 3860000038210030 -2c1e000a48000c3c +2c1e000a48000cd0 3860000d4082000c -7fc307b44bffff45 -4bffffd04bffff3d +7fc307b44bffff3d +4bffffd04bffff35 0100000000000000 3c4c000100000280 -3d40c0003842918c -794a0020614a0020 -7d4056ea7c0004ac -794a06003d20c000 -7929002061290008 +3d20c000384291b4 +7929002061290020 7d204eea7c0004ac -4182001871290020 -612900403d20c000 -7c0004ac79290020 -7929f8047d204eea -79290fc33d00c000 -7908002061082000 -f902801860000000 -610820003d00001c -418200847d4a4392 +792906003d40c000 +794a0020614a0008 +7d4056ea7c0004ac +3d40c000714a0020 +794a0020614a2000 +6000000040820040 +39400000f9428078 +9942808060000000 +614a20003d40001c +3d40c0007d295392 +794a0020614a2018 +7c0004ac3929ffff +4e8000207d2057ea +610800403d00c000 +7c0004ac79080020 +790807e37d0046ea +f942807860000000 +614a20003d40001c +4182ffa07d495392 3920000160000000 -3d00c00099228020 +3d00c00099228080 3920ff806108200c 7c0004ac79080020 -e92280187d2047aa +e92280787d2047aa 7d404faa7c0004ac -794ac202e9228018 +794ac202e9228078 7c0004ac39290004 -e92280187d404faa +e92280787d404faa 3929000c39400003 7d404faa7c0004ac -39290010e9228018 +39290010e9228078 7d404faa7c0004ac -39400007e9228018 +39400007e9228078 7c0004ac39290008 4e8000207d404faa -394affff60000000 -3d20c00099228020 -7929002061292018 -7d404fea7c0004ac -000000004e800020 0000000000000000 -3940000078a9e8c2 -7d2903a639290001 -78a9072442000028 -7d434a147ca92850 -7c844a1439050001 -392000007d0903a6 -4e80002042000018 -7d23512a7d24502a -4bffffcc394a0008 -7d0a49ae7d0448ae -4bffffdc39290001 -0000000000000000 -7c691b7800000000 -7d4918ae38600000 -4d8200202c0a0000 -4bfffff038630001 -0000000000000000 -2c24000000000000 -3881fff040820008 -f864000028050024 -4d81002038600000 -6108ffff3d00fffe -6108d9ff790883e4 -89490000e9240000 -40810028280a0020 -4182003c2c250000 -418200382c050010 -4800008838600000 -f924000039290001 -7d0a56344bffffd0 -4182ffec714a0001 -4082ffdc2c250000 -4bffffd438a0000a -2c0a003038a0000a -894900014082ffc8 -4082ffbc2c0a0078 -38a0001039290002 -4bffffacf9240000 -54e7063e38eaffd0 -4181003c28070009 -7d2a07343929ffd0 -4c8000207c0a2800 -7c6519d239080001 -f90400007d290734 -e90400007c691a14 -714900ff89480000 -4e8000204082ffc0 -54e7063e38eaff9f -4181000c28070019 -4bffffb83929ffa9 -554a063e394affbf -4d810020280a0019 -4bffffa03929ffc9 -0000000000000000 -3923ff9f00000000 -4181000828090019 -7c6307b43863ffe0 -000000004e800020 +78a9e8c200000000 +3929000139400000 +420000287d2903a6 +78a5076078a90724 +7d434a1439050001 +7c844a147d0903a6 +4200001839200000 +7d24502a4e800020 +394a00087d23512a +7d0448ae4bffffcc +392900017d0a49ae +000000004bffffdc +0000000000000000 +386000007c691b78 +2c0a00007d4918ae +386300014d820020 +000000004bfffff0 +0000000000000000 +408200082c240000 +280500243881fff0 +38600000f8640000 +3d00fffe4d810020 +790883e46108ffff +e92400006108d9ff +280a002089490000 +2c25000040810040 +2c05001041820054 +2c0a003040820064 +894900014082006c +408200602c0a0078 +f924000039290002 +3929000148000054 +4bffffb8f9240000 +714a00017d0a5634 +2c2500004182ffec +38a0000a4082002c +2c0a00304800001c +4082001038a0000a +2c0a007889490001 +386000004182ffb8 +2c05001048000048 +38a000104082fff4 +38eaffd04bffffec +2807000954e7063e +3929ffd04181003c +7c0a28007d2a0734 +390800014c800020 +7d2907347c6519d2 +7c691a14f9040000 +89480000e9040000 +4082ffc0714900ff +38eaff9f4e800020 +2807001954e7063e +3929ffa94181000c +394affbf4bffffb8 +280a0019554a063e +3929ffc94d810020 +000000004bffffa0 +0000000000000000 +280900193923ff9f +3863ffe041810008 +4e8000207c6307b4 0000000000000000 -38428e883c4c0001 -480008897c0802a6 -7c7e1b78f821ffa1 -7ca32b787c9c2378 -38a0000a38800000 -eb3e00007cfd3b78 +3c4c000100000000 +7c0802a638428e7c +f821ffa1480008e9 +7cfd3b787c7e1b78 +7c9c23787ca32b78 +3880000038a0000a +7cdf3378eb3e0000 7d3a4b787d1b4378 -4bfffe797cdf3378 -2b9d001060000000 -7c681b7839200000 -408200242c3f0000 -408200082c090000 -7d29d21439200001 -418100547c034800 -4800087038210060 +600000004bfffe59 +394000002b9d0010 +4082005c2c3f0000 +408200082c0a0000 +7d4ad21439400001 +4081003c7c035000 +7d2948f87d235050 +3929000179290020 +e93e00007d2903a6 +7c2ae0407d594850 +9b69000040800018 +39290001e93e0000 +4200ffe0f93e0000 +4800089c38210060 7bffe102409e0010 -4bffffcc39290001 +4bffff94394a0001 4bfffff47fffeb92 -2c2a00019b690000 -e93e0000394affff -f93e000039290001 -e93e00004182ffc8 -7c28e0407d194850 -4bffffb44180ffd8 -2c0900007d294050 -794a00203949ffff -40810010394a0001 -7c0940003d008000 -394000014082ffcc -000000004bffffc4 -0000078001000000 -38428d903c4c0001 -480007997c0802a6 -3bc00000f821ffb1 -7c9c23787c7f1b78 -7cbd2b78eb630000 -4bfffd697fa3eb78 -7c3e184060000000 -e93f000040800014 -7c2ae0407d5b4850 -382100504180000c -7d5df0ae480007a4 -994900003bde0001 -39290001e93f0000 -4bffffbcf93f0000 0100000000000000 -3c4c000100000580 -7c0802a638428d14 -918100087d908026 -f821ffa148000711 -2b8600103be00000 -3d22ffff7c7c1b78 -7cbe2b78e9297fb0 -7caa2b787cdd3378 -3d22fffff9210020 -f9210028e9297fb8 -408200342c2a0000 -408200082c1f0000 -7fff07b43be00001 -7c3f20402e270000 -408100303b7fffff -8181000838210060 -480006f47d908120 -794ae102409e0010 -4bffffbc3bff0001 -4bfffff47d4aeb92 -7f5eeb927f5ed378 -7d29f0507d3ae9d2 -886900207d214a14 -5463063e41920010 -600000004bfffdad -e93c00007c3df040 -3b7bffff7c69d9ae -e93c00004081ffc8 -f93c00007d29fa14 -000000004bffff90 -0000068003000000 -38428c203c4c0001 -480005f57c0802a6 -3be00000f821fee1 -f86100607c691b79 -41820060f9210068 -418200582c240000 -3e62ffff39210040 -3ae4ffff3e22ffff -3b010020f9210070 -3a4000203ac00000 -3ba100603a737fd0 -e94100683a317fc8 -ebc1006089250000 -712a00ff7feaf050 -7c3fb8404182000c -3920000041800018 -38210120993e0000 -480005c47fe307b4 -390500012c0a0025 -38e0000040820548 -e901007089250000 -7cea3b787cb02b78 -7d2741ae8c650001 -5469063e39070001 -418200b02c090064 -4181002c28090078 -4181002c28090068 -418200982c090058 -4181008828090058 -418200882c090025 -418200802c09004f -4bffffa438e70001 -548b063e3883ff97 -4181ffec280b000f -396b750c3d62ffff -7c8b22aa788415a8 -7c8903a67c845a14 -000000484e800420 +3c4c000100000780 +7c0802a638428dac +f821ffb148000821 +7c7f1b78eb630000 +7cbd2b787c9c2378 +7fa3eb783bc00000 +600000004bfffd71 +408000147c3e1840 +7d5b4850e93f0000 +4180000c7c2ae040 +4800082c38210050 +3bde00017d5df0ae +e93f000099490000 +f93f000039290001 +000000004bffffbc +0000058001000000 +38428d303c4c0001 +600000007c0802a6 +2b860010e9228010 +7caa2b787d708026 +4800078991610008 +7c7c1b78f821ffa1 +7cdd33787cbe2b78 +f92100203be00000 +e922801860000000 +2c2a0000f9210028 +2c1f000040820034 +3be0000140820008 +2e2700007fff07b4 +3b7fffff7c3f2040 +3821006040810030 +7d70812081610008 +409e00104800077c +3bff0001794ae102 +7d4aeb924bffffbc +7f5ed3784bfffff4 +7d3ae9d27f5eeb92 +7d214a147d29f050 +4192001088690020 +4bfffdd55463063e +7c3df04060000000 +7c69d9aee93c0000 +4081ffc83b7bffff +7d29fa14e93c0000 +4bffff90f93c0000 +0300000000000000 +3c4c000100000680 +7c0802a638428c3c +f821ff014800067d +f86100607c7d1b79 +4182001438600000 +3bc4ffff2c240000 +4082013c3b610040 +7c6307b438210100 +2c0a00254800069c +4082062039050001 +7cbc2b7838e00000 +7ce93b7889450000 +889c000138a50001 +394700017d47d9ae +2c0800645488063e +28080078418201cc +280800684181002c +2c0800584181002c +2808005841820130 +2c08002541810088 +2c08004f418200c0 +38e7000141820118 +3904ff974bffffa4 +280b000f550b063e +3d62ffff4181ffec +790815a8396b74a0 +7d085a147d0b42aa +4e8004207d0903a6 +ffffffcc00000164 ffffffccffffffcc ffffffccffffffcc -00000048ffffffcc -ffffffcc00000048 -00000048ffffffcc -00000048ffffffcc +000000cc0000006c ffffffccffffffcc -2c09006300000048 -394a00024bffff84 -7d0807b42c090025 -7d1842147d4a07b4 -986800207d585214 -408200189aca0020 -993e0000395e0001 -38b00002f9410060 -892100414bfffeb4 -7fffb850eb860000 -3aa0002039e60008 -3929ffd23b210041 -4082000c712900fd -3b2100423aa00030 -3b4000043a800000 -56b5063e3b600000 -480001687ddc00d0 -38b900012c06004f -38e8ffa8418201dc -2804002254e4063e -3c82ffff418103ac -78e715a838847608 -7ce722147ce43aaa -4e8004207ce903a6 -0000038c00000150 -0000038c0000038c -0000038c0000038c -0000038c0000038c -0000038c0000038c -000002580000038c -0000038c0000008c -0000038c0000038c -0000008c00000370 -0000038c0000038c -0000038c0000035c -000001ac0000038c -0000038c000001fc -000002980000038c -0000008c0000038c -0000038c0000038c -0000038c00000154 -2c06007500000368 -7d4152147b6a0020 -7f8ae3789aca0020 -5747183841820038 -38e7ffff39000001 -7f8840397d083836 -3940002d41820020 -9949ffff39290001 -7b491e68f9210060 -7dca50387d53482a -7d465378e8810060 -f941007838e0000a -392000007f05c378 -7fa3eb787ea8ab78 -7c84f8507c9e2050 -e88100604bfffa79 -7a8707e0e9410078 -7c9e205038c0000a -7c84f8507d455378 -4bfffbc97fa3eb78 -891900003b390001 -710600ffe9210060 -7d5e485041820010 -4181fe847c3f5040 -4bfffe307de67b78 -7b6900203a800001 -7d214a1438e00010 -7ea8ab787c8af850 -9ac900207f05c378 -7fa3eb787b491e68 -392000007d73482a -7d665b787f8b5838 -4bfff9edf9610078 -7a8707e0e8810060 -7c9e205038c00010 -7d655b78e9610078 -7b6900204bffff74 -7d214a1438e00008 -7ea8ab787c8af850 -9ac900207f05c378 -7fa3eb787b491e68 -392000007d73482a -7d665b787f8b5838 -4bfff995f9610078 -7a8707e0e8810060 -7c9e205038c00008 -7b6900204bffffa8 +ffffffcc000000b8 +ffffffcc00000048 +00000150ffffffcc +4bffff842c080063 +7d4a07b439010020 +390000757d485214 +990a002039290002 +7d2907b439410020 +3901002048000094 +7d4852147d4a07b4 +4bffffdc3900006f +991f0000393f0001 +38bc0002f9210060 +ebe1006089250000 +7c7df850712a00ff +7c23f0404182000c +392000004180febc +4bfffea4993f0000 +7d4a07b439010020 +390000737d485214 +390100204bffff90 +7d4852147d4a07b4 +4bffff7c39000070 +7d4a07b438e10020 +392900027d475214 +990a00207d2907b4 +7d2a4a147cea3b78 +7f23f05039400000 +994900203a460008 +3ac100423a600030 +3929ffd289210041 +eb060000712900fd +5669063e40820458 +3a80000060000000 +f92100683aa00004 +3a2000003ae00000 +480001a43a028030 +7d4a07b439010020 +390000787d485214 +390100204bfffef8 +7d4852147d4a07b4 +7d2907b439290002 +7d0a4378988a0020 +2c08004f4bffff7c +418201dc38f60001 +5546063e3949ffa8 +418103b828060022 +38c6765c3cc2ffff +7d4652aa794a15a8 +7d4903a67d4a3214 +000001584e800420 +0000039800000398 +0000039800000398 +0000039800000398 +0000039800000398 +0000039800000398 +0000008c00000268 +0000039800000398 +0000037c00000398 +000003980000008c +0000036400000398 +0000039800000398 +00000204000001ac +0000039800000398 +00000398000002ac +000003980000008c +0000015c00000398 +000003bc00000398 +7ae900202c080075 +394000007d214a14 +994900207f1ac378 +56aa183841820044 +394affff39200001 +7f0948397d295036 +3920002d4182002c +3942803060000000 +992e00007f5800d0 +f9210060392e0001 +7d2a482a7aa91e68 +e88100607f5a4838 +7f46d37838e0000a +3920000038a10020 +386100605668063e +7c84c8507c9f2050 +e88100604bfffa25 +38c0000a7a8707e0 +7c9f20507f45d378 +386100607c84c850 +3ad600014bfffb51 +e9c1006089360000 +41820010712800ff +7c39d0407f5f7050 +7e4693784181fe7c +3a8000014bfffd7c +e90100687ae90020 7d214a1438e00010 -7c8af8507f86e378 -9ac9002039000020 -392000027f05c378 -4bfff9557fa3eb78 -7e258b78e8810060 -7c9e20507fa3eb78 -4bfffa357c84f850 +38a100207c9ac850 +9a29002038610060 +7dd0482a7aa91e68 +7f0e703839200000 +4bfff9a17dc67378 7a8707e0e8810060 -7f85e37838c00010 -4bfffec87c9e2050 -390000207b690020 -38e0000a7d214a14 -7f05c37838c00001 -7c8af8509ac90020 -7fa3eb7839200000 -e92100604bfff8f9 -392900019b890000 -4bfffe94f9210060 -38a0000a7b680020 -f9410080f9210088 -388000007d014214 -9ac800207f03c378 -600000004bfff76d -7f83e378f8610078 -600000004bfff735 -e9410080e9010078 -7c281840e9210088 -e88100604181003c -7fa3eb787f85e378 -7c84f8507c9e2050 -4bfffe2c4bfff979 -392900019a490000 -7c29184039000001 -f921006040820034 -7ce9f0504bffffcc -7ce7fa147c634050 -2c2700007d4af850 -390000007c691a14 -40820008394a0001 -2c2a000139400001 -4082ffb4394affff -4082ffc071080001 -893900014bffff8c -4182004c2c09006c -4bfffdbc3b400008 -3b40000289390001 -4082fdac2c090068 -3b4000017cb92b78 -3928ffd04bfffda0 -280900095529063e -7b6900204181fd90 -7d214a143b7b0001 -4bfffd7c99090020 -4bffffb47cb92b78 -3bde0001993e0000 -fbc100607d054378 -000000004bfffa6c -0000128001000000 -f9e1ff78f9c1ff70 -fa21ff88fa01ff80 -fa61ff98fa41ff90 -faa1ffa8fa81ffa0 -fae1ffb8fac1ffb0 -fb21ffc8fb01ffc0 -fb61ffd8fb41ffd0 -fba1ffe8fb81ffe0 -fbe1fff8fbc1fff0 -4e800020f8010010 -e9e1ff78e9c1ff70 -ea21ff88ea01ff80 -ea61ff98ea41ff90 -eaa1ffa8ea81ffa0 -eae1ffb8eac1ffb0 -eb21ffc8eb01ffc0 -eb61ffd8eb41ffd0 -e8010010eb81ffe0 -7c0803a6eba1ffe8 -ebe1fff8ebc1fff0 -ebc1fff04e800020 -ebe1fff8e8010010 -4e8000207c0803a6 +7c9f205038c00010 +4bffff7c7dc57378 +394000007ae90020 +38e000087d214a14 +5668063e7c9ac850 +6000000099490020 +394280307aa91e68 +3861006038a10020 +392000007dca482a +7dc673787f0e7038 +e88100604bfff945 +38c000087a8707e0 +4bffffa47c9f2050 +394000007ae90020 +38e000107d214a14 +390000207f06c378 +38a1002099490020 +7c9ac85039200002 +4bfff90138610060 +60000000e8810060 +38a2802838610060 +7c84c8507c9f2050 +e88100604bfff9b5 +38c000107a8707e0 +7c9f20507f05c378 +7ae900204bfffec0 +7d214a1439400000 +38e0000a39000020 +9949002038c00001 +3920000038a10020 +386100607c9ac850 +e92100604bfff89d +392900019b090000 +4bfffe88f9210060 +394000007ae90020 +38a0000a7d214a14 +3861002038800000 +4bfff6f599490020 +7c6f1b7860000000 +4bfff6bd7f03c378 +7c2f184060000000 +7d0ef85040810064 +7d08ca147f5ac850 +2c2800007c637850 +394000007c6e1a14 +3b5a000138e00020 +3b40000140820008 +3b5affff2c3a0001 +714a000140820014 +f9c1006041820024 +98ee00004800001c +3940000139ce0001 +4082ffd47c237040 +e8810060f8610060 +386100607f05c378 +7c84c8507c9f2050 +4bfffdd04bfff8a5 +3aa0000889360001 +4082fdc02c09006c +4bfffdb87cf63b78 +3aa0000289360001 +4082fda82c090068 +3aa000017cf63b78 +3949ffd04bfffd9c +280a0009554a063e +7aea00204181fd8c +7d4152143af70001 +4bfffd78992a0020 +4bfffd703aa00008 +3ac100413a600020 +993f00004bfffba4 +7d0543783bff0001 +4bfffaf4fbe10060 +0100000000000000 +f9c1ff7000001280 +fa01ff80f9e1ff78 +fa41ff90fa21ff88 +fa81ffa0fa61ff98 +fac1ffb0faa1ffa8 +fb01ffc0fae1ffb8 +fb41ffd0fb21ffc8 +fb81ffe0fb61ffd8 +fbc1fff0fba1ffe8 +f8010010fbe1fff8 +e9c1ff704e800020 +ea01ff80e9e1ff78 +ea41ff90ea21ff88 +ea81ffa0ea61ff98 +eac1ffb0eaa1ffa8 +eb01ffc0eae1ffb8 +eb41ffd0eb21ffc8 +eb81ffe0eb61ffd8 +eba1ffe8e8010010 +ebc1fff07c0803a6 +4e800020ebe1fff8 +e8010010ebc1fff0 +7c0803a6ebe1fff8 +600000004e800020 6d6f636c65570a0a 63694d206f742065 2120747461776f72 @@ -1827,17 +1841,15 @@ ebe1fff8e8010010 203a46464f204853 7479622078257830 00000000000a7365 -2d2d2d2d2d2d2d2d -0000000000000000 -4d4152446574694c -6620746c69756220 -6567694d206d6f72 -646e61207325206e -2520586574694c20 -0000000000000a73 20676e69746f6f42 415242206d6f7266 0000000a2e2e2e4d +3135636632333936 +0000000000000000 +4d4152446574694c +6620746c69756220 +6574694c206d6f72 +0000000a73252058 6620676e69797254 0a2e2e2e6873616c 0000000000000000 diff --git a/litedram/generated/orangecrab-85-0.2/litedram_core.v b/litedram/generated/orangecrab-85-0.2/litedram_core.v index e0e68fd..cdebb1b 100644 --- a/litedram/generated/orangecrab-85-0.2/litedram_core.v +++ b/litedram/generated/orangecrab-85-0.2/litedram_core.v @@ -8,8 +8,8 @@ // // Filename : litedram_core.v // Device : LFE5U-85F-8MG285C -// LiteX sha1 : -------- -// Date : 2022-01-14 09:35:04 +// LiteX sha1 : 6932fc51 +// Date : 2022-08-04 21:07:03 //------------------------------------------------------------------------------ @@ -200,7 +200,7 @@ wire ddrphy_dqsw2700; wire ddrphy_dqsw0; wire [2:0] ddrphy_rdpntr0; wire [2:0] ddrphy_wrpntr0; -reg [6:0] ddrphy_rdly0 = 7'd0; +reg [2:0] ddrphy_rdly0 = 3'd0; wire ddrphy_burstdet0; reg ddrphy_burstdet_d0 = 1'd0; wire ddrphy_dqs0; @@ -318,7 +318,7 @@ wire ddrphy_dqsw2701; wire ddrphy_dqsw1; wire [2:0] ddrphy_rdpntr1; wire [2:0] ddrphy_wrpntr1; -reg [6:0] ddrphy_rdly1 = 7'd0; +reg [2:0] ddrphy_rdly1 = 3'd0; wire ddrphy_burstdet1; reg ddrphy_burstdet_d1 = 1'd0; wire ddrphy_dqs1; @@ -450,38 +450,6 @@ reg ddrphy_wrdata_en_tappeddelayline3 = 1'd0; reg ddrphy_wrdata_en_tappeddelayline4 = 1'd0; reg ddrphy_wrdata_en_tappeddelayline5 = 1'd0; reg ddrphy_wrdata_en_tappeddelayline6 = 1'd0; -wire [14:0] litedramcore_inti_p0_address; -wire [2:0] litedramcore_inti_p0_bank; -reg litedramcore_inti_p0_cas_n = 1'd1; -reg litedramcore_inti_p0_cs_n = 1'd1; -reg litedramcore_inti_p0_ras_n = 1'd1; -reg litedramcore_inti_p0_we_n = 1'd1; -wire litedramcore_inti_p0_cke; -wire litedramcore_inti_p0_odt; -wire litedramcore_inti_p0_reset_n; -reg litedramcore_inti_p0_act_n = 1'd1; -wire [63:0] litedramcore_inti_p0_wrdata; -wire litedramcore_inti_p0_wrdata_en; -wire [7:0] litedramcore_inti_p0_wrdata_mask; -wire litedramcore_inti_p0_rddata_en; -reg [63:0] litedramcore_inti_p0_rddata = 64'd0; -reg litedramcore_inti_p0_rddata_valid = 1'd0; -wire [14:0] litedramcore_inti_p1_address; -wire [2:0] litedramcore_inti_p1_bank; -reg litedramcore_inti_p1_cas_n = 1'd1; -reg litedramcore_inti_p1_cs_n = 1'd1; -reg litedramcore_inti_p1_ras_n = 1'd1; -reg litedramcore_inti_p1_we_n = 1'd1; -wire litedramcore_inti_p1_cke; -wire litedramcore_inti_p1_odt; -wire litedramcore_inti_p1_reset_n; -reg litedramcore_inti_p1_act_n = 1'd1; -wire [63:0] litedramcore_inti_p1_wrdata; -wire litedramcore_inti_p1_wrdata_en; -wire [7:0] litedramcore_inti_p1_wrdata_mask; -wire litedramcore_inti_p1_rddata_en; -reg [63:0] litedramcore_inti_p1_rddata = 64'd0; -reg litedramcore_inti_p1_rddata_valid = 1'd0; wire [14:0] litedramcore_slave_p0_address; wire [2:0] litedramcore_slave_p0_bank; wire litedramcore_slave_p0_cas_n; @@ -546,12 +514,83 @@ reg [7:0] litedramcore_master_p1_wrdata_mask = 8'd0; reg litedramcore_master_p1_rddata_en = 1'd0; wire [63:0] litedramcore_master_p1_rddata; wire litedramcore_master_p1_rddata_valid; +wire [14:0] litedramcore_csr_dfi_p0_address; +wire [2:0] litedramcore_csr_dfi_p0_bank; +reg litedramcore_csr_dfi_p0_cas_n = 1'd1; +reg litedramcore_csr_dfi_p0_cs_n = 1'd1; +reg litedramcore_csr_dfi_p0_ras_n = 1'd1; +reg litedramcore_csr_dfi_p0_we_n = 1'd1; +wire litedramcore_csr_dfi_p0_cke; +wire litedramcore_csr_dfi_p0_odt; +wire litedramcore_csr_dfi_p0_reset_n; +reg litedramcore_csr_dfi_p0_act_n = 1'd1; +wire [63:0] litedramcore_csr_dfi_p0_wrdata; +wire litedramcore_csr_dfi_p0_wrdata_en; +wire [7:0] litedramcore_csr_dfi_p0_wrdata_mask; +wire litedramcore_csr_dfi_p0_rddata_en; +reg [63:0] litedramcore_csr_dfi_p0_rddata = 64'd0; +reg litedramcore_csr_dfi_p0_rddata_valid = 1'd0; +wire [14:0] litedramcore_csr_dfi_p1_address; +wire [2:0] litedramcore_csr_dfi_p1_bank; +reg litedramcore_csr_dfi_p1_cas_n = 1'd1; +reg litedramcore_csr_dfi_p1_cs_n = 1'd1; +reg litedramcore_csr_dfi_p1_ras_n = 1'd1; +reg litedramcore_csr_dfi_p1_we_n = 1'd1; +wire litedramcore_csr_dfi_p1_cke; +wire litedramcore_csr_dfi_p1_odt; +wire litedramcore_csr_dfi_p1_reset_n; +reg litedramcore_csr_dfi_p1_act_n = 1'd1; +wire [63:0] litedramcore_csr_dfi_p1_wrdata; +wire litedramcore_csr_dfi_p1_wrdata_en; +wire [7:0] litedramcore_csr_dfi_p1_wrdata_mask; +wire litedramcore_csr_dfi_p1_rddata_en; +reg [63:0] litedramcore_csr_dfi_p1_rddata = 64'd0; +reg litedramcore_csr_dfi_p1_rddata_valid = 1'd0; +reg [14:0] litedramcore_ext_dfi_p0_address = 15'd0; +reg [2:0] litedramcore_ext_dfi_p0_bank = 3'd0; +reg litedramcore_ext_dfi_p0_cas_n = 1'd1; +reg litedramcore_ext_dfi_p0_cs_n = 1'd1; +reg litedramcore_ext_dfi_p0_ras_n = 1'd1; +reg litedramcore_ext_dfi_p0_we_n = 1'd1; +reg litedramcore_ext_dfi_p0_cke = 1'd0; +reg litedramcore_ext_dfi_p0_odt = 1'd0; +reg litedramcore_ext_dfi_p0_reset_n = 1'd0; +reg litedramcore_ext_dfi_p0_act_n = 1'd1; +reg [63:0] litedramcore_ext_dfi_p0_wrdata = 64'd0; +reg litedramcore_ext_dfi_p0_wrdata_en = 1'd0; +reg [7:0] litedramcore_ext_dfi_p0_wrdata_mask = 8'd0; +reg litedramcore_ext_dfi_p0_rddata_en = 1'd0; +reg [63:0] litedramcore_ext_dfi_p0_rddata = 64'd0; +reg litedramcore_ext_dfi_p0_rddata_valid = 1'd0; +reg [14:0] litedramcore_ext_dfi_p1_address = 15'd0; +reg [2:0] litedramcore_ext_dfi_p1_bank = 3'd0; +reg litedramcore_ext_dfi_p1_cas_n = 1'd1; +reg litedramcore_ext_dfi_p1_cs_n = 1'd1; +reg litedramcore_ext_dfi_p1_ras_n = 1'd1; +reg litedramcore_ext_dfi_p1_we_n = 1'd1; +reg litedramcore_ext_dfi_p1_cke = 1'd0; +reg litedramcore_ext_dfi_p1_odt = 1'd0; +reg litedramcore_ext_dfi_p1_reset_n = 1'd0; +reg litedramcore_ext_dfi_p1_act_n = 1'd1; +reg [63:0] litedramcore_ext_dfi_p1_wrdata = 64'd0; +reg litedramcore_ext_dfi_p1_wrdata_en = 1'd0; +reg [7:0] litedramcore_ext_dfi_p1_wrdata_mask = 8'd0; +reg litedramcore_ext_dfi_p1_rddata_en = 1'd0; +reg [63:0] litedramcore_ext_dfi_p1_rddata = 64'd0; +reg litedramcore_ext_dfi_p1_rddata_valid = 1'd0; +reg litedramcore_ext_dfi_sel = 1'd0; wire litedramcore_sel; wire litedramcore_cke; wire litedramcore_odt; wire litedramcore_reset_n; reg [3:0] litedramcore_storage = 4'd1; reg litedramcore_re = 1'd0; +wire litedramcore_phaseinjector0_csrfield_cs; +wire litedramcore_phaseinjector0_csrfield_we; +wire litedramcore_phaseinjector0_csrfield_cas; +wire litedramcore_phaseinjector0_csrfield_ras; +wire litedramcore_phaseinjector0_csrfield_wren; +wire litedramcore_phaseinjector0_csrfield_rden; reg [5:0] litedramcore_phaseinjector0_command_storage = 6'd0; reg litedramcore_phaseinjector0_command_re = 1'd0; reg litedramcore_phaseinjector0_command_issue_re = 1'd0; @@ -567,6 +606,12 @@ reg litedramcore_phaseinjector0_wrdata_re = 1'd0; reg [63:0] litedramcore_phaseinjector0_rddata_status = 64'd0; wire litedramcore_phaseinjector0_rddata_we; reg litedramcore_phaseinjector0_rddata_re = 1'd0; +wire litedramcore_phaseinjector1_csrfield_cs; +wire litedramcore_phaseinjector1_csrfield_we; +wire litedramcore_phaseinjector1_csrfield_cas; +wire litedramcore_phaseinjector1_csrfield_ras; +wire litedramcore_phaseinjector1_csrfield_wren; +wire litedramcore_phaseinjector1_csrfield_rden; reg [5:0] litedramcore_phaseinjector1_command_storage = 6'd0; reg litedramcore_phaseinjector1_command_re = 1'd0; reg litedramcore_phaseinjector1_command_issue_re = 1'd0; @@ -1474,78 +1519,6 @@ wire [15:0] user_port_wdata_payload_we; wire user_port_rdata_valid; wire user_port_rdata_ready; wire [127:0] user_port_rdata_payload_data; -wire litedramecp5ddrphycrg_ecp5pll; -wire litedramecp5ddrphycrg_locked; -reg [1:0] litedramcore_refresher_state = 2'd0; -reg [1:0] litedramcore_refresher_next_state = 2'd0; -reg [2:0] litedramcore_bankmachine0_state = 3'd0; -reg [2:0] litedramcore_bankmachine0_next_state = 3'd0; -reg [2:0] litedramcore_bankmachine1_state = 3'd0; -reg [2:0] litedramcore_bankmachine1_next_state = 3'd0; -reg [2:0] litedramcore_bankmachine2_state = 3'd0; -reg [2:0] litedramcore_bankmachine2_next_state = 3'd0; -reg [2:0] litedramcore_bankmachine3_state = 3'd0; -reg [2:0] litedramcore_bankmachine3_next_state = 3'd0; -reg [2:0] litedramcore_bankmachine4_state = 3'd0; -reg [2:0] litedramcore_bankmachine4_next_state = 3'd0; -reg [2:0] litedramcore_bankmachine5_state = 3'd0; -reg [2:0] litedramcore_bankmachine5_next_state = 3'd0; -reg [2:0] litedramcore_bankmachine6_state = 3'd0; -reg [2:0] litedramcore_bankmachine6_next_state = 3'd0; -reg [2:0] litedramcore_bankmachine7_state = 3'd0; -reg [2:0] litedramcore_bankmachine7_next_state = 3'd0; -reg [3:0] litedramcore_multiplexer_state = 4'd0; -reg [3:0] litedramcore_multiplexer_next_state = 4'd0; -wire litedramcore_roundrobin0_request; -wire litedramcore_roundrobin0_grant; -wire litedramcore_roundrobin0_ce; -wire litedramcore_roundrobin1_request; -wire litedramcore_roundrobin1_grant; -wire litedramcore_roundrobin1_ce; -wire litedramcore_roundrobin2_request; -wire litedramcore_roundrobin2_grant; -wire litedramcore_roundrobin2_ce; -wire litedramcore_roundrobin3_request; -wire litedramcore_roundrobin3_grant; -wire litedramcore_roundrobin3_ce; -wire litedramcore_roundrobin4_request; -wire litedramcore_roundrobin4_grant; -wire litedramcore_roundrobin4_ce; -wire litedramcore_roundrobin5_request; -wire litedramcore_roundrobin5_grant; -wire litedramcore_roundrobin5_ce; -wire litedramcore_roundrobin6_request; -wire litedramcore_roundrobin6_grant; -wire litedramcore_roundrobin6_ce; -wire litedramcore_roundrobin7_request; -wire litedramcore_roundrobin7_grant; -wire litedramcore_roundrobin7_ce; -reg litedramcore_locked0 = 1'd0; -reg litedramcore_locked1 = 1'd0; -reg litedramcore_locked2 = 1'd0; -reg litedramcore_locked3 = 1'd0; -reg litedramcore_locked4 = 1'd0; -reg litedramcore_locked5 = 1'd0; -reg litedramcore_locked6 = 1'd0; -reg litedramcore_locked7 = 1'd0; -reg litedramcore_new_master_wdata_ready0 = 1'd0; -reg litedramcore_new_master_wdata_ready1 = 1'd0; -reg litedramcore_new_master_wdata_ready2 = 1'd0; -reg litedramcore_new_master_wdata_ready3 = 1'd0; -reg litedramcore_new_master_rdata_valid0 = 1'd0; -reg litedramcore_new_master_rdata_valid1 = 1'd0; -reg litedramcore_new_master_rdata_valid2 = 1'd0; -reg litedramcore_new_master_rdata_valid3 = 1'd0; -reg litedramcore_new_master_rdata_valid4 = 1'd0; -reg litedramcore_new_master_rdata_valid5 = 1'd0; -reg litedramcore_new_master_rdata_valid6 = 1'd0; -reg litedramcore_new_master_rdata_valid7 = 1'd0; -reg litedramcore_new_master_rdata_valid8 = 1'd0; -reg litedramcore_new_master_rdata_valid9 = 1'd0; -reg litedramcore_new_master_rdata_valid10 = 1'd0; -reg litedramcore_new_master_rdata_valid11 = 1'd0; -reg litedramcore_new_master_rdata_valid12 = 1'd0; -reg litedramcore_new_master_rdata_valid13 = 1'd0; reg [13:0] litedramcore_adr = 14'd0; reg litedramcore_we = 1'd0; reg [31:0] litedramcore_dat_w = 32'd0; @@ -1656,8 +1629,80 @@ wire [13:0] csr_interconnect_adr; wire csr_interconnect_we; wire [31:0] csr_interconnect_dat_w; wire [31:0] csr_interconnect_dat_r; -reg [1:0] state = 2'd0; -reg [1:0] next_state = 2'd0; +wire litedramcore_litedramecp5ddrphycrg_ecp5pll; +wire litedramcore_litedramecp5ddrphycrg_locked; +reg [1:0] litedramcore_litedramcore_refresher_state = 2'd0; +reg [1:0] litedramcore_litedramcore_refresher_next_state = 2'd0; +reg [2:0] litedramcore_litedramcore_bankmachine0_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine0_next_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine1_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine1_next_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine2_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine2_next_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine3_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine3_next_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine4_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine4_next_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine5_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine5_next_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine6_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine6_next_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine7_state = 3'd0; +reg [2:0] litedramcore_litedramcore_bankmachine7_next_state = 3'd0; +reg [3:0] litedramcore_litedramcore_multiplexer_state = 4'd0; +reg [3:0] litedramcore_litedramcore_multiplexer_next_state = 4'd0; +wire litedramcore_litedramcore_roundrobin0_request; +wire litedramcore_litedramcore_roundrobin0_grant; +wire litedramcore_litedramcore_roundrobin0_ce; +wire litedramcore_litedramcore_roundrobin1_request; +wire litedramcore_litedramcore_roundrobin1_grant; +wire litedramcore_litedramcore_roundrobin1_ce; +wire litedramcore_litedramcore_roundrobin2_request; +wire litedramcore_litedramcore_roundrobin2_grant; +wire litedramcore_litedramcore_roundrobin2_ce; +wire litedramcore_litedramcore_roundrobin3_request; +wire litedramcore_litedramcore_roundrobin3_grant; +wire litedramcore_litedramcore_roundrobin3_ce; +wire litedramcore_litedramcore_roundrobin4_request; +wire litedramcore_litedramcore_roundrobin4_grant; +wire litedramcore_litedramcore_roundrobin4_ce; +wire litedramcore_litedramcore_roundrobin5_request; +wire litedramcore_litedramcore_roundrobin5_grant; +wire litedramcore_litedramcore_roundrobin5_ce; +wire litedramcore_litedramcore_roundrobin6_request; +wire litedramcore_litedramcore_roundrobin6_grant; +wire litedramcore_litedramcore_roundrobin6_ce; +wire litedramcore_litedramcore_roundrobin7_request; +wire litedramcore_litedramcore_roundrobin7_grant; +wire litedramcore_litedramcore_roundrobin7_ce; +reg litedramcore_litedramcore_locked0 = 1'd0; +reg litedramcore_litedramcore_locked1 = 1'd0; +reg litedramcore_litedramcore_locked2 = 1'd0; +reg litedramcore_litedramcore_locked3 = 1'd0; +reg litedramcore_litedramcore_locked4 = 1'd0; +reg litedramcore_litedramcore_locked5 = 1'd0; +reg litedramcore_litedramcore_locked6 = 1'd0; +reg litedramcore_litedramcore_locked7 = 1'd0; +reg litedramcore_litedramcore_new_master_wdata_ready0 = 1'd0; +reg litedramcore_litedramcore_new_master_wdata_ready1 = 1'd0; +reg litedramcore_litedramcore_new_master_wdata_ready2 = 1'd0; +reg litedramcore_litedramcore_new_master_wdata_ready3 = 1'd0; +reg litedramcore_litedramcore_new_master_rdata_valid0 = 1'd0; +reg litedramcore_litedramcore_new_master_rdata_valid1 = 1'd0; +reg litedramcore_litedramcore_new_master_rdata_valid2 = 1'd0; +reg litedramcore_litedramcore_new_master_rdata_valid3 = 1'd0; +reg litedramcore_litedramcore_new_master_rdata_valid4 = 1'd0; +reg litedramcore_litedramcore_new_master_rdata_valid5 = 1'd0; +reg litedramcore_litedramcore_new_master_rdata_valid6 = 1'd0; +reg litedramcore_litedramcore_new_master_rdata_valid7 = 1'd0; +reg litedramcore_litedramcore_new_master_rdata_valid8 = 1'd0; +reg litedramcore_litedramcore_new_master_rdata_valid9 = 1'd0; +reg litedramcore_litedramcore_new_master_rdata_valid10 = 1'd0; +reg litedramcore_litedramcore_new_master_rdata_valid11 = 1'd0; +reg litedramcore_litedramcore_new_master_rdata_valid12 = 1'd0; +reg litedramcore_litedramcore_new_master_rdata_valid13 = 1'd0; +reg [1:0] litedramcore_state = 2'd0; +reg [1:0] litedramcore_next_state = 2'd0; reg [31:0] litedramcore_dat_w_next_value0 = 32'd0; reg litedramcore_dat_w_next_value_ce0 = 1'd0; reg [13:0] litedramcore_adr_next_value1 = 14'd0; @@ -1768,7 +1813,7 @@ assign pll_locked = crg_locked; assign crg_clkin = clk; assign sys2x_i_clk = crg_clkout0; assign init_clk = crg_clkout1; -assign crg_locked = (litedramecp5ddrphycrg_locked & (~crg_reset1)); +assign crg_locked = (litedramcore_litedramecp5ddrphycrg_locked & (~crg_reset1)); always @(*) begin ddrphy_dm_o_data0 <= 8'd0; ddrphy_dm_o_data0[0] <= ddrphy_dfi_p0_wrdata_mask[1]; @@ -2467,367 +2512,531 @@ assign litedramcore_slave_p1_rddata_en = litedramcore_dfi_p1_rddata_en; assign litedramcore_dfi_p1_rddata = litedramcore_slave_p1_rddata; assign litedramcore_dfi_p1_rddata_valid = litedramcore_slave_p1_rddata_valid; always @(*) begin - litedramcore_slave_p0_rddata <= 64'd0; + litedramcore_master_p0_cs_n <= 1'd1; if (litedramcore_sel) begin - litedramcore_slave_p0_rddata <= litedramcore_master_p0_rddata; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cs_n <= litedramcore_ext_dfi_p0_cs_n; + end else begin + litedramcore_master_p0_cs_n <= litedramcore_slave_p0_cs_n; + end end else begin + litedramcore_master_p0_cs_n <= litedramcore_csr_dfi_p0_cs_n; end end always @(*) begin - litedramcore_slave_p0_rddata_valid <= 1'd0; + litedramcore_csr_dfi_p1_rddata <= 64'd0; if (litedramcore_sel) begin - litedramcore_slave_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; end else begin + litedramcore_csr_dfi_p1_rddata <= litedramcore_master_p1_rddata; end end always @(*) begin - litedramcore_slave_p1_rddata <= 64'd0; + litedramcore_master_p0_ras_n <= 1'd1; if (litedramcore_sel) begin - litedramcore_slave_p1_rddata <= litedramcore_master_p1_rddata; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_ras_n <= litedramcore_ext_dfi_p0_ras_n; + end else begin + litedramcore_master_p0_ras_n <= litedramcore_slave_p0_ras_n; + end end else begin + litedramcore_master_p0_ras_n <= litedramcore_csr_dfi_p0_ras_n; end end always @(*) begin - litedramcore_slave_p1_rddata_valid <= 1'd0; + litedramcore_csr_dfi_p1_rddata_valid <= 1'd0; if (litedramcore_sel) begin - litedramcore_slave_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; end else begin + litedramcore_csr_dfi_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; end end always @(*) begin - litedramcore_master_p0_address <= 15'd0; + litedramcore_master_p0_we_n <= 1'd1; if (litedramcore_sel) begin - litedramcore_master_p0_address <= litedramcore_slave_p0_address; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_we_n <= litedramcore_ext_dfi_p0_we_n; + end else begin + litedramcore_master_p0_we_n <= litedramcore_slave_p0_we_n; + end end else begin - litedramcore_master_p0_address <= litedramcore_inti_p0_address; + litedramcore_master_p0_we_n <= litedramcore_csr_dfi_p0_we_n; end end always @(*) begin - litedramcore_master_p0_bank <= 3'd0; + litedramcore_master_p0_cke <= 1'd0; if (litedramcore_sel) begin - litedramcore_master_p0_bank <= litedramcore_slave_p0_bank; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cke <= litedramcore_ext_dfi_p0_cke; + end else begin + litedramcore_master_p0_cke <= litedramcore_slave_p0_cke; + end end else begin - litedramcore_master_p0_bank <= litedramcore_inti_p0_bank; + litedramcore_master_p0_cke <= litedramcore_csr_dfi_p0_cke; end end always @(*) begin - litedramcore_master_p0_cas_n <= 1'd1; + litedramcore_master_p0_odt <= 1'd0; if (litedramcore_sel) begin - litedramcore_master_p0_cas_n <= litedramcore_slave_p0_cas_n; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_odt <= litedramcore_ext_dfi_p0_odt; + end else begin + litedramcore_master_p0_odt <= litedramcore_slave_p0_odt; + end end else begin - litedramcore_master_p0_cas_n <= litedramcore_inti_p0_cas_n; + litedramcore_master_p0_odt <= litedramcore_csr_dfi_p0_odt; end end always @(*) begin - litedramcore_master_p0_cs_n <= 1'd1; + litedramcore_master_p0_reset_n <= 1'd0; if (litedramcore_sel) begin - litedramcore_master_p0_cs_n <= litedramcore_slave_p0_cs_n; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_reset_n <= litedramcore_ext_dfi_p0_reset_n; + end else begin + litedramcore_master_p0_reset_n <= litedramcore_slave_p0_reset_n; + end end else begin - litedramcore_master_p0_cs_n <= litedramcore_inti_p0_cs_n; + litedramcore_master_p0_reset_n <= litedramcore_csr_dfi_p0_reset_n; end end always @(*) begin - litedramcore_master_p0_ras_n <= 1'd1; + litedramcore_master_p0_act_n <= 1'd1; if (litedramcore_sel) begin - litedramcore_master_p0_ras_n <= litedramcore_slave_p0_ras_n; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_act_n <= litedramcore_ext_dfi_p0_act_n; + end else begin + litedramcore_master_p0_act_n <= litedramcore_slave_p0_act_n; + end end else begin - litedramcore_master_p0_ras_n <= litedramcore_inti_p0_ras_n; + litedramcore_master_p0_act_n <= litedramcore_csr_dfi_p0_act_n; end end always @(*) begin - litedramcore_inti_p0_rddata <= 64'd0; + litedramcore_master_p0_wrdata <= 64'd0; if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata <= litedramcore_ext_dfi_p0_wrdata; + end else begin + litedramcore_master_p0_wrdata <= litedramcore_slave_p0_wrdata; + end end else begin - litedramcore_inti_p0_rddata <= litedramcore_master_p0_rddata; + litedramcore_master_p0_wrdata <= litedramcore_csr_dfi_p0_wrdata; end end always @(*) begin - litedramcore_master_p0_we_n <= 1'd1; + litedramcore_master_p0_wrdata_en <= 1'd0; if (litedramcore_sel) begin - litedramcore_master_p0_we_n <= litedramcore_slave_p0_we_n; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata_en <= litedramcore_ext_dfi_p0_wrdata_en; + end else begin + litedramcore_master_p0_wrdata_en <= litedramcore_slave_p0_wrdata_en; + end end else begin - litedramcore_master_p0_we_n <= litedramcore_inti_p0_we_n; + litedramcore_master_p0_wrdata_en <= litedramcore_csr_dfi_p0_wrdata_en; end end always @(*) begin - litedramcore_inti_p0_rddata_valid <= 1'd0; + litedramcore_master_p0_wrdata_mask <= 8'd0; if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata_mask <= litedramcore_ext_dfi_p0_wrdata_mask; + end else begin + litedramcore_master_p0_wrdata_mask <= litedramcore_slave_p0_wrdata_mask; + end end else begin - litedramcore_inti_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + litedramcore_master_p0_wrdata_mask <= litedramcore_csr_dfi_p0_wrdata_mask; end end always @(*) begin - litedramcore_master_p0_cke <= 1'd0; + litedramcore_master_p0_rddata_en <= 1'd0; if (litedramcore_sel) begin - litedramcore_master_p0_cke <= litedramcore_slave_p0_cke; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_rddata_en <= litedramcore_ext_dfi_p0_rddata_en; + end else begin + litedramcore_master_p0_rddata_en <= litedramcore_slave_p0_rddata_en; + end end else begin - litedramcore_master_p0_cke <= litedramcore_inti_p0_cke; + litedramcore_master_p0_rddata_en <= litedramcore_csr_dfi_p0_rddata_en; end end always @(*) begin - litedramcore_master_p0_odt <= 1'd0; + litedramcore_master_p1_address <= 15'd0; if (litedramcore_sel) begin - litedramcore_master_p0_odt <= litedramcore_slave_p0_odt; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_address <= litedramcore_ext_dfi_p1_address; + end else begin + litedramcore_master_p1_address <= litedramcore_slave_p1_address; + end end else begin - litedramcore_master_p0_odt <= litedramcore_inti_p0_odt; + litedramcore_master_p1_address <= litedramcore_csr_dfi_p1_address; end end always @(*) begin - litedramcore_master_p0_reset_n <= 1'd0; + litedramcore_master_p1_bank <= 3'd0; if (litedramcore_sel) begin - litedramcore_master_p0_reset_n <= litedramcore_slave_p0_reset_n; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_bank <= litedramcore_ext_dfi_p1_bank; + end else begin + litedramcore_master_p1_bank <= litedramcore_slave_p1_bank; + end end else begin - litedramcore_master_p0_reset_n <= litedramcore_inti_p0_reset_n; + litedramcore_master_p1_bank <= litedramcore_csr_dfi_p1_bank; end end always @(*) begin - litedramcore_master_p0_act_n <= 1'd1; + litedramcore_master_p1_cas_n <= 1'd1; if (litedramcore_sel) begin - litedramcore_master_p0_act_n <= litedramcore_slave_p0_act_n; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cas_n <= litedramcore_ext_dfi_p1_cas_n; + end else begin + litedramcore_master_p1_cas_n <= litedramcore_slave_p1_cas_n; + end end else begin - litedramcore_master_p0_act_n <= litedramcore_inti_p0_act_n; + litedramcore_master_p1_cas_n <= litedramcore_csr_dfi_p1_cas_n; end end always @(*) begin - litedramcore_master_p0_wrdata <= 64'd0; + litedramcore_master_p1_cs_n <= 1'd1; if (litedramcore_sel) begin - litedramcore_master_p0_wrdata <= litedramcore_slave_p0_wrdata; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cs_n <= litedramcore_ext_dfi_p1_cs_n; + end else begin + litedramcore_master_p1_cs_n <= litedramcore_slave_p1_cs_n; + end end else begin - litedramcore_master_p0_wrdata <= litedramcore_inti_p0_wrdata; + litedramcore_master_p1_cs_n <= litedramcore_csr_dfi_p1_cs_n; end end always @(*) begin - litedramcore_master_p0_wrdata_en <= 1'd0; + litedramcore_master_p1_ras_n <= 1'd1; if (litedramcore_sel) begin - litedramcore_master_p0_wrdata_en <= litedramcore_slave_p0_wrdata_en; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_ras_n <= litedramcore_ext_dfi_p1_ras_n; + end else begin + litedramcore_master_p1_ras_n <= litedramcore_slave_p1_ras_n; + end end else begin - litedramcore_master_p0_wrdata_en <= litedramcore_inti_p0_wrdata_en; + litedramcore_master_p1_ras_n <= litedramcore_csr_dfi_p1_ras_n; end end always @(*) begin - litedramcore_master_p0_wrdata_mask <= 8'd0; + litedramcore_master_p1_we_n <= 1'd1; if (litedramcore_sel) begin - litedramcore_master_p0_wrdata_mask <= litedramcore_slave_p0_wrdata_mask; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_we_n <= litedramcore_ext_dfi_p1_we_n; + end else begin + litedramcore_master_p1_we_n <= litedramcore_slave_p1_we_n; + end end else begin - litedramcore_master_p0_wrdata_mask <= litedramcore_inti_p0_wrdata_mask; + litedramcore_master_p1_we_n <= litedramcore_csr_dfi_p1_we_n; end end always @(*) begin - litedramcore_master_p0_rddata_en <= 1'd0; + litedramcore_master_p1_cke <= 1'd0; if (litedramcore_sel) begin - litedramcore_master_p0_rddata_en <= litedramcore_slave_p0_rddata_en; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cke <= litedramcore_ext_dfi_p1_cke; + end else begin + litedramcore_master_p1_cke <= litedramcore_slave_p1_cke; + end end else begin - litedramcore_master_p0_rddata_en <= litedramcore_inti_p0_rddata_en; + litedramcore_master_p1_cke <= litedramcore_csr_dfi_p1_cke; end end always @(*) begin - litedramcore_master_p1_address <= 15'd0; + litedramcore_master_p1_odt <= 1'd0; if (litedramcore_sel) begin - litedramcore_master_p1_address <= litedramcore_slave_p1_address; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_odt <= litedramcore_ext_dfi_p1_odt; + end else begin + litedramcore_master_p1_odt <= litedramcore_slave_p1_odt; + end end else begin - litedramcore_master_p1_address <= litedramcore_inti_p1_address; + litedramcore_master_p1_odt <= litedramcore_csr_dfi_p1_odt; end end always @(*) begin - litedramcore_master_p1_bank <= 3'd0; + litedramcore_ext_dfi_p0_rddata <= 64'd0; if (litedramcore_sel) begin - litedramcore_master_p1_bank <= litedramcore_slave_p1_bank; + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p0_rddata <= litedramcore_master_p0_rddata; + end else begin + end end else begin - litedramcore_master_p1_bank <= litedramcore_inti_p1_bank; end end always @(*) begin - litedramcore_master_p1_cas_n <= 1'd1; + litedramcore_master_p1_reset_n <= 1'd0; if (litedramcore_sel) begin - litedramcore_master_p1_cas_n <= litedramcore_slave_p1_cas_n; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_reset_n <= litedramcore_ext_dfi_p1_reset_n; + end else begin + litedramcore_master_p1_reset_n <= litedramcore_slave_p1_reset_n; + end end else begin - litedramcore_master_p1_cas_n <= litedramcore_inti_p1_cas_n; + litedramcore_master_p1_reset_n <= litedramcore_csr_dfi_p1_reset_n; end end always @(*) begin - litedramcore_master_p1_cs_n <= 1'd1; + litedramcore_ext_dfi_p0_rddata_valid <= 1'd0; if (litedramcore_sel) begin - litedramcore_master_p1_cs_n <= litedramcore_slave_p1_cs_n; + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end else begin + end end else begin - litedramcore_master_p1_cs_n <= litedramcore_inti_p1_cs_n; end end always @(*) begin - litedramcore_master_p1_ras_n <= 1'd1; + litedramcore_master_p1_act_n <= 1'd1; if (litedramcore_sel) begin - litedramcore_master_p1_ras_n <= litedramcore_slave_p1_ras_n; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_act_n <= litedramcore_ext_dfi_p1_act_n; + end else begin + litedramcore_master_p1_act_n <= litedramcore_slave_p1_act_n; + end end else begin - litedramcore_master_p1_ras_n <= litedramcore_inti_p1_ras_n; + litedramcore_master_p1_act_n <= litedramcore_csr_dfi_p1_act_n; end end always @(*) begin - litedramcore_inti_p1_rddata <= 64'd0; + litedramcore_master_p1_wrdata <= 64'd0; if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata <= litedramcore_ext_dfi_p1_wrdata; + end else begin + litedramcore_master_p1_wrdata <= litedramcore_slave_p1_wrdata; + end end else begin - litedramcore_inti_p1_rddata <= litedramcore_master_p1_rddata; + litedramcore_master_p1_wrdata <= litedramcore_csr_dfi_p1_wrdata; end end always @(*) begin - litedramcore_master_p1_we_n <= 1'd1; + litedramcore_master_p1_wrdata_en <= 1'd0; if (litedramcore_sel) begin - litedramcore_master_p1_we_n <= litedramcore_slave_p1_we_n; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata_en <= litedramcore_ext_dfi_p1_wrdata_en; + end else begin + litedramcore_master_p1_wrdata_en <= litedramcore_slave_p1_wrdata_en; + end end else begin - litedramcore_master_p1_we_n <= litedramcore_inti_p1_we_n; + litedramcore_master_p1_wrdata_en <= litedramcore_csr_dfi_p1_wrdata_en; end end always @(*) begin - litedramcore_inti_p1_rddata_valid <= 1'd0; + litedramcore_master_p1_wrdata_mask <= 8'd0; if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata_mask <= litedramcore_ext_dfi_p1_wrdata_mask; + end else begin + litedramcore_master_p1_wrdata_mask <= litedramcore_slave_p1_wrdata_mask; + end end else begin - litedramcore_inti_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + litedramcore_master_p1_wrdata_mask <= litedramcore_csr_dfi_p1_wrdata_mask; end end always @(*) begin - litedramcore_master_p1_cke <= 1'd0; + litedramcore_master_p1_rddata_en <= 1'd0; if (litedramcore_sel) begin - litedramcore_master_p1_cke <= litedramcore_slave_p1_cke; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_rddata_en <= litedramcore_ext_dfi_p1_rddata_en; + end else begin + litedramcore_master_p1_rddata_en <= litedramcore_slave_p1_rddata_en; + end end else begin - litedramcore_master_p1_cke <= litedramcore_inti_p1_cke; + litedramcore_master_p1_rddata_en <= litedramcore_csr_dfi_p1_rddata_en; end end always @(*) begin - litedramcore_master_p1_odt <= 1'd0; + litedramcore_ext_dfi_p1_rddata <= 64'd0; if (litedramcore_sel) begin - litedramcore_master_p1_odt <= litedramcore_slave_p1_odt; + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p1_rddata <= litedramcore_master_p1_rddata; + end else begin + end end else begin - litedramcore_master_p1_odt <= litedramcore_inti_p1_odt; end end always @(*) begin - litedramcore_master_p1_reset_n <= 1'd0; + litedramcore_ext_dfi_p1_rddata_valid <= 1'd0; if (litedramcore_sel) begin - litedramcore_master_p1_reset_n <= litedramcore_slave_p1_reset_n; + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end else begin + end end else begin - litedramcore_master_p1_reset_n <= litedramcore_inti_p1_reset_n; end end always @(*) begin - litedramcore_master_p1_act_n <= 1'd1; + litedramcore_slave_p0_rddata <= 64'd0; if (litedramcore_sel) begin - litedramcore_master_p1_act_n <= litedramcore_slave_p1_act_n; + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p0_rddata <= litedramcore_master_p0_rddata; + end end else begin - litedramcore_master_p1_act_n <= litedramcore_inti_p1_act_n; end end always @(*) begin - litedramcore_master_p1_wrdata <= 64'd0; + litedramcore_slave_p0_rddata_valid <= 1'd0; if (litedramcore_sel) begin - litedramcore_master_p1_wrdata <= litedramcore_slave_p1_wrdata; + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end end else begin - litedramcore_master_p1_wrdata <= litedramcore_inti_p1_wrdata; end end always @(*) begin - litedramcore_master_p1_wrdata_en <= 1'd0; + litedramcore_csr_dfi_p0_rddata <= 64'd0; if (litedramcore_sel) begin - litedramcore_master_p1_wrdata_en <= litedramcore_slave_p1_wrdata_en; end else begin - litedramcore_master_p1_wrdata_en <= litedramcore_inti_p1_wrdata_en; + litedramcore_csr_dfi_p0_rddata <= litedramcore_master_p0_rddata; end end always @(*) begin - litedramcore_master_p1_wrdata_mask <= 8'd0; + litedramcore_csr_dfi_p0_rddata_valid <= 1'd0; if (litedramcore_sel) begin - litedramcore_master_p1_wrdata_mask <= litedramcore_slave_p1_wrdata_mask; end else begin - litedramcore_master_p1_wrdata_mask <= litedramcore_inti_p1_wrdata_mask; + litedramcore_csr_dfi_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; end end always @(*) begin - litedramcore_master_p1_rddata_en <= 1'd0; + litedramcore_slave_p1_rddata <= 64'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p1_rddata <= litedramcore_master_p1_rddata; + end + end else begin + end +end +always @(*) begin + litedramcore_slave_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end + end else begin + end +end +always @(*) begin + litedramcore_master_p0_address <= 15'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_address <= litedramcore_ext_dfi_p0_address; + end else begin + litedramcore_master_p0_address <= litedramcore_slave_p0_address; + end + end else begin + litedramcore_master_p0_address <= litedramcore_csr_dfi_p0_address; + end +end +always @(*) begin + litedramcore_master_p0_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_bank <= litedramcore_ext_dfi_p0_bank; + end else begin + litedramcore_master_p0_bank <= litedramcore_slave_p0_bank; + end + end else begin + litedramcore_master_p0_bank <= litedramcore_csr_dfi_p0_bank; + end +end +always @(*) begin + litedramcore_master_p0_cas_n <= 1'd1; if (litedramcore_sel) begin - litedramcore_master_p1_rddata_en <= litedramcore_slave_p1_rddata_en; + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cas_n <= litedramcore_ext_dfi_p0_cas_n; + end else begin + litedramcore_master_p0_cas_n <= litedramcore_slave_p0_cas_n; + end end else begin - litedramcore_master_p1_rddata_en <= litedramcore_inti_p1_rddata_en; + litedramcore_master_p0_cas_n <= litedramcore_csr_dfi_p0_cas_n; end end -assign litedramcore_inti_p0_cke = litedramcore_cke; -assign litedramcore_inti_p1_cke = litedramcore_cke; -assign litedramcore_inti_p0_odt = litedramcore_odt; -assign litedramcore_inti_p1_odt = litedramcore_odt; -assign litedramcore_inti_p0_reset_n = litedramcore_reset_n; -assign litedramcore_inti_p1_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p0_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p1_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p0_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p1_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p0_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p1_reset_n = litedramcore_reset_n; always @(*) begin - litedramcore_inti_p0_ras_n <= 1'd1; + litedramcore_csr_dfi_p0_cas_n <= 1'd1; if (litedramcore_phaseinjector0_command_issue_re) begin - litedramcore_inti_p0_ras_n <= (~litedramcore_phaseinjector0_command_storage[3]); + litedramcore_csr_dfi_p0_cas_n <= (~litedramcore_phaseinjector0_csrfield_cas); end else begin - litedramcore_inti_p0_ras_n <= 1'd1; + litedramcore_csr_dfi_p0_cas_n <= 1'd1; end end always @(*) begin - litedramcore_inti_p0_we_n <= 1'd1; + litedramcore_csr_dfi_p0_cs_n <= 1'd1; if (litedramcore_phaseinjector0_command_issue_re) begin - litedramcore_inti_p0_we_n <= (~litedramcore_phaseinjector0_command_storage[1]); + litedramcore_csr_dfi_p0_cs_n <= {1{(~litedramcore_phaseinjector0_csrfield_cs)}}; end else begin - litedramcore_inti_p0_we_n <= 1'd1; + litedramcore_csr_dfi_p0_cs_n <= {1{1'd1}}; end end always @(*) begin - litedramcore_inti_p0_cas_n <= 1'd1; + litedramcore_csr_dfi_p0_ras_n <= 1'd1; if (litedramcore_phaseinjector0_command_issue_re) begin - litedramcore_inti_p0_cas_n <= (~litedramcore_phaseinjector0_command_storage[2]); + litedramcore_csr_dfi_p0_ras_n <= (~litedramcore_phaseinjector0_csrfield_ras); end else begin - litedramcore_inti_p0_cas_n <= 1'd1; + litedramcore_csr_dfi_p0_ras_n <= 1'd1; end end always @(*) begin - litedramcore_inti_p0_cs_n <= 1'd1; + litedramcore_csr_dfi_p0_we_n <= 1'd1; if (litedramcore_phaseinjector0_command_issue_re) begin - litedramcore_inti_p0_cs_n <= {1{(~litedramcore_phaseinjector0_command_storage[0])}}; + litedramcore_csr_dfi_p0_we_n <= (~litedramcore_phaseinjector0_csrfield_we); end else begin - litedramcore_inti_p0_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p0_we_n <= 1'd1; end end -assign litedramcore_inti_p0_address = litedramcore_phaseinjector0_address_storage; -assign litedramcore_inti_p0_bank = litedramcore_phaseinjector0_baddress_storage; -assign litedramcore_inti_p0_wrdata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_command_storage[4]); -assign litedramcore_inti_p0_rddata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_command_storage[5]); -assign litedramcore_inti_p0_wrdata = litedramcore_phaseinjector0_wrdata_storage; -assign litedramcore_inti_p0_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p0_address = litedramcore_phaseinjector0_address_storage; +assign litedramcore_csr_dfi_p0_bank = litedramcore_phaseinjector0_baddress_storage; +assign litedramcore_csr_dfi_p0_wrdata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_csrfield_wren); +assign litedramcore_csr_dfi_p0_rddata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_csrfield_rden); +assign litedramcore_csr_dfi_p0_wrdata = litedramcore_phaseinjector0_wrdata_storage; +assign litedramcore_csr_dfi_p0_wrdata_mask = 1'd0; always @(*) begin - litedramcore_inti_p1_ras_n <= 1'd1; + litedramcore_csr_dfi_p1_cas_n <= 1'd1; if (litedramcore_phaseinjector1_command_issue_re) begin - litedramcore_inti_p1_ras_n <= (~litedramcore_phaseinjector1_command_storage[3]); + litedramcore_csr_dfi_p1_cas_n <= (~litedramcore_phaseinjector1_csrfield_cas); end else begin - litedramcore_inti_p1_ras_n <= 1'd1; + litedramcore_csr_dfi_p1_cas_n <= 1'd1; end end always @(*) begin - litedramcore_inti_p1_we_n <= 1'd1; + litedramcore_csr_dfi_p1_cs_n <= 1'd1; if (litedramcore_phaseinjector1_command_issue_re) begin - litedramcore_inti_p1_we_n <= (~litedramcore_phaseinjector1_command_storage[1]); + litedramcore_csr_dfi_p1_cs_n <= {1{(~litedramcore_phaseinjector1_csrfield_cs)}}; end else begin - litedramcore_inti_p1_we_n <= 1'd1; + litedramcore_csr_dfi_p1_cs_n <= {1{1'd1}}; end end always @(*) begin - litedramcore_inti_p1_cas_n <= 1'd1; + litedramcore_csr_dfi_p1_ras_n <= 1'd1; if (litedramcore_phaseinjector1_command_issue_re) begin - litedramcore_inti_p1_cas_n <= (~litedramcore_phaseinjector1_command_storage[2]); + litedramcore_csr_dfi_p1_ras_n <= (~litedramcore_phaseinjector1_csrfield_ras); end else begin - litedramcore_inti_p1_cas_n <= 1'd1; + litedramcore_csr_dfi_p1_ras_n <= 1'd1; end end always @(*) begin - litedramcore_inti_p1_cs_n <= 1'd1; + litedramcore_csr_dfi_p1_we_n <= 1'd1; if (litedramcore_phaseinjector1_command_issue_re) begin - litedramcore_inti_p1_cs_n <= {1{(~litedramcore_phaseinjector1_command_storage[0])}}; + litedramcore_csr_dfi_p1_we_n <= (~litedramcore_phaseinjector1_csrfield_we); end else begin - litedramcore_inti_p1_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p1_we_n <= 1'd1; end end -assign litedramcore_inti_p1_address = litedramcore_phaseinjector1_address_storage; -assign litedramcore_inti_p1_bank = litedramcore_phaseinjector1_baddress_storage; -assign litedramcore_inti_p1_wrdata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_command_storage[4]); -assign litedramcore_inti_p1_rddata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_command_storage[5]); -assign litedramcore_inti_p1_wrdata = litedramcore_phaseinjector1_wrdata_storage; -assign litedramcore_inti_p1_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p1_address = litedramcore_phaseinjector1_address_storage; +assign litedramcore_csr_dfi_p1_bank = litedramcore_phaseinjector1_baddress_storage; +assign litedramcore_csr_dfi_p1_wrdata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_csrfield_wren); +assign litedramcore_csr_dfi_p1_rddata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_csrfield_rden); +assign litedramcore_csr_dfi_p1_wrdata = litedramcore_phaseinjector1_wrdata_storage; +assign litedramcore_csr_dfi_p1_wrdata_mask = 1'd0; assign litedramcore_bankmachine0_req_valid = litedramcore_interface_bank0_valid; assign litedramcore_interface_bank0_ready = litedramcore_bankmachine0_req_ready; assign litedramcore_bankmachine0_req_we = litedramcore_interface_bank0_we; @@ -2898,32 +3107,32 @@ assign litedramcore_zqcs_timer_done1 = (litedramcore_zqcs_timer_count1 == 1'd0); assign litedramcore_zqcs_timer_done0 = litedramcore_zqcs_timer_done1; assign litedramcore_zqcs_timer_count0 = litedramcore_zqcs_timer_count1; always @(*) begin - litedramcore_refresher_next_state <= 2'd0; - litedramcore_refresher_next_state <= litedramcore_refresher_state; - case (litedramcore_refresher_state) + litedramcore_litedramcore_refresher_next_state <= 2'd0; + litedramcore_litedramcore_refresher_next_state <= litedramcore_litedramcore_refresher_state; + case (litedramcore_litedramcore_refresher_state) 1'd1: begin if (litedramcore_cmd_ready) begin - litedramcore_refresher_next_state <= 2'd2; + litedramcore_litedramcore_refresher_next_state <= 2'd2; end end 2'd2: begin if (litedramcore_sequencer_done0) begin if (litedramcore_wants_zqcs) begin - litedramcore_refresher_next_state <= 2'd3; + litedramcore_litedramcore_refresher_next_state <= 2'd3; end else begin - litedramcore_refresher_next_state <= 1'd0; + litedramcore_litedramcore_refresher_next_state <= 1'd0; end end end 2'd3: begin if (litedramcore_zqcs_executer_done) begin - litedramcore_refresher_next_state <= 1'd0; + litedramcore_litedramcore_refresher_next_state <= 1'd0; end end default: begin if (1'd1) begin if (litedramcore_wants_refresh) begin - litedramcore_refresher_next_state <= 1'd1; + litedramcore_litedramcore_refresher_next_state <= 1'd1; end end end @@ -2931,7 +3140,7 @@ always @(*) begin end always @(*) begin litedramcore_sequencer_start0 <= 1'd0; - case (litedramcore_refresher_state) + case (litedramcore_litedramcore_refresher_state) 1'd1: begin if (litedramcore_cmd_ready) begin litedramcore_sequencer_start0 <= 1'd1; @@ -2947,7 +3156,7 @@ always @(*) begin end always @(*) begin litedramcore_cmd_valid <= 1'd0; - case (litedramcore_refresher_state) + case (litedramcore_litedramcore_refresher_state) 1'd1: begin litedramcore_cmd_valid <= 1'd1; end @@ -2972,7 +3181,7 @@ always @(*) begin end always @(*) begin litedramcore_zqcs_executer_start <= 1'd0; - case (litedramcore_refresher_state) + case (litedramcore_litedramcore_refresher_state) 1'd1: begin end 2'd2: begin @@ -2991,7 +3200,7 @@ always @(*) begin end always @(*) begin litedramcore_cmd_last <= 1'd0; - case (litedramcore_refresher_state) + case (litedramcore_litedramcore_refresher_state) 1'd1: begin end 2'd2: begin @@ -3078,63 +3287,85 @@ assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (lite assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 1'd0); assign litedramcore_bankmachine0_cmd_buffer_sink_ready = ((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready); always @(*) begin - litedramcore_bankmachine0_next_state <= 3'd0; - litedramcore_bankmachine0_next_state <= litedramcore_bankmachine0_state; - case (litedramcore_bankmachine0_state) + litedramcore_litedramcore_bankmachine0_next_state <= 3'd0; + litedramcore_litedramcore_bankmachine0_next_state <= litedramcore_litedramcore_bankmachine0_state; + case (litedramcore_litedramcore_bankmachine0_state) 1'd1: begin if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin if (litedramcore_bankmachine0_cmd_ready) begin - litedramcore_bankmachine0_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine0_next_state <= 3'd5; end end end 2'd2: begin if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin - litedramcore_bankmachine0_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine0_next_state <= 3'd5; end end 2'd3: begin if (litedramcore_bankmachine0_trccon_ready) begin if (litedramcore_bankmachine0_cmd_ready) begin - litedramcore_bankmachine0_next_state <= 3'd6; + litedramcore_litedramcore_bankmachine0_next_state <= 3'd6; end end end 3'd4: begin if ((~litedramcore_bankmachine0_refresh_req)) begin - litedramcore_bankmachine0_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine0_next_state <= 1'd0; end end 3'd5: begin - litedramcore_bankmachine0_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine0_next_state <= 2'd3; end 3'd6: begin - litedramcore_bankmachine0_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine0_next_state <= 1'd0; end default: begin if (litedramcore_bankmachine0_refresh_req) begin - litedramcore_bankmachine0_next_state <= 3'd4; + litedramcore_litedramcore_bankmachine0_next_state <= 3'd4; end else begin if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin if (litedramcore_bankmachine0_row_opened) begin if (litedramcore_bankmachine0_row_hit) begin if ((litedramcore_bankmachine0_cmd_ready & litedramcore_bankmachine0_auto_precharge)) begin - litedramcore_bankmachine0_next_state <= 2'd2; + litedramcore_litedramcore_bankmachine0_next_state <= 2'd2; end end else begin - litedramcore_bankmachine0_next_state <= 1'd1; + litedramcore_litedramcore_bankmachine0_next_state <= 1'd1; end end else begin - litedramcore_bankmachine0_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine0_next_state <= 2'd3; end end end end endcase end +always @(*) begin + litedramcore_bankmachine0_row_open <= 1'd0; + case (litedramcore_litedramcore_bankmachine0_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + default: begin + end + endcase +end always @(*) begin litedramcore_bankmachine0_row_close <= 1'd0; - case (litedramcore_bankmachine0_state) + case (litedramcore_litedramcore_bankmachine0_state) 1'd1: begin litedramcore_bankmachine0_row_close <= 1'd1; end @@ -3156,7 +3387,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine0_cmd_payload_cas <= 1'd0; - case (litedramcore_bankmachine0_state) + case (litedramcore_litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -3187,7 +3418,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine0_cmd_payload_ras <= 1'd0; - case (litedramcore_bankmachine0_state) + case (litedramcore_litedramcore_bankmachine0_state) 1'd1: begin if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; @@ -3212,7 +3443,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine0_cmd_payload_we <= 1'd0; - case (litedramcore_bankmachine0_state) + case (litedramcore_litedramcore_bankmachine0_state) 1'd1: begin if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin litedramcore_bankmachine0_cmd_payload_we <= 1'd1; @@ -3249,7 +3480,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0; - case (litedramcore_bankmachine0_state) + case (litedramcore_litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -3271,7 +3502,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0; - case (litedramcore_bankmachine0_state) + case (litedramcore_litedramcore_bankmachine0_state) 1'd1: begin if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; @@ -3297,7 +3528,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0; - case (litedramcore_bankmachine0_state) + case (litedramcore_litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -3331,7 +3562,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0; - case (litedramcore_bankmachine0_state) + case (litedramcore_litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -3365,7 +3596,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine0_req_wdata_ready <= 1'd0; - case (litedramcore_bankmachine0_state) + case (litedramcore_litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -3399,7 +3630,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine0_req_rdata_valid <= 1'd0; - case (litedramcore_bankmachine0_state) + case (litedramcore_litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -3433,7 +3664,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine0_refresh_gnt <= 1'd0; - case (litedramcore_bankmachine0_state) + case (litedramcore_litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -3455,7 +3686,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine0_cmd_valid <= 1'd0; - case (litedramcore_bankmachine0_state) + case (litedramcore_litedramcore_bankmachine0_state) 1'd1: begin if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin litedramcore_bankmachine0_cmd_valid <= 1'd1; @@ -3490,28 +3721,6 @@ always @(*) begin end endcase end -always @(*) begin - litedramcore_bankmachine0_row_open <= 1'd0; - case (litedramcore_bankmachine0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (litedramcore_bankmachine0_trccon_ready) begin - litedramcore_bankmachine0_row_open <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - default: begin - end - endcase -end assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine1_req_valid; assign litedramcore_bankmachine1_req_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine1_req_we; @@ -3579,63 +3788,85 @@ assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (lite assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 1'd0); assign litedramcore_bankmachine1_cmd_buffer_sink_ready = ((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready); always @(*) begin - litedramcore_bankmachine1_next_state <= 3'd0; - litedramcore_bankmachine1_next_state <= litedramcore_bankmachine1_state; - case (litedramcore_bankmachine1_state) + litedramcore_litedramcore_bankmachine1_next_state <= 3'd0; + litedramcore_litedramcore_bankmachine1_next_state <= litedramcore_litedramcore_bankmachine1_state; + case (litedramcore_litedramcore_bankmachine1_state) 1'd1: begin if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin if (litedramcore_bankmachine1_cmd_ready) begin - litedramcore_bankmachine1_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine1_next_state <= 3'd5; end end end 2'd2: begin if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin - litedramcore_bankmachine1_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine1_next_state <= 3'd5; end end 2'd3: begin if (litedramcore_bankmachine1_trccon_ready) begin if (litedramcore_bankmachine1_cmd_ready) begin - litedramcore_bankmachine1_next_state <= 3'd6; + litedramcore_litedramcore_bankmachine1_next_state <= 3'd6; end end end 3'd4: begin if ((~litedramcore_bankmachine1_refresh_req)) begin - litedramcore_bankmachine1_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine1_next_state <= 1'd0; end end 3'd5: begin - litedramcore_bankmachine1_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine1_next_state <= 2'd3; end 3'd6: begin - litedramcore_bankmachine1_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine1_next_state <= 1'd0; end default: begin if (litedramcore_bankmachine1_refresh_req) begin - litedramcore_bankmachine1_next_state <= 3'd4; + litedramcore_litedramcore_bankmachine1_next_state <= 3'd4; end else begin if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin if (litedramcore_bankmachine1_row_opened) begin if (litedramcore_bankmachine1_row_hit) begin if ((litedramcore_bankmachine1_cmd_ready & litedramcore_bankmachine1_auto_precharge)) begin - litedramcore_bankmachine1_next_state <= 2'd2; + litedramcore_litedramcore_bankmachine1_next_state <= 2'd2; end end else begin - litedramcore_bankmachine1_next_state <= 1'd1; + litedramcore_litedramcore_bankmachine1_next_state <= 1'd1; end end else begin - litedramcore_bankmachine1_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine1_next_state <= 2'd3; end end end end endcase end +always @(*) begin + litedramcore_bankmachine1_row_open <= 1'd0; + case (litedramcore_litedramcore_bankmachine1_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + default: begin + end + endcase +end always @(*) begin litedramcore_bankmachine1_row_close <= 1'd0; - case (litedramcore_bankmachine1_state) + case (litedramcore_litedramcore_bankmachine1_state) 1'd1: begin litedramcore_bankmachine1_row_close <= 1'd1; end @@ -3657,7 +3888,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine1_cmd_payload_cas <= 1'd0; - case (litedramcore_bankmachine1_state) + case (litedramcore_litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -3688,7 +3919,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine1_cmd_payload_ras <= 1'd0; - case (litedramcore_bankmachine1_state) + case (litedramcore_litedramcore_bankmachine1_state) 1'd1: begin if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; @@ -3713,7 +3944,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine1_cmd_payload_we <= 1'd0; - case (litedramcore_bankmachine1_state) + case (litedramcore_litedramcore_bankmachine1_state) 1'd1: begin if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin litedramcore_bankmachine1_cmd_payload_we <= 1'd1; @@ -3750,7 +3981,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0; - case (litedramcore_bankmachine1_state) + case (litedramcore_litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -3772,7 +4003,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0; - case (litedramcore_bankmachine1_state) + case (litedramcore_litedramcore_bankmachine1_state) 1'd1: begin if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; @@ -3798,7 +4029,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0; - case (litedramcore_bankmachine1_state) + case (litedramcore_litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -3832,7 +4063,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0; - case (litedramcore_bankmachine1_state) + case (litedramcore_litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -3866,7 +4097,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine1_req_wdata_ready <= 1'd0; - case (litedramcore_bankmachine1_state) + case (litedramcore_litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -3900,7 +4131,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine1_req_rdata_valid <= 1'd0; - case (litedramcore_bankmachine1_state) + case (litedramcore_litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -3934,7 +4165,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine1_refresh_gnt <= 1'd0; - case (litedramcore_bankmachine1_state) + case (litedramcore_litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -3956,7 +4187,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine1_cmd_valid <= 1'd0; - case (litedramcore_bankmachine1_state) + case (litedramcore_litedramcore_bankmachine1_state) 1'd1: begin if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin litedramcore_bankmachine1_cmd_valid <= 1'd1; @@ -3991,28 +4222,6 @@ always @(*) begin end endcase end -always @(*) begin - litedramcore_bankmachine1_row_open <= 1'd0; - case (litedramcore_bankmachine1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (litedramcore_bankmachine1_trccon_ready) begin - litedramcore_bankmachine1_row_open <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - default: begin - end - endcase -end assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine2_req_valid; assign litedramcore_bankmachine2_req_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine2_req_we; @@ -4080,54 +4289,54 @@ assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (lite assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 1'd0); assign litedramcore_bankmachine2_cmd_buffer_sink_ready = ((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready); always @(*) begin - litedramcore_bankmachine2_next_state <= 3'd0; - litedramcore_bankmachine2_next_state <= litedramcore_bankmachine2_state; - case (litedramcore_bankmachine2_state) + litedramcore_litedramcore_bankmachine2_next_state <= 3'd0; + litedramcore_litedramcore_bankmachine2_next_state <= litedramcore_litedramcore_bankmachine2_state; + case (litedramcore_litedramcore_bankmachine2_state) 1'd1: begin if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin if (litedramcore_bankmachine2_cmd_ready) begin - litedramcore_bankmachine2_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine2_next_state <= 3'd5; end end end 2'd2: begin if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin - litedramcore_bankmachine2_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine2_next_state <= 3'd5; end end 2'd3: begin if (litedramcore_bankmachine2_trccon_ready) begin if (litedramcore_bankmachine2_cmd_ready) begin - litedramcore_bankmachine2_next_state <= 3'd6; + litedramcore_litedramcore_bankmachine2_next_state <= 3'd6; end end end 3'd4: begin if ((~litedramcore_bankmachine2_refresh_req)) begin - litedramcore_bankmachine2_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine2_next_state <= 1'd0; end end 3'd5: begin - litedramcore_bankmachine2_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine2_next_state <= 2'd3; end 3'd6: begin - litedramcore_bankmachine2_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine2_next_state <= 1'd0; end default: begin if (litedramcore_bankmachine2_refresh_req) begin - litedramcore_bankmachine2_next_state <= 3'd4; + litedramcore_litedramcore_bankmachine2_next_state <= 3'd4; end else begin if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin if (litedramcore_bankmachine2_row_opened) begin if (litedramcore_bankmachine2_row_hit) begin if ((litedramcore_bankmachine2_cmd_ready & litedramcore_bankmachine2_auto_precharge)) begin - litedramcore_bankmachine2_next_state <= 2'd2; + litedramcore_litedramcore_bankmachine2_next_state <= 2'd2; end end else begin - litedramcore_bankmachine2_next_state <= 1'd1; + litedramcore_litedramcore_bankmachine2_next_state <= 1'd1; end end else begin - litedramcore_bankmachine2_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine2_next_state <= 2'd3; end end end @@ -4135,18 +4344,18 @@ always @(*) begin endcase end always @(*) begin - litedramcore_bankmachine2_row_close <= 1'd0; - case (litedramcore_bankmachine2_state) + litedramcore_bankmachine2_row_open <= 1'd0; + case (litedramcore_litedramcore_bankmachine2_state) 1'd1: begin - litedramcore_bankmachine2_row_close <= 1'd1; end 2'd2: begin - litedramcore_bankmachine2_row_close <= 1'd1; end 2'd3: begin + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_row_open <= 1'd1; + end end 3'd4: begin - litedramcore_bankmachine2_row_close <= 1'd1; end 3'd5: begin end @@ -4157,24 +4366,46 @@ always @(*) begin endcase end always @(*) begin - litedramcore_bankmachine2_cmd_payload_cas <= 1'd0; - case (litedramcore_bankmachine2_state) + litedramcore_bankmachine2_row_close <= 1'd0; + case (litedramcore_litedramcore_bankmachine2_state) 1'd1: begin + litedramcore_bankmachine2_row_close <= 1'd1; end 2'd2: begin + litedramcore_bankmachine2_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin + litedramcore_bankmachine2_row_close <= 1'd1; end 3'd5: begin end 3'd6: begin end default: begin - if (litedramcore_bankmachine2_refresh_req) begin - end else begin - if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + end + endcase +end +always @(*) begin + litedramcore_bankmachine2_cmd_payload_cas <= 1'd0; + case (litedramcore_litedramcore_bankmachine2_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + default: begin + if (litedramcore_bankmachine2_refresh_req) begin + end else begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin if (litedramcore_bankmachine2_row_opened) begin if (litedramcore_bankmachine2_row_hit) begin litedramcore_bankmachine2_cmd_payload_cas <= 1'd1; @@ -4189,7 +4420,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine2_cmd_payload_ras <= 1'd0; - case (litedramcore_bankmachine2_state) + case (litedramcore_litedramcore_bankmachine2_state) 1'd1: begin if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; @@ -4214,7 +4445,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine2_cmd_payload_we <= 1'd0; - case (litedramcore_bankmachine2_state) + case (litedramcore_litedramcore_bankmachine2_state) 1'd1: begin if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin litedramcore_bankmachine2_cmd_payload_we <= 1'd1; @@ -4251,7 +4482,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0; - case (litedramcore_bankmachine2_state) + case (litedramcore_litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -4273,7 +4504,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0; - case (litedramcore_bankmachine2_state) + case (litedramcore_litedramcore_bankmachine2_state) 1'd1: begin if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; @@ -4297,9 +4528,31 @@ always @(*) begin end endcase end +always @(*) begin + litedramcore_bankmachine2_refresh_gnt <= 1'd0; + case (litedramcore_litedramcore_bankmachine2_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + end + 3'd4: begin + if (litedramcore_bankmachine2_twtpcon_ready) begin + litedramcore_bankmachine2_refresh_gnt <= 1'd1; + end + end + 3'd5: begin + end + 3'd6: begin + end + default: begin + end + endcase +end always @(*) begin litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0; - case (litedramcore_bankmachine2_state) + case (litedramcore_litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -4333,7 +4586,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0; - case (litedramcore_bankmachine2_state) + case (litedramcore_litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -4367,7 +4620,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine2_req_wdata_ready <= 1'd0; - case (litedramcore_bankmachine2_state) + case (litedramcore_litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -4401,7 +4654,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine2_req_rdata_valid <= 1'd0; - case (litedramcore_bankmachine2_state) + case (litedramcore_litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -4433,31 +4686,9 @@ always @(*) begin end endcase end -always @(*) begin - litedramcore_bankmachine2_refresh_gnt <= 1'd0; - case (litedramcore_bankmachine2_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - if (litedramcore_bankmachine2_twtpcon_ready) begin - litedramcore_bankmachine2_refresh_gnt <= 1'd1; - end - end - 3'd5: begin - end - 3'd6: begin - end - default: begin - end - endcase -end always @(*) begin litedramcore_bankmachine2_cmd_valid <= 1'd0; - case (litedramcore_bankmachine2_state) + case (litedramcore_litedramcore_bankmachine2_state) 1'd1: begin if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin litedramcore_bankmachine2_cmd_valid <= 1'd1; @@ -4492,28 +4723,6 @@ always @(*) begin end endcase end -always @(*) begin - litedramcore_bankmachine2_row_open <= 1'd0; - case (litedramcore_bankmachine2_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (litedramcore_bankmachine2_trccon_ready) begin - litedramcore_bankmachine2_row_open <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - default: begin - end - endcase -end assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine3_req_valid; assign litedramcore_bankmachine3_req_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine3_req_we; @@ -4581,63 +4790,85 @@ assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (lite assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 1'd0); assign litedramcore_bankmachine3_cmd_buffer_sink_ready = ((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready); always @(*) begin - litedramcore_bankmachine3_next_state <= 3'd0; - litedramcore_bankmachine3_next_state <= litedramcore_bankmachine3_state; - case (litedramcore_bankmachine3_state) + litedramcore_litedramcore_bankmachine3_next_state <= 3'd0; + litedramcore_litedramcore_bankmachine3_next_state <= litedramcore_litedramcore_bankmachine3_state; + case (litedramcore_litedramcore_bankmachine3_state) 1'd1: begin if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin if (litedramcore_bankmachine3_cmd_ready) begin - litedramcore_bankmachine3_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine3_next_state <= 3'd5; end end end 2'd2: begin if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin - litedramcore_bankmachine3_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine3_next_state <= 3'd5; end end 2'd3: begin if (litedramcore_bankmachine3_trccon_ready) begin if (litedramcore_bankmachine3_cmd_ready) begin - litedramcore_bankmachine3_next_state <= 3'd6; + litedramcore_litedramcore_bankmachine3_next_state <= 3'd6; end end end 3'd4: begin if ((~litedramcore_bankmachine3_refresh_req)) begin - litedramcore_bankmachine3_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine3_next_state <= 1'd0; end end 3'd5: begin - litedramcore_bankmachine3_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine3_next_state <= 2'd3; end 3'd6: begin - litedramcore_bankmachine3_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine3_next_state <= 1'd0; end default: begin if (litedramcore_bankmachine3_refresh_req) begin - litedramcore_bankmachine3_next_state <= 3'd4; + litedramcore_litedramcore_bankmachine3_next_state <= 3'd4; end else begin if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin if (litedramcore_bankmachine3_row_opened) begin if (litedramcore_bankmachine3_row_hit) begin if ((litedramcore_bankmachine3_cmd_ready & litedramcore_bankmachine3_auto_precharge)) begin - litedramcore_bankmachine3_next_state <= 2'd2; + litedramcore_litedramcore_bankmachine3_next_state <= 2'd2; end end else begin - litedramcore_bankmachine3_next_state <= 1'd1; + litedramcore_litedramcore_bankmachine3_next_state <= 1'd1; end end else begin - litedramcore_bankmachine3_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine3_next_state <= 2'd3; end end end end endcase end +always @(*) begin + litedramcore_bankmachine3_row_open <= 1'd0; + case (litedramcore_litedramcore_bankmachine3_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + default: begin + end + endcase +end always @(*) begin litedramcore_bankmachine3_row_close <= 1'd0; - case (litedramcore_bankmachine3_state) + case (litedramcore_litedramcore_bankmachine3_state) 1'd1: begin litedramcore_bankmachine3_row_close <= 1'd1; end @@ -4659,7 +4890,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine3_cmd_payload_cas <= 1'd0; - case (litedramcore_bankmachine3_state) + case (litedramcore_litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -4690,7 +4921,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine3_cmd_payload_ras <= 1'd0; - case (litedramcore_bankmachine3_state) + case (litedramcore_litedramcore_bankmachine3_state) 1'd1: begin if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; @@ -4715,7 +4946,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine3_cmd_payload_we <= 1'd0; - case (litedramcore_bankmachine3_state) + case (litedramcore_litedramcore_bankmachine3_state) 1'd1: begin if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin litedramcore_bankmachine3_cmd_payload_we <= 1'd1; @@ -4752,7 +4983,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0; - case (litedramcore_bankmachine3_state) + case (litedramcore_litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -4774,7 +5005,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0; - case (litedramcore_bankmachine3_state) + case (litedramcore_litedramcore_bankmachine3_state) 1'd1: begin if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; @@ -4800,7 +5031,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0; - case (litedramcore_bankmachine3_state) + case (litedramcore_litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -4834,7 +5065,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0; - case (litedramcore_bankmachine3_state) + case (litedramcore_litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -4868,7 +5099,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine3_req_wdata_ready <= 1'd0; - case (litedramcore_bankmachine3_state) + case (litedramcore_litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -4902,7 +5133,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine3_req_rdata_valid <= 1'd0; - case (litedramcore_bankmachine3_state) + case (litedramcore_litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -4936,7 +5167,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine3_refresh_gnt <= 1'd0; - case (litedramcore_bankmachine3_state) + case (litedramcore_litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -4958,7 +5189,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine3_cmd_valid <= 1'd0; - case (litedramcore_bankmachine3_state) + case (litedramcore_litedramcore_bankmachine3_state) 1'd1: begin if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin litedramcore_bankmachine3_cmd_valid <= 1'd1; @@ -4993,28 +5224,6 @@ always @(*) begin end endcase end -always @(*) begin - litedramcore_bankmachine3_row_open <= 1'd0; - case (litedramcore_bankmachine3_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (litedramcore_bankmachine3_trccon_ready) begin - litedramcore_bankmachine3_row_open <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - default: begin - end - endcase -end assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine4_req_valid; assign litedramcore_bankmachine4_req_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine4_req_we; @@ -5082,63 +5291,85 @@ assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (lite assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 1'd0); assign litedramcore_bankmachine4_cmd_buffer_sink_ready = ((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready); always @(*) begin - litedramcore_bankmachine4_next_state <= 3'd0; - litedramcore_bankmachine4_next_state <= litedramcore_bankmachine4_state; - case (litedramcore_bankmachine4_state) + litedramcore_litedramcore_bankmachine4_next_state <= 3'd0; + litedramcore_litedramcore_bankmachine4_next_state <= litedramcore_litedramcore_bankmachine4_state; + case (litedramcore_litedramcore_bankmachine4_state) 1'd1: begin if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin if (litedramcore_bankmachine4_cmd_ready) begin - litedramcore_bankmachine4_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine4_next_state <= 3'd5; end end end 2'd2: begin if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin - litedramcore_bankmachine4_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine4_next_state <= 3'd5; end end 2'd3: begin if (litedramcore_bankmachine4_trccon_ready) begin if (litedramcore_bankmachine4_cmd_ready) begin - litedramcore_bankmachine4_next_state <= 3'd6; + litedramcore_litedramcore_bankmachine4_next_state <= 3'd6; end end end 3'd4: begin if ((~litedramcore_bankmachine4_refresh_req)) begin - litedramcore_bankmachine4_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine4_next_state <= 1'd0; end end 3'd5: begin - litedramcore_bankmachine4_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine4_next_state <= 2'd3; end 3'd6: begin - litedramcore_bankmachine4_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine4_next_state <= 1'd0; end default: begin if (litedramcore_bankmachine4_refresh_req) begin - litedramcore_bankmachine4_next_state <= 3'd4; + litedramcore_litedramcore_bankmachine4_next_state <= 3'd4; end else begin if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin if (litedramcore_bankmachine4_row_opened) begin if (litedramcore_bankmachine4_row_hit) begin if ((litedramcore_bankmachine4_cmd_ready & litedramcore_bankmachine4_auto_precharge)) begin - litedramcore_bankmachine4_next_state <= 2'd2; + litedramcore_litedramcore_bankmachine4_next_state <= 2'd2; end end else begin - litedramcore_bankmachine4_next_state <= 1'd1; + litedramcore_litedramcore_bankmachine4_next_state <= 1'd1; end end else begin - litedramcore_bankmachine4_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine4_next_state <= 2'd3; end end end end endcase end +always @(*) begin + litedramcore_bankmachine4_row_open <= 1'd0; + case (litedramcore_litedramcore_bankmachine4_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + default: begin + end + endcase +end always @(*) begin litedramcore_bankmachine4_row_close <= 1'd0; - case (litedramcore_bankmachine4_state) + case (litedramcore_litedramcore_bankmachine4_state) 1'd1: begin litedramcore_bankmachine4_row_close <= 1'd1; end @@ -5160,7 +5391,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine4_cmd_payload_cas <= 1'd0; - case (litedramcore_bankmachine4_state) + case (litedramcore_litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -5191,7 +5422,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine4_cmd_payload_ras <= 1'd0; - case (litedramcore_bankmachine4_state) + case (litedramcore_litedramcore_bankmachine4_state) 1'd1: begin if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; @@ -5216,7 +5447,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine4_cmd_payload_we <= 1'd0; - case (litedramcore_bankmachine4_state) + case (litedramcore_litedramcore_bankmachine4_state) 1'd1: begin if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin litedramcore_bankmachine4_cmd_payload_we <= 1'd1; @@ -5253,7 +5484,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0; - case (litedramcore_bankmachine4_state) + case (litedramcore_litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -5275,7 +5506,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0; - case (litedramcore_bankmachine4_state) + case (litedramcore_litedramcore_bankmachine4_state) 1'd1: begin if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; @@ -5301,7 +5532,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0; - case (litedramcore_bankmachine4_state) + case (litedramcore_litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -5335,7 +5566,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0; - case (litedramcore_bankmachine4_state) + case (litedramcore_litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -5369,7 +5600,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine4_req_wdata_ready <= 1'd0; - case (litedramcore_bankmachine4_state) + case (litedramcore_litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -5403,7 +5634,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine4_req_rdata_valid <= 1'd0; - case (litedramcore_bankmachine4_state) + case (litedramcore_litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -5437,7 +5668,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine4_refresh_gnt <= 1'd0; - case (litedramcore_bankmachine4_state) + case (litedramcore_litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -5459,7 +5690,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine4_cmd_valid <= 1'd0; - case (litedramcore_bankmachine4_state) + case (litedramcore_litedramcore_bankmachine4_state) 1'd1: begin if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin litedramcore_bankmachine4_cmd_valid <= 1'd1; @@ -5494,28 +5725,6 @@ always @(*) begin end endcase end -always @(*) begin - litedramcore_bankmachine4_row_open <= 1'd0; - case (litedramcore_bankmachine4_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (litedramcore_bankmachine4_trccon_ready) begin - litedramcore_bankmachine4_row_open <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - default: begin - end - endcase -end assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine5_req_valid; assign litedramcore_bankmachine5_req_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine5_req_we; @@ -5583,63 +5792,85 @@ assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (lite assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 1'd0); assign litedramcore_bankmachine5_cmd_buffer_sink_ready = ((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready); always @(*) begin - litedramcore_bankmachine5_next_state <= 3'd0; - litedramcore_bankmachine5_next_state <= litedramcore_bankmachine5_state; - case (litedramcore_bankmachine5_state) + litedramcore_litedramcore_bankmachine5_next_state <= 3'd0; + litedramcore_litedramcore_bankmachine5_next_state <= litedramcore_litedramcore_bankmachine5_state; + case (litedramcore_litedramcore_bankmachine5_state) 1'd1: begin if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin if (litedramcore_bankmachine5_cmd_ready) begin - litedramcore_bankmachine5_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine5_next_state <= 3'd5; end end end 2'd2: begin if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin - litedramcore_bankmachine5_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine5_next_state <= 3'd5; end end 2'd3: begin if (litedramcore_bankmachine5_trccon_ready) begin if (litedramcore_bankmachine5_cmd_ready) begin - litedramcore_bankmachine5_next_state <= 3'd6; + litedramcore_litedramcore_bankmachine5_next_state <= 3'd6; end end end 3'd4: begin if ((~litedramcore_bankmachine5_refresh_req)) begin - litedramcore_bankmachine5_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine5_next_state <= 1'd0; end end 3'd5: begin - litedramcore_bankmachine5_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine5_next_state <= 2'd3; end 3'd6: begin - litedramcore_bankmachine5_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine5_next_state <= 1'd0; end default: begin if (litedramcore_bankmachine5_refresh_req) begin - litedramcore_bankmachine5_next_state <= 3'd4; + litedramcore_litedramcore_bankmachine5_next_state <= 3'd4; end else begin if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin if (litedramcore_bankmachine5_row_opened) begin if (litedramcore_bankmachine5_row_hit) begin if ((litedramcore_bankmachine5_cmd_ready & litedramcore_bankmachine5_auto_precharge)) begin - litedramcore_bankmachine5_next_state <= 2'd2; + litedramcore_litedramcore_bankmachine5_next_state <= 2'd2; end end else begin - litedramcore_bankmachine5_next_state <= 1'd1; + litedramcore_litedramcore_bankmachine5_next_state <= 1'd1; end end else begin - litedramcore_bankmachine5_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine5_next_state <= 2'd3; end end end end endcase end +always @(*) begin + litedramcore_bankmachine5_row_open <= 1'd0; + case (litedramcore_litedramcore_bankmachine5_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + default: begin + end + endcase +end always @(*) begin litedramcore_bankmachine5_row_close <= 1'd0; - case (litedramcore_bankmachine5_state) + case (litedramcore_litedramcore_bankmachine5_state) 1'd1: begin litedramcore_bankmachine5_row_close <= 1'd1; end @@ -5661,7 +5892,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine5_cmd_payload_cas <= 1'd0; - case (litedramcore_bankmachine5_state) + case (litedramcore_litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -5692,7 +5923,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine5_cmd_payload_ras <= 1'd0; - case (litedramcore_bankmachine5_state) + case (litedramcore_litedramcore_bankmachine5_state) 1'd1: begin if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; @@ -5717,7 +5948,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine5_cmd_payload_we <= 1'd0; - case (litedramcore_bankmachine5_state) + case (litedramcore_litedramcore_bankmachine5_state) 1'd1: begin if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin litedramcore_bankmachine5_cmd_payload_we <= 1'd1; @@ -5754,7 +5985,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0; - case (litedramcore_bankmachine5_state) + case (litedramcore_litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -5776,7 +6007,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0; - case (litedramcore_bankmachine5_state) + case (litedramcore_litedramcore_bankmachine5_state) 1'd1: begin if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; @@ -5802,7 +6033,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0; - case (litedramcore_bankmachine5_state) + case (litedramcore_litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -5836,7 +6067,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0; - case (litedramcore_bankmachine5_state) + case (litedramcore_litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -5870,7 +6101,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine5_req_wdata_ready <= 1'd0; - case (litedramcore_bankmachine5_state) + case (litedramcore_litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -5904,7 +6135,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine5_req_rdata_valid <= 1'd0; - case (litedramcore_bankmachine5_state) + case (litedramcore_litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -5938,7 +6169,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine5_refresh_gnt <= 1'd0; - case (litedramcore_bankmachine5_state) + case (litedramcore_litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -5960,7 +6191,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine5_cmd_valid <= 1'd0; - case (litedramcore_bankmachine5_state) + case (litedramcore_litedramcore_bankmachine5_state) 1'd1: begin if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin litedramcore_bankmachine5_cmd_valid <= 1'd1; @@ -5995,28 +6226,6 @@ always @(*) begin end endcase end -always @(*) begin - litedramcore_bankmachine5_row_open <= 1'd0; - case (litedramcore_bankmachine5_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (litedramcore_bankmachine5_trccon_ready) begin - litedramcore_bankmachine5_row_open <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - default: begin - end - endcase -end assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine6_req_valid; assign litedramcore_bankmachine6_req_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine6_req_we; @@ -6084,63 +6293,85 @@ assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (lite assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 1'd0); assign litedramcore_bankmachine6_cmd_buffer_sink_ready = ((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready); always @(*) begin - litedramcore_bankmachine6_next_state <= 3'd0; - litedramcore_bankmachine6_next_state <= litedramcore_bankmachine6_state; - case (litedramcore_bankmachine6_state) + litedramcore_litedramcore_bankmachine6_next_state <= 3'd0; + litedramcore_litedramcore_bankmachine6_next_state <= litedramcore_litedramcore_bankmachine6_state; + case (litedramcore_litedramcore_bankmachine6_state) 1'd1: begin if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin if (litedramcore_bankmachine6_cmd_ready) begin - litedramcore_bankmachine6_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine6_next_state <= 3'd5; end end end 2'd2: begin if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin - litedramcore_bankmachine6_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine6_next_state <= 3'd5; end end 2'd3: begin if (litedramcore_bankmachine6_trccon_ready) begin if (litedramcore_bankmachine6_cmd_ready) begin - litedramcore_bankmachine6_next_state <= 3'd6; + litedramcore_litedramcore_bankmachine6_next_state <= 3'd6; end end end 3'd4: begin if ((~litedramcore_bankmachine6_refresh_req)) begin - litedramcore_bankmachine6_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine6_next_state <= 1'd0; end end 3'd5: begin - litedramcore_bankmachine6_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine6_next_state <= 2'd3; end 3'd6: begin - litedramcore_bankmachine6_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine6_next_state <= 1'd0; end default: begin if (litedramcore_bankmachine6_refresh_req) begin - litedramcore_bankmachine6_next_state <= 3'd4; + litedramcore_litedramcore_bankmachine6_next_state <= 3'd4; end else begin if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin if (litedramcore_bankmachine6_row_opened) begin if (litedramcore_bankmachine6_row_hit) begin if ((litedramcore_bankmachine6_cmd_ready & litedramcore_bankmachine6_auto_precharge)) begin - litedramcore_bankmachine6_next_state <= 2'd2; + litedramcore_litedramcore_bankmachine6_next_state <= 2'd2; end end else begin - litedramcore_bankmachine6_next_state <= 1'd1; + litedramcore_litedramcore_bankmachine6_next_state <= 1'd1; end end else begin - litedramcore_bankmachine6_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine6_next_state <= 2'd3; end end end end endcase end +always @(*) begin + litedramcore_bankmachine6_row_open <= 1'd0; + case (litedramcore_litedramcore_bankmachine6_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + default: begin + end + endcase +end always @(*) begin litedramcore_bankmachine6_row_close <= 1'd0; - case (litedramcore_bankmachine6_state) + case (litedramcore_litedramcore_bankmachine6_state) 1'd1: begin litedramcore_bankmachine6_row_close <= 1'd1; end @@ -6162,7 +6393,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine6_cmd_payload_cas <= 1'd0; - case (litedramcore_bankmachine6_state) + case (litedramcore_litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -6193,7 +6424,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine6_cmd_payload_ras <= 1'd0; - case (litedramcore_bankmachine6_state) + case (litedramcore_litedramcore_bankmachine6_state) 1'd1: begin if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; @@ -6218,7 +6449,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine6_cmd_payload_we <= 1'd0; - case (litedramcore_bankmachine6_state) + case (litedramcore_litedramcore_bankmachine6_state) 1'd1: begin if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin litedramcore_bankmachine6_cmd_payload_we <= 1'd1; @@ -6255,7 +6486,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0; - case (litedramcore_bankmachine6_state) + case (litedramcore_litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -6277,7 +6508,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0; - case (litedramcore_bankmachine6_state) + case (litedramcore_litedramcore_bankmachine6_state) 1'd1: begin if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; @@ -6303,7 +6534,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0; - case (litedramcore_bankmachine6_state) + case (litedramcore_litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -6337,7 +6568,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0; - case (litedramcore_bankmachine6_state) + case (litedramcore_litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -6371,7 +6602,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine6_req_wdata_ready <= 1'd0; - case (litedramcore_bankmachine6_state) + case (litedramcore_litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -6405,7 +6636,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine6_req_rdata_valid <= 1'd0; - case (litedramcore_bankmachine6_state) + case (litedramcore_litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -6439,7 +6670,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine6_refresh_gnt <= 1'd0; - case (litedramcore_bankmachine6_state) + case (litedramcore_litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -6461,7 +6692,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine6_cmd_valid <= 1'd0; - case (litedramcore_bankmachine6_state) + case (litedramcore_litedramcore_bankmachine6_state) 1'd1: begin if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin litedramcore_bankmachine6_cmd_valid <= 1'd1; @@ -6496,28 +6727,6 @@ always @(*) begin end endcase end -always @(*) begin - litedramcore_bankmachine6_row_open <= 1'd0; - case (litedramcore_bankmachine6_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (litedramcore_bankmachine6_trccon_ready) begin - litedramcore_bankmachine6_row_open <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - default: begin - end - endcase -end assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine7_req_valid; assign litedramcore_bankmachine7_req_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine7_req_we; @@ -6585,54 +6794,54 @@ assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (lite assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 1'd0); assign litedramcore_bankmachine7_cmd_buffer_sink_ready = ((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready); always @(*) begin - litedramcore_bankmachine7_next_state <= 3'd0; - litedramcore_bankmachine7_next_state <= litedramcore_bankmachine7_state; - case (litedramcore_bankmachine7_state) + litedramcore_litedramcore_bankmachine7_next_state <= 3'd0; + litedramcore_litedramcore_bankmachine7_next_state <= litedramcore_litedramcore_bankmachine7_state; + case (litedramcore_litedramcore_bankmachine7_state) 1'd1: begin if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin if (litedramcore_bankmachine7_cmd_ready) begin - litedramcore_bankmachine7_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine7_next_state <= 3'd5; end end end 2'd2: begin if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin - litedramcore_bankmachine7_next_state <= 3'd5; + litedramcore_litedramcore_bankmachine7_next_state <= 3'd5; end end 2'd3: begin if (litedramcore_bankmachine7_trccon_ready) begin if (litedramcore_bankmachine7_cmd_ready) begin - litedramcore_bankmachine7_next_state <= 3'd6; + litedramcore_litedramcore_bankmachine7_next_state <= 3'd6; end end end 3'd4: begin if ((~litedramcore_bankmachine7_refresh_req)) begin - litedramcore_bankmachine7_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine7_next_state <= 1'd0; end end 3'd5: begin - litedramcore_bankmachine7_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine7_next_state <= 2'd3; end 3'd6: begin - litedramcore_bankmachine7_next_state <= 1'd0; + litedramcore_litedramcore_bankmachine7_next_state <= 1'd0; end default: begin if (litedramcore_bankmachine7_refresh_req) begin - litedramcore_bankmachine7_next_state <= 3'd4; + litedramcore_litedramcore_bankmachine7_next_state <= 3'd4; end else begin if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin if (litedramcore_bankmachine7_row_opened) begin if (litedramcore_bankmachine7_row_hit) begin if ((litedramcore_bankmachine7_cmd_ready & litedramcore_bankmachine7_auto_precharge)) begin - litedramcore_bankmachine7_next_state <= 2'd2; + litedramcore_litedramcore_bankmachine7_next_state <= 2'd2; end end else begin - litedramcore_bankmachine7_next_state <= 1'd1; + litedramcore_litedramcore_bankmachine7_next_state <= 1'd1; end end else begin - litedramcore_bankmachine7_next_state <= 2'd3; + litedramcore_litedramcore_bankmachine7_next_state <= 2'd3; end end end @@ -6640,13 +6849,35 @@ always @(*) begin endcase end always @(*) begin - litedramcore_bankmachine7_row_close <= 1'd0; - case (litedramcore_bankmachine7_state) + litedramcore_bankmachine7_row_open <= 1'd0; + case (litedramcore_litedramcore_bankmachine7_state) 1'd1: begin - litedramcore_bankmachine7_row_close <= 1'd1; end 2'd2: begin - litedramcore_bankmachine7_row_close <= 1'd1; + end + 2'd3: begin + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + default: begin + end + endcase +end +always @(*) begin + litedramcore_bankmachine7_row_close <= 1'd0; + case (litedramcore_litedramcore_bankmachine7_state) + 1'd1: begin + litedramcore_bankmachine7_row_close <= 1'd1; + end + 2'd2: begin + litedramcore_bankmachine7_row_close <= 1'd1; end 2'd3: begin end @@ -6663,7 +6894,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine7_cmd_payload_cas <= 1'd0; - case (litedramcore_bankmachine7_state) + case (litedramcore_litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -6694,7 +6925,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine7_cmd_payload_ras <= 1'd0; - case (litedramcore_bankmachine7_state) + case (litedramcore_litedramcore_bankmachine7_state) 1'd1: begin if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; @@ -6719,7 +6950,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine7_cmd_payload_we <= 1'd0; - case (litedramcore_bankmachine7_state) + case (litedramcore_litedramcore_bankmachine7_state) 1'd1: begin if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin litedramcore_bankmachine7_cmd_payload_we <= 1'd1; @@ -6756,7 +6987,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0; - case (litedramcore_bankmachine7_state) + case (litedramcore_litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -6778,7 +7009,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0; - case (litedramcore_bankmachine7_state) + case (litedramcore_litedramcore_bankmachine7_state) 1'd1: begin if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; @@ -6804,7 +7035,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0; - case (litedramcore_bankmachine7_state) + case (litedramcore_litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -6838,7 +7069,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0; - case (litedramcore_bankmachine7_state) + case (litedramcore_litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -6872,7 +7103,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine7_req_wdata_ready <= 1'd0; - case (litedramcore_bankmachine7_state) + case (litedramcore_litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -6906,7 +7137,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine7_req_rdata_valid <= 1'd0; - case (litedramcore_bankmachine7_state) + case (litedramcore_litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -6940,7 +7171,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine7_refresh_gnt <= 1'd0; - case (litedramcore_bankmachine7_state) + case (litedramcore_litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -6962,7 +7193,7 @@ always @(*) begin end always @(*) begin litedramcore_bankmachine7_cmd_valid <= 1'd0; - case (litedramcore_bankmachine7_state) + case (litedramcore_litedramcore_bankmachine7_state) 1'd1: begin if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin litedramcore_bankmachine7_cmd_valid <= 1'd1; @@ -6997,28 +7228,6 @@ always @(*) begin end endcase end -always @(*) begin - litedramcore_bankmachine7_row_open <= 1'd0; - case (litedramcore_bankmachine7_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (litedramcore_bankmachine7_trccon_ready) begin - litedramcore_bankmachine7_row_open <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - default: begin - end - endcase -end assign litedramcore_trrdcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))); assign litedramcore_tfawcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))); assign litedramcore_ras_allowed = (litedramcore_trrdcon_ready & litedramcore_tfawcon_ready); @@ -7197,80 +7406,127 @@ assign litedramcore_dfi_p1_cke = {1{litedramcore_steerer2}}; assign litedramcore_dfi_p1_odt = {1{litedramcore_steerer3}}; assign litedramcore_tfawcon_count = ((litedramcore_tfawcon_window[0] + litedramcore_tfawcon_window[1]) + litedramcore_tfawcon_window[2]); always @(*) begin - litedramcore_multiplexer_next_state <= 4'd0; - litedramcore_multiplexer_next_state <= litedramcore_multiplexer_state; - case (litedramcore_multiplexer_state) + litedramcore_litedramcore_multiplexer_next_state <= 4'd0; + litedramcore_litedramcore_multiplexer_next_state <= litedramcore_litedramcore_multiplexer_state; + case (litedramcore_litedramcore_multiplexer_state) 1'd1: begin if (litedramcore_read_available) begin if (((~litedramcore_write_available) | litedramcore_max_time1)) begin - litedramcore_multiplexer_next_state <= 2'd3; + litedramcore_litedramcore_multiplexer_next_state <= 2'd3; end end if (litedramcore_go_to_refresh) begin - litedramcore_multiplexer_next_state <= 2'd2; + litedramcore_litedramcore_multiplexer_next_state <= 2'd2; end end 2'd2: begin if (litedramcore_cmd_last) begin - litedramcore_multiplexer_next_state <= 1'd0; + litedramcore_litedramcore_multiplexer_next_state <= 1'd0; end end 2'd3: begin if (litedramcore_twtrcon_ready) begin - litedramcore_multiplexer_next_state <= 1'd0; + litedramcore_litedramcore_multiplexer_next_state <= 1'd0; end end 3'd4: begin - litedramcore_multiplexer_next_state <= 3'd5; + litedramcore_litedramcore_multiplexer_next_state <= 3'd5; end 3'd5: begin - litedramcore_multiplexer_next_state <= 3'd6; + litedramcore_litedramcore_multiplexer_next_state <= 3'd6; end 3'd6: begin - litedramcore_multiplexer_next_state <= 3'd7; + litedramcore_litedramcore_multiplexer_next_state <= 3'd7; end 3'd7: begin - litedramcore_multiplexer_next_state <= 4'd8; + litedramcore_litedramcore_multiplexer_next_state <= 4'd8; end 4'd8: begin - litedramcore_multiplexer_next_state <= 4'd9; + litedramcore_litedramcore_multiplexer_next_state <= 4'd9; end 4'd9: begin - litedramcore_multiplexer_next_state <= 4'd10; + litedramcore_litedramcore_multiplexer_next_state <= 4'd10; end 4'd10: begin - litedramcore_multiplexer_next_state <= 4'd11; + litedramcore_litedramcore_multiplexer_next_state <= 4'd11; end 4'd11: begin - litedramcore_multiplexer_next_state <= 4'd12; + litedramcore_litedramcore_multiplexer_next_state <= 4'd12; end 4'd12: begin - litedramcore_multiplexer_next_state <= 4'd13; + litedramcore_litedramcore_multiplexer_next_state <= 4'd13; end 4'd13: begin - litedramcore_multiplexer_next_state <= 4'd14; + litedramcore_litedramcore_multiplexer_next_state <= 4'd14; end 4'd14: begin - litedramcore_multiplexer_next_state <= 4'd15; + litedramcore_litedramcore_multiplexer_next_state <= 4'd15; end 4'd15: begin - litedramcore_multiplexer_next_state <= 1'd1; + litedramcore_litedramcore_multiplexer_next_state <= 1'd1; end default: begin if (litedramcore_write_available) begin if (((~litedramcore_read_available) | litedramcore_max_time0)) begin - litedramcore_multiplexer_next_state <= 3'd4; + litedramcore_litedramcore_multiplexer_next_state <= 3'd4; end end if (litedramcore_go_to_refresh) begin - litedramcore_multiplexer_next_state <= 2'd2; + litedramcore_litedramcore_multiplexer_next_state <= 2'd2; + end + end + endcase +end +always @(*) begin + litedramcore_choose_req_cmd_ready <= 1'd0; + case (litedramcore_litedramcore_multiplexer_state) + 1'd1: begin + if (1'd0) begin + litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed)); + end else begin + litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed; + end + end + 2'd2: begin + end + 2'd3: begin + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + 4'd9: begin + end + 4'd10: begin + end + 4'd11: begin + end + 4'd12: begin + end + 4'd13: begin + end + 4'd14: begin + end + 4'd15: begin + end + default: begin + if (1'd0) begin + litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed)); + end else begin + litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed; end end endcase end always @(*) begin litedramcore_en1 <= 1'd0; - case (litedramcore_multiplexer_state) + case (litedramcore_litedramcore_multiplexer_state) 1'd1: begin litedramcore_en1 <= 1'd1; end @@ -7308,7 +7564,7 @@ always @(*) begin end always @(*) begin litedramcore_steerer_sel0 <= 2'd0; - case (litedramcore_multiplexer_state) + case (litedramcore_litedramcore_multiplexer_state) 1'd1: begin litedramcore_steerer_sel0 <= 1'd0; if (1'd0) begin @@ -7360,7 +7616,7 @@ always @(*) begin end always @(*) begin litedramcore_steerer_sel1 <= 2'd0; - case (litedramcore_multiplexer_state) + case (litedramcore_litedramcore_multiplexer_state) 1'd1: begin litedramcore_steerer_sel1 <= 1'd0; if (1'd1) begin @@ -7411,7 +7667,7 @@ always @(*) begin end always @(*) begin litedramcore_choose_cmd_want_activates <= 1'd0; - case (litedramcore_multiplexer_state) + case (litedramcore_litedramcore_multiplexer_state) 1'd1: begin if (1'd0) begin end else begin @@ -7456,7 +7712,7 @@ always @(*) begin end always @(*) begin litedramcore_cmd_ready <= 1'd0; - case (litedramcore_multiplexer_state) + case (litedramcore_litedramcore_multiplexer_state) 1'd1: begin end 2'd2: begin @@ -7494,7 +7750,7 @@ always @(*) begin end always @(*) begin litedramcore_choose_cmd_cmd_ready <= 1'd0; - case (litedramcore_multiplexer_state) + case (litedramcore_litedramcore_multiplexer_state) 1'd1: begin if (1'd0) begin end else begin @@ -7539,7 +7795,7 @@ always @(*) begin end always @(*) begin litedramcore_choose_req_want_reads <= 1'd0; - case (litedramcore_multiplexer_state) + case (litedramcore_litedramcore_multiplexer_state) 1'd1: begin end 2'd2: begin @@ -7577,7 +7833,7 @@ always @(*) begin end always @(*) begin litedramcore_choose_req_want_writes <= 1'd0; - case (litedramcore_multiplexer_state) + case (litedramcore_litedramcore_multiplexer_state) 1'd1: begin litedramcore_choose_req_want_writes <= 1'd1; end @@ -7615,7 +7871,7 @@ always @(*) begin end always @(*) begin litedramcore_en0 <= 1'd0; - case (litedramcore_multiplexer_state) + case (litedramcore_litedramcore_multiplexer_state) 1'd1: begin end 2'd2: begin @@ -7651,99 +7907,52 @@ always @(*) begin end endcase end -always @(*) begin - litedramcore_choose_req_cmd_ready <= 1'd0; - case (litedramcore_multiplexer_state) - 1'd1: begin - if (1'd0) begin - litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed)); - end else begin - litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed; - end - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - 4'd9: begin - end - 4'd10: begin - end - 4'd11: begin - end - 4'd12: begin - end - 4'd13: begin - end - 4'd14: begin - end - 4'd15: begin - end - default: begin - if (1'd0) begin - litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed)); - end else begin - litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed; - end - end - endcase -end -assign litedramcore_roundrobin0_request = {(((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; -assign litedramcore_roundrobin0_ce = ((~litedramcore_interface_bank0_valid) & (~litedramcore_interface_bank0_lock)); +assign litedramcore_litedramcore_roundrobin0_request = {(((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_litedramcore_roundrobin0_ce = ((~litedramcore_interface_bank0_valid) & (~litedramcore_interface_bank0_lock)); assign litedramcore_interface_bank0_addr = rhs_array_muxed12; assign litedramcore_interface_bank0_we = rhs_array_muxed13; assign litedramcore_interface_bank0_valid = rhs_array_muxed14; -assign litedramcore_roundrobin1_request = {(((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; -assign litedramcore_roundrobin1_ce = ((~litedramcore_interface_bank1_valid) & (~litedramcore_interface_bank1_lock)); +assign litedramcore_litedramcore_roundrobin1_request = {(((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_litedramcore_roundrobin1_ce = ((~litedramcore_interface_bank1_valid) & (~litedramcore_interface_bank1_lock)); assign litedramcore_interface_bank1_addr = rhs_array_muxed15; assign litedramcore_interface_bank1_we = rhs_array_muxed16; assign litedramcore_interface_bank1_valid = rhs_array_muxed17; -assign litedramcore_roundrobin2_request = {(((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; -assign litedramcore_roundrobin2_ce = ((~litedramcore_interface_bank2_valid) & (~litedramcore_interface_bank2_lock)); +assign litedramcore_litedramcore_roundrobin2_request = {(((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_litedramcore_roundrobin2_ce = ((~litedramcore_interface_bank2_valid) & (~litedramcore_interface_bank2_lock)); assign litedramcore_interface_bank2_addr = rhs_array_muxed18; assign litedramcore_interface_bank2_we = rhs_array_muxed19; assign litedramcore_interface_bank2_valid = rhs_array_muxed20; -assign litedramcore_roundrobin3_request = {(((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; -assign litedramcore_roundrobin3_ce = ((~litedramcore_interface_bank3_valid) & (~litedramcore_interface_bank3_lock)); +assign litedramcore_litedramcore_roundrobin3_request = {(((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_litedramcore_roundrobin3_ce = ((~litedramcore_interface_bank3_valid) & (~litedramcore_interface_bank3_lock)); assign litedramcore_interface_bank3_addr = rhs_array_muxed21; assign litedramcore_interface_bank3_we = rhs_array_muxed22; assign litedramcore_interface_bank3_valid = rhs_array_muxed23; -assign litedramcore_roundrobin4_request = {(((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; -assign litedramcore_roundrobin4_ce = ((~litedramcore_interface_bank4_valid) & (~litedramcore_interface_bank4_lock)); +assign litedramcore_litedramcore_roundrobin4_request = {(((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_litedramcore_roundrobin4_ce = ((~litedramcore_interface_bank4_valid) & (~litedramcore_interface_bank4_lock)); assign litedramcore_interface_bank4_addr = rhs_array_muxed24; assign litedramcore_interface_bank4_we = rhs_array_muxed25; assign litedramcore_interface_bank4_valid = rhs_array_muxed26; -assign litedramcore_roundrobin5_request = {(((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; -assign litedramcore_roundrobin5_ce = ((~litedramcore_interface_bank5_valid) & (~litedramcore_interface_bank5_lock)); +assign litedramcore_litedramcore_roundrobin5_request = {(((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_litedramcore_roundrobin5_ce = ((~litedramcore_interface_bank5_valid) & (~litedramcore_interface_bank5_lock)); assign litedramcore_interface_bank5_addr = rhs_array_muxed27; assign litedramcore_interface_bank5_we = rhs_array_muxed28; assign litedramcore_interface_bank5_valid = rhs_array_muxed29; -assign litedramcore_roundrobin6_request = {(((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; -assign litedramcore_roundrobin6_ce = ((~litedramcore_interface_bank6_valid) & (~litedramcore_interface_bank6_lock)); +assign litedramcore_litedramcore_roundrobin6_request = {(((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_litedramcore_roundrobin6_ce = ((~litedramcore_interface_bank6_valid) & (~litedramcore_interface_bank6_lock)); assign litedramcore_interface_bank6_addr = rhs_array_muxed30; assign litedramcore_interface_bank6_we = rhs_array_muxed31; assign litedramcore_interface_bank6_valid = rhs_array_muxed32; -assign litedramcore_roundrobin7_request = {(((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))))) & user_port_cmd_valid)}; -assign litedramcore_roundrobin7_ce = ((~litedramcore_interface_bank7_valid) & (~litedramcore_interface_bank7_lock)); +assign litedramcore_litedramcore_roundrobin7_request = {(((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_litedramcore_roundrobin7_ce = ((~litedramcore_interface_bank7_valid) & (~litedramcore_interface_bank7_lock)); assign litedramcore_interface_bank7_addr = rhs_array_muxed33; assign litedramcore_interface_bank7_we = rhs_array_muxed34; assign litedramcore_interface_bank7_valid = rhs_array_muxed35; -assign user_port_cmd_ready = ((((((((1'd0 | (((litedramcore_roundrobin0_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank0_ready)) | (((litedramcore_roundrobin1_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank1_ready)) | (((litedramcore_roundrobin2_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank2_ready)) | (((litedramcore_roundrobin3_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank3_ready)) | (((litedramcore_roundrobin4_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank4_ready)) | (((litedramcore_roundrobin5_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank5_ready)) | (((litedramcore_roundrobin6_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank6_ready)) | (((litedramcore_roundrobin7_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0)))))) & litedramcore_interface_bank7_ready)); -assign user_port_wdata_ready = litedramcore_new_master_wdata_ready3; -assign user_port_rdata_valid = litedramcore_new_master_rdata_valid13; +assign user_port_cmd_ready = ((((((((1'd0 | (((litedramcore_litedramcore_roundrobin0_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank0_ready)) | (((litedramcore_litedramcore_roundrobin1_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank1_ready)) | (((litedramcore_litedramcore_roundrobin2_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank2_ready)) | (((litedramcore_litedramcore_roundrobin3_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank3_ready)) | (((litedramcore_litedramcore_roundrobin4_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank4_ready)) | (((litedramcore_litedramcore_roundrobin5_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank5_ready)) | (((litedramcore_litedramcore_roundrobin6_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank6_ready)) | (((litedramcore_litedramcore_roundrobin7_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0)))))) & litedramcore_interface_bank7_ready)); +assign user_port_wdata_ready = litedramcore_litedramcore_new_master_wdata_ready3; +assign user_port_rdata_valid = litedramcore_litedramcore_new_master_rdata_valid13; always @(*) begin litedramcore_interface_wdata <= 128'd0; - case ({litedramcore_new_master_wdata_ready3}) + case ({litedramcore_litedramcore_new_master_wdata_ready3}) 1'd1: begin litedramcore_interface_wdata <= user_port_wdata_payload_data; end @@ -7754,7 +7963,7 @@ always @(*) begin end always @(*) begin litedramcore_interface_wdata_we <= 16'd0; - case ({litedramcore_new_master_wdata_ready3}) + case ({litedramcore_litedramcore_new_master_wdata_ready3}) 1'd1: begin litedramcore_interface_wdata_we <= user_port_wdata_payload_we; end @@ -7764,136 +7973,136 @@ always @(*) begin endcase end assign user_port_rdata_payload_data = litedramcore_interface_rdata; -assign litedramcore_roundrobin0_grant = 1'd0; -assign litedramcore_roundrobin1_grant = 1'd0; -assign litedramcore_roundrobin2_grant = 1'd0; -assign litedramcore_roundrobin3_grant = 1'd0; -assign litedramcore_roundrobin4_grant = 1'd0; -assign litedramcore_roundrobin5_grant = 1'd0; -assign litedramcore_roundrobin6_grant = 1'd0; -assign litedramcore_roundrobin7_grant = 1'd0; +assign litedramcore_litedramcore_roundrobin0_grant = 1'd0; +assign litedramcore_litedramcore_roundrobin1_grant = 1'd0; +assign litedramcore_litedramcore_roundrobin2_grant = 1'd0; +assign litedramcore_litedramcore_roundrobin3_grant = 1'd0; +assign litedramcore_litedramcore_roundrobin4_grant = 1'd0; +assign litedramcore_litedramcore_roundrobin5_grant = 1'd0; +assign litedramcore_litedramcore_roundrobin6_grant = 1'd0; +assign litedramcore_litedramcore_roundrobin7_grant = 1'd0; always @(*) begin - next_state <= 2'd0; - next_state <= state; - case (state) + litedramcore_next_state <= 2'd0; + litedramcore_next_state <= litedramcore_state; + case (litedramcore_state) 1'd1: begin - next_state <= 2'd2; + litedramcore_next_state <= 2'd2; end 2'd2: begin - next_state <= 1'd0; + litedramcore_next_state <= 1'd0; end default: begin if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin - next_state <= 1'd1; + litedramcore_next_state <= 1'd1; end end endcase end always @(*) begin - litedramcore_we_next_value2 <= 1'd0; - case (state) + litedramcore_adr_next_value1 <= 14'd0; + case (litedramcore_state) 1'd1: begin - litedramcore_we_next_value2 <= 1'd0; + litedramcore_adr_next_value1 <= 1'd0; end 2'd2: begin end default: begin if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin - litedramcore_we_next_value2 <= (litedramcore_wishbone_we & (litedramcore_wishbone_sel != 1'd0)); + litedramcore_adr_next_value1 <= litedramcore_wishbone_adr; end end endcase end always @(*) begin - litedramcore_wishbone_dat_r <= 32'd0; - case (state) + litedramcore_adr_next_value_ce1 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_adr_next_value_ce1 <= 1'd1; end 2'd2: begin - litedramcore_wishbone_dat_r <= litedramcore_dat_r; end default: begin + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_adr_next_value_ce1 <= 1'd1; + end end endcase end always @(*) begin - litedramcore_we_next_value_ce2 <= 1'd0; - case (state) + litedramcore_we_next_value2 <= 1'd0; + case (litedramcore_state) 1'd1: begin - litedramcore_we_next_value_ce2 <= 1'd1; + litedramcore_we_next_value2 <= 1'd0; end 2'd2: begin end default: begin if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin - litedramcore_we_next_value_ce2 <= 1'd1; + litedramcore_we_next_value2 <= (litedramcore_wishbone_we & (litedramcore_wishbone_sel != 1'd0)); end end endcase end always @(*) begin - litedramcore_wishbone_ack <= 1'd0; - case (state) + litedramcore_we_next_value_ce2 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_we_next_value_ce2 <= 1'd1; end 2'd2: begin - litedramcore_wishbone_ack <= 1'd1; end default: begin + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_we_next_value_ce2 <= 1'd1; + end end endcase end always @(*) begin - litedramcore_dat_w_next_value0 <= 32'd0; - case (state) + litedramcore_wishbone_dat_r <= 32'd0; + case (litedramcore_state) 1'd1: begin end 2'd2: begin + litedramcore_wishbone_dat_r <= litedramcore_dat_r; end default: begin - litedramcore_dat_w_next_value0 <= litedramcore_wishbone_dat_w; end endcase end always @(*) begin - litedramcore_dat_w_next_value_ce0 <= 1'd0; - case (state) + litedramcore_wishbone_ack <= 1'd0; + case (litedramcore_state) 1'd1: begin end 2'd2: begin + litedramcore_wishbone_ack <= 1'd1; end default: begin - litedramcore_dat_w_next_value_ce0 <= 1'd1; end endcase end always @(*) begin - litedramcore_adr_next_value1 <= 14'd0; - case (state) + litedramcore_dat_w_next_value0 <= 32'd0; + case (litedramcore_state) 1'd1: begin - litedramcore_adr_next_value1 <= 1'd0; end 2'd2: begin end default: begin - if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin - litedramcore_adr_next_value1 <= litedramcore_wishbone_adr; - end + litedramcore_dat_w_next_value0 <= litedramcore_wishbone_dat_w; end endcase end always @(*) begin - litedramcore_adr_next_value_ce1 <= 1'd0; - case (state) + litedramcore_dat_w_next_value_ce0 <= 1'd0; + case (litedramcore_state) 1'd1: begin - litedramcore_adr_next_value_ce1 <= 1'd1; end 2'd2: begin end default: begin - if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin - litedramcore_adr_next_value_ce1 <= 1'd1; - end + litedramcore_dat_w_next_value_ce0 <= 1'd1; end endcase end @@ -7911,28 +8120,28 @@ assign wb_bus_err = litedramcore_wishbone_err; assign csrbank0_sel = (interface0_bank_bus_adr[13:9] == 1'd0); assign csrbank0_init_done0_r = interface0_bank_bus_dat_w[0]; always @(*) begin - csrbank0_init_done0_re <= 1'd0; + csrbank0_init_done0_we <= 1'd0; if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd0))) begin - csrbank0_init_done0_re <= interface0_bank_bus_we; + csrbank0_init_done0_we <= (~interface0_bank_bus_we); end end always @(*) begin - csrbank0_init_done0_we <= 1'd0; + csrbank0_init_done0_re <= 1'd0; if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd0))) begin - csrbank0_init_done0_we <= (~interface0_bank_bus_we); + csrbank0_init_done0_re <= interface0_bank_bus_we; end end assign csrbank0_init_error0_r = interface0_bank_bus_dat_w[0]; always @(*) begin - csrbank0_init_error0_we <= 1'd0; + csrbank0_init_error0_re <= 1'd0; if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd1))) begin - csrbank0_init_error0_we <= (~interface0_bank_bus_we); + csrbank0_init_error0_re <= interface0_bank_bus_we; end end always @(*) begin - csrbank0_init_error0_re <= 1'd0; + csrbank0_init_error0_we <= 1'd0; if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd1))) begin - csrbank0_init_error0_re <= interface0_bank_bus_we; + csrbank0_init_error0_we <= (~interface0_bank_bus_we); end end assign csrbank0_init_done0_w = init_done_storage; @@ -7940,15 +8149,15 @@ assign csrbank0_init_error0_w = init_error_storage; assign csrbank1_sel = (interface1_bank_bus_adr[13:9] == 1'd1); assign csrbank1_dly_sel0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - csrbank1_dly_sel0_we <= 1'd0; + csrbank1_dly_sel0_re <= 1'd0; if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd0))) begin - csrbank1_dly_sel0_we <= (~interface1_bank_bus_we); + csrbank1_dly_sel0_re <= interface1_bank_bus_we; end end always @(*) begin - csrbank1_dly_sel0_re <= 1'd0; + csrbank1_dly_sel0_we <= 1'd0; if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd0))) begin - csrbank1_dly_sel0_re <= interface1_bank_bus_we; + csrbank1_dly_sel0_we <= (~interface1_bank_bus_we); end end assign ddrphy_rdly_dq_rst_r = interface1_bank_bus_dat_w[0]; @@ -8018,15 +8227,15 @@ always @(*) begin end assign csrbank1_burstdet_seen_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - csrbank1_burstdet_seen_re <= 1'd0; + csrbank1_burstdet_seen_we <= 1'd0; if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd6))) begin - csrbank1_burstdet_seen_re <= interface1_bank_bus_we; + csrbank1_burstdet_seen_we <= (~interface1_bank_bus_we); end end always @(*) begin - csrbank1_burstdet_seen_we <= 1'd0; + csrbank1_burstdet_seen_re <= 1'd0; if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd6))) begin - csrbank1_burstdet_seen_we <= (~interface1_bank_bus_we); + csrbank1_burstdet_seen_re <= interface1_bank_bus_we; end end assign csrbank1_dly_sel0_w = ddrphy_dly_sel_storage[1:0]; @@ -8035,132 +8244,132 @@ assign ddrphy_burstdet_seen_we = csrbank1_burstdet_seen_we; assign csrbank2_sel = (interface2_bank_bus_adr[13:9] == 2'd2); assign csrbank2_dfii_control0_r = interface2_bank_bus_dat_w[3:0]; always @(*) begin - csrbank2_dfii_control0_re <= 1'd0; + csrbank2_dfii_control0_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd0))) begin - csrbank2_dfii_control0_re <= interface2_bank_bus_we; + csrbank2_dfii_control0_we <= (~interface2_bank_bus_we); end end always @(*) begin - csrbank2_dfii_control0_we <= 1'd0; + csrbank2_dfii_control0_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd0))) begin - csrbank2_dfii_control0_we <= (~interface2_bank_bus_we); + csrbank2_dfii_control0_re <= interface2_bank_bus_we; end end assign csrbank2_dfii_pi0_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - csrbank2_dfii_pi0_command0_we <= 1'd0; + csrbank2_dfii_pi0_command0_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd1))) begin - csrbank2_dfii_pi0_command0_we <= (~interface2_bank_bus_we); + csrbank2_dfii_pi0_command0_re <= interface2_bank_bus_we; end end always @(*) begin - csrbank2_dfii_pi0_command0_re <= 1'd0; + csrbank2_dfii_pi0_command0_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd1))) begin - csrbank2_dfii_pi0_command0_re <= interface2_bank_bus_we; + csrbank2_dfii_pi0_command0_we <= (~interface2_bank_bus_we); end end assign litedramcore_phaseinjector0_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - litedramcore_phaseinjector0_command_issue_we <= 1'd0; + litedramcore_phaseinjector0_command_issue_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd2))) begin - litedramcore_phaseinjector0_command_issue_we <= (~interface2_bank_bus_we); + litedramcore_phaseinjector0_command_issue_re <= interface2_bank_bus_we; end end always @(*) begin - litedramcore_phaseinjector0_command_issue_re <= 1'd0; + litedramcore_phaseinjector0_command_issue_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd2))) begin - litedramcore_phaseinjector0_command_issue_re <= interface2_bank_bus_we; + litedramcore_phaseinjector0_command_issue_we <= (~interface2_bank_bus_we); end end assign csrbank2_dfii_pi0_address0_r = interface2_bank_bus_dat_w[14:0]; always @(*) begin - csrbank2_dfii_pi0_address0_re <= 1'd0; + csrbank2_dfii_pi0_address0_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd3))) begin - csrbank2_dfii_pi0_address0_re <= interface2_bank_bus_we; + csrbank2_dfii_pi0_address0_we <= (~interface2_bank_bus_we); end end always @(*) begin - csrbank2_dfii_pi0_address0_we <= 1'd0; + csrbank2_dfii_pi0_address0_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd3))) begin - csrbank2_dfii_pi0_address0_we <= (~interface2_bank_bus_we); + csrbank2_dfii_pi0_address0_re <= interface2_bank_bus_we; end end assign csrbank2_dfii_pi0_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - csrbank2_dfii_pi0_baddress0_re <= 1'd0; + csrbank2_dfii_pi0_baddress0_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd4))) begin - csrbank2_dfii_pi0_baddress0_re <= interface2_bank_bus_we; + csrbank2_dfii_pi0_baddress0_we <= (~interface2_bank_bus_we); end end always @(*) begin - csrbank2_dfii_pi0_baddress0_we <= 1'd0; + csrbank2_dfii_pi0_baddress0_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd4))) begin - csrbank2_dfii_pi0_baddress0_we <= (~interface2_bank_bus_we); + csrbank2_dfii_pi0_baddress0_re <= interface2_bank_bus_we; end end assign csrbank2_dfii_pi0_wrdata1_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - csrbank2_dfii_pi0_wrdata1_we <= 1'd0; + csrbank2_dfii_pi0_wrdata1_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd5))) begin - csrbank2_dfii_pi0_wrdata1_we <= (~interface2_bank_bus_we); + csrbank2_dfii_pi0_wrdata1_re <= interface2_bank_bus_we; end end always @(*) begin - csrbank2_dfii_pi0_wrdata1_re <= 1'd0; + csrbank2_dfii_pi0_wrdata1_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd5))) begin - csrbank2_dfii_pi0_wrdata1_re <= interface2_bank_bus_we; + csrbank2_dfii_pi0_wrdata1_we <= (~interface2_bank_bus_we); end end assign csrbank2_dfii_pi0_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - csrbank2_dfii_pi0_wrdata0_re <= 1'd0; + csrbank2_dfii_pi0_wrdata0_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd6))) begin - csrbank2_dfii_pi0_wrdata0_re <= interface2_bank_bus_we; + csrbank2_dfii_pi0_wrdata0_we <= (~interface2_bank_bus_we); end end always @(*) begin - csrbank2_dfii_pi0_wrdata0_we <= 1'd0; + csrbank2_dfii_pi0_wrdata0_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd6))) begin - csrbank2_dfii_pi0_wrdata0_we <= (~interface2_bank_bus_we); + csrbank2_dfii_pi0_wrdata0_re <= interface2_bank_bus_we; end end assign csrbank2_dfii_pi0_rddata1_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - csrbank2_dfii_pi0_rddata1_we <= 1'd0; + csrbank2_dfii_pi0_rddata1_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd7))) begin - csrbank2_dfii_pi0_rddata1_we <= (~interface2_bank_bus_we); + csrbank2_dfii_pi0_rddata1_re <= interface2_bank_bus_we; end end always @(*) begin - csrbank2_dfii_pi0_rddata1_re <= 1'd0; + csrbank2_dfii_pi0_rddata1_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd7))) begin - csrbank2_dfii_pi0_rddata1_re <= interface2_bank_bus_we; + csrbank2_dfii_pi0_rddata1_we <= (~interface2_bank_bus_we); end end assign csrbank2_dfii_pi0_rddata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - csrbank2_dfii_pi0_rddata0_we <= 1'd0; + csrbank2_dfii_pi0_rddata0_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd8))) begin - csrbank2_dfii_pi0_rddata0_we <= (~interface2_bank_bus_we); + csrbank2_dfii_pi0_rddata0_re <= interface2_bank_bus_we; end end always @(*) begin - csrbank2_dfii_pi0_rddata0_re <= 1'd0; + csrbank2_dfii_pi0_rddata0_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd8))) begin - csrbank2_dfii_pi0_rddata0_re <= interface2_bank_bus_we; + csrbank2_dfii_pi0_rddata0_we <= (~interface2_bank_bus_we); end end assign csrbank2_dfii_pi1_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - csrbank2_dfii_pi1_command0_re <= 1'd0; + csrbank2_dfii_pi1_command0_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd9))) begin - csrbank2_dfii_pi1_command0_re <= interface2_bank_bus_we; + csrbank2_dfii_pi1_command0_we <= (~interface2_bank_bus_we); end end always @(*) begin - csrbank2_dfii_pi1_command0_we <= 1'd0; + csrbank2_dfii_pi1_command0_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd9))) begin - csrbank2_dfii_pi1_command0_we <= (~interface2_bank_bus_we); + csrbank2_dfii_pi1_command0_re <= interface2_bank_bus_we; end end assign litedramcore_phaseinjector1_command_issue_r = interface2_bank_bus_dat_w[0]; @@ -8178,80 +8387,80 @@ always @(*) begin end assign csrbank2_dfii_pi1_address0_r = interface2_bank_bus_dat_w[14:0]; always @(*) begin - csrbank2_dfii_pi1_address0_re <= 1'd0; + csrbank2_dfii_pi1_address0_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd11))) begin - csrbank2_dfii_pi1_address0_re <= interface2_bank_bus_we; + csrbank2_dfii_pi1_address0_we <= (~interface2_bank_bus_we); end end always @(*) begin - csrbank2_dfii_pi1_address0_we <= 1'd0; + csrbank2_dfii_pi1_address0_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd11))) begin - csrbank2_dfii_pi1_address0_we <= (~interface2_bank_bus_we); + csrbank2_dfii_pi1_address0_re <= interface2_bank_bus_we; end end assign csrbank2_dfii_pi1_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - csrbank2_dfii_pi1_baddress0_we <= 1'd0; + csrbank2_dfii_pi1_baddress0_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd12))) begin - csrbank2_dfii_pi1_baddress0_we <= (~interface2_bank_bus_we); + csrbank2_dfii_pi1_baddress0_re <= interface2_bank_bus_we; end end always @(*) begin - csrbank2_dfii_pi1_baddress0_re <= 1'd0; + csrbank2_dfii_pi1_baddress0_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd12))) begin - csrbank2_dfii_pi1_baddress0_re <= interface2_bank_bus_we; + csrbank2_dfii_pi1_baddress0_we <= (~interface2_bank_bus_we); end end assign csrbank2_dfii_pi1_wrdata1_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - csrbank2_dfii_pi1_wrdata1_re <= 1'd0; + csrbank2_dfii_pi1_wrdata1_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd13))) begin - csrbank2_dfii_pi1_wrdata1_re <= interface2_bank_bus_we; + csrbank2_dfii_pi1_wrdata1_we <= (~interface2_bank_bus_we); end end always @(*) begin - csrbank2_dfii_pi1_wrdata1_we <= 1'd0; + csrbank2_dfii_pi1_wrdata1_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd13))) begin - csrbank2_dfii_pi1_wrdata1_we <= (~interface2_bank_bus_we); + csrbank2_dfii_pi1_wrdata1_re <= interface2_bank_bus_we; end end assign csrbank2_dfii_pi1_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - csrbank2_dfii_pi1_wrdata0_we <= 1'd0; + csrbank2_dfii_pi1_wrdata0_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd14))) begin - csrbank2_dfii_pi1_wrdata0_we <= (~interface2_bank_bus_we); + csrbank2_dfii_pi1_wrdata0_re <= interface2_bank_bus_we; end end always @(*) begin - csrbank2_dfii_pi1_wrdata0_re <= 1'd0; + csrbank2_dfii_pi1_wrdata0_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd14))) begin - csrbank2_dfii_pi1_wrdata0_re <= interface2_bank_bus_we; + csrbank2_dfii_pi1_wrdata0_we <= (~interface2_bank_bus_we); end end assign csrbank2_dfii_pi1_rddata1_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - csrbank2_dfii_pi1_rddata1_we <= 1'd0; + csrbank2_dfii_pi1_rddata1_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd15))) begin - csrbank2_dfii_pi1_rddata1_we <= (~interface2_bank_bus_we); + csrbank2_dfii_pi1_rddata1_re <= interface2_bank_bus_we; end end always @(*) begin - csrbank2_dfii_pi1_rddata1_re <= 1'd0; + csrbank2_dfii_pi1_rddata1_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd15))) begin - csrbank2_dfii_pi1_rddata1_re <= interface2_bank_bus_we; + csrbank2_dfii_pi1_rddata1_we <= (~interface2_bank_bus_we); end end assign csrbank2_dfii_pi1_rddata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - csrbank2_dfii_pi1_rddata0_re <= 1'd0; + csrbank2_dfii_pi1_rddata0_we <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd16))) begin - csrbank2_dfii_pi1_rddata0_re <= interface2_bank_bus_we; + csrbank2_dfii_pi1_rddata0_we <= (~interface2_bank_bus_we); end end always @(*) begin - csrbank2_dfii_pi1_rddata0_we <= 1'd0; + csrbank2_dfii_pi1_rddata0_re <= 1'd0; if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd16))) begin - csrbank2_dfii_pi1_rddata0_we <= (~interface2_bank_bus_we); + csrbank2_dfii_pi1_rddata0_re <= interface2_bank_bus_we; end end assign litedramcore_sel = litedramcore_storage[0]; @@ -8259,6 +8468,12 @@ assign litedramcore_cke = litedramcore_storage[1]; assign litedramcore_odt = litedramcore_storage[2]; assign litedramcore_reset_n = litedramcore_storage[3]; assign csrbank2_dfii_control0_w = litedramcore_storage[3:0]; +assign litedramcore_phaseinjector0_csrfield_cs = litedramcore_phaseinjector0_command_storage[0]; +assign litedramcore_phaseinjector0_csrfield_we = litedramcore_phaseinjector0_command_storage[1]; +assign litedramcore_phaseinjector0_csrfield_cas = litedramcore_phaseinjector0_command_storage[2]; +assign litedramcore_phaseinjector0_csrfield_ras = litedramcore_phaseinjector0_command_storage[3]; +assign litedramcore_phaseinjector0_csrfield_wren = litedramcore_phaseinjector0_command_storage[4]; +assign litedramcore_phaseinjector0_csrfield_rden = litedramcore_phaseinjector0_command_storage[5]; assign csrbank2_dfii_pi0_command0_w = litedramcore_phaseinjector0_command_storage[5:0]; assign csrbank2_dfii_pi0_address0_w = litedramcore_phaseinjector0_address_storage[14:0]; assign csrbank2_dfii_pi0_baddress0_w = litedramcore_phaseinjector0_baddress_storage[2:0]; @@ -8267,6 +8482,12 @@ assign csrbank2_dfii_pi0_wrdata0_w = litedramcore_phaseinjector0_wrdata_storage[ assign csrbank2_dfii_pi0_rddata1_w = litedramcore_phaseinjector0_rddata_status[63:32]; assign csrbank2_dfii_pi0_rddata0_w = litedramcore_phaseinjector0_rddata_status[31:0]; assign litedramcore_phaseinjector0_rddata_we = csrbank2_dfii_pi0_rddata0_we; +assign litedramcore_phaseinjector1_csrfield_cs = litedramcore_phaseinjector1_command_storage[0]; +assign litedramcore_phaseinjector1_csrfield_we = litedramcore_phaseinjector1_command_storage[1]; +assign litedramcore_phaseinjector1_csrfield_cas = litedramcore_phaseinjector1_command_storage[2]; +assign litedramcore_phaseinjector1_csrfield_ras = litedramcore_phaseinjector1_command_storage[3]; +assign litedramcore_phaseinjector1_csrfield_wren = litedramcore_phaseinjector1_command_storage[4]; +assign litedramcore_phaseinjector1_csrfield_rden = litedramcore_phaseinjector1_command_storage[5]; assign csrbank2_dfii_pi1_command0_w = litedramcore_phaseinjector1_command_storage[5:0]; assign csrbank2_dfii_pi1_address0_w = litedramcore_phaseinjector1_address_storage[14:0]; assign csrbank2_dfii_pi1_baddress0_w = litedramcore_phaseinjector1_baddress_storage[2:0]; @@ -8813,7 +9034,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed12 <= 22'd0; - case (litedramcore_roundrobin0_grant) + case (litedramcore_litedramcore_roundrobin0_grant) default: begin rhs_array_muxed12 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end @@ -8821,7 +9042,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed13 <= 1'd0; - case (litedramcore_roundrobin0_grant) + case (litedramcore_litedramcore_roundrobin0_grant) default: begin rhs_array_muxed13 <= user_port_cmd_payload_we; end @@ -8829,15 +9050,15 @@ always @(*) begin end always @(*) begin rhs_array_muxed14 <= 1'd0; - case (litedramcore_roundrobin0_grant) + case (litedramcore_litedramcore_roundrobin0_grant) default: begin - rhs_array_muxed14 <= (((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); + rhs_array_muxed14 <= (((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin rhs_array_muxed15 <= 22'd0; - case (litedramcore_roundrobin1_grant) + case (litedramcore_litedramcore_roundrobin1_grant) default: begin rhs_array_muxed15 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end @@ -8845,7 +9066,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed16 <= 1'd0; - case (litedramcore_roundrobin1_grant) + case (litedramcore_litedramcore_roundrobin1_grant) default: begin rhs_array_muxed16 <= user_port_cmd_payload_we; end @@ -8853,15 +9074,15 @@ always @(*) begin end always @(*) begin rhs_array_muxed17 <= 1'd0; - case (litedramcore_roundrobin1_grant) + case (litedramcore_litedramcore_roundrobin1_grant) default: begin - rhs_array_muxed17 <= (((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); + rhs_array_muxed17 <= (((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin rhs_array_muxed18 <= 22'd0; - case (litedramcore_roundrobin2_grant) + case (litedramcore_litedramcore_roundrobin2_grant) default: begin rhs_array_muxed18 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end @@ -8869,7 +9090,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed19 <= 1'd0; - case (litedramcore_roundrobin2_grant) + case (litedramcore_litedramcore_roundrobin2_grant) default: begin rhs_array_muxed19 <= user_port_cmd_payload_we; end @@ -8877,15 +9098,15 @@ always @(*) begin end always @(*) begin rhs_array_muxed20 <= 1'd0; - case (litedramcore_roundrobin2_grant) + case (litedramcore_litedramcore_roundrobin2_grant) default: begin - rhs_array_muxed20 <= (((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); + rhs_array_muxed20 <= (((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin rhs_array_muxed21 <= 22'd0; - case (litedramcore_roundrobin3_grant) + case (litedramcore_litedramcore_roundrobin3_grant) default: begin rhs_array_muxed21 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end @@ -8893,7 +9114,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed22 <= 1'd0; - case (litedramcore_roundrobin3_grant) + case (litedramcore_litedramcore_roundrobin3_grant) default: begin rhs_array_muxed22 <= user_port_cmd_payload_we; end @@ -8901,15 +9122,15 @@ always @(*) begin end always @(*) begin rhs_array_muxed23 <= 1'd0; - case (litedramcore_roundrobin3_grant) + case (litedramcore_litedramcore_roundrobin3_grant) default: begin - rhs_array_muxed23 <= (((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); + rhs_array_muxed23 <= (((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin rhs_array_muxed24 <= 22'd0; - case (litedramcore_roundrobin4_grant) + case (litedramcore_litedramcore_roundrobin4_grant) default: begin rhs_array_muxed24 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end @@ -8917,7 +9138,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed25 <= 1'd0; - case (litedramcore_roundrobin4_grant) + case (litedramcore_litedramcore_roundrobin4_grant) default: begin rhs_array_muxed25 <= user_port_cmd_payload_we; end @@ -8925,15 +9146,15 @@ always @(*) begin end always @(*) begin rhs_array_muxed26 <= 1'd0; - case (litedramcore_roundrobin4_grant) + case (litedramcore_litedramcore_roundrobin4_grant) default: begin - rhs_array_muxed26 <= (((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); + rhs_array_muxed26 <= (((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin rhs_array_muxed27 <= 22'd0; - case (litedramcore_roundrobin5_grant) + case (litedramcore_litedramcore_roundrobin5_grant) default: begin rhs_array_muxed27 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end @@ -8941,7 +9162,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed28 <= 1'd0; - case (litedramcore_roundrobin5_grant) + case (litedramcore_litedramcore_roundrobin5_grant) default: begin rhs_array_muxed28 <= user_port_cmd_payload_we; end @@ -8949,15 +9170,15 @@ always @(*) begin end always @(*) begin rhs_array_muxed29 <= 1'd0; - case (litedramcore_roundrobin5_grant) + case (litedramcore_litedramcore_roundrobin5_grant) default: begin - rhs_array_muxed29 <= (((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); + rhs_array_muxed29 <= (((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin rhs_array_muxed30 <= 22'd0; - case (litedramcore_roundrobin6_grant) + case (litedramcore_litedramcore_roundrobin6_grant) default: begin rhs_array_muxed30 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end @@ -8965,7 +9186,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed31 <= 1'd0; - case (litedramcore_roundrobin6_grant) + case (litedramcore_litedramcore_roundrobin6_grant) default: begin rhs_array_muxed31 <= user_port_cmd_payload_we; end @@ -8973,15 +9194,15 @@ always @(*) begin end always @(*) begin rhs_array_muxed32 <= 1'd0; - case (litedramcore_roundrobin6_grant) + case (litedramcore_litedramcore_roundrobin6_grant) default: begin - rhs_array_muxed32 <= (((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); + rhs_array_muxed32 <= (((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin rhs_array_muxed33 <= 22'd0; - case (litedramcore_roundrobin7_grant) + case (litedramcore_litedramcore_roundrobin7_grant) default: begin rhs_array_muxed33 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]}; end @@ -8989,7 +9210,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed34 <= 1'd0; - case (litedramcore_roundrobin7_grant) + case (litedramcore_litedramcore_roundrobin7_grant) default: begin rhs_array_muxed34 <= user_port_cmd_payload_we; end @@ -8997,9 +9218,9 @@ always @(*) begin end always @(*) begin rhs_array_muxed35 <= 1'd0; - case (litedramcore_roundrobin7_grant) + case (litedramcore_litedramcore_roundrobin7_grant) default: begin - rhs_array_muxed35 <= (((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))))) & user_port_cmd_valid); + rhs_array_muxed35 <= (((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_litedramcore_roundrobin6_grant == 1'd0))))) & user_port_cmd_valid); end endcase end @@ -9647,11 +9868,11 @@ always @(posedge sys_clk) begin ddrphy_wrdata_en_tappeddelayline4 <= ddrphy_wrdata_en_tappeddelayline3; ddrphy_wrdata_en_tappeddelayline5 <= ddrphy_wrdata_en_tappeddelayline4; ddrphy_wrdata_en_tappeddelayline6 <= ddrphy_wrdata_en_tappeddelayline5; - if (litedramcore_inti_p0_rddata_valid) begin - litedramcore_phaseinjector0_rddata_status <= litedramcore_inti_p0_rddata; + if (litedramcore_csr_dfi_p0_rddata_valid) begin + litedramcore_phaseinjector0_rddata_status <= litedramcore_csr_dfi_p0_rddata; end - if (litedramcore_inti_p1_rddata_valid) begin - litedramcore_phaseinjector1_rddata_status <= litedramcore_inti_p1_rddata; + if (litedramcore_csr_dfi_p1_rddata_valid) begin + litedramcore_phaseinjector1_rddata_status <= litedramcore_csr_dfi_p1_rddata; end if ((litedramcore_timer_wait & (~litedramcore_timer_done0))) begin litedramcore_timer_count1 <= (litedramcore_timer_count1 - 1'd1); @@ -9753,7 +9974,7 @@ always @(posedge sys_clk) begin end end end - litedramcore_refresher_state <= litedramcore_refresher_next_state; + litedramcore_litedramcore_refresher_state <= litedramcore_litedramcore_refresher_next_state; if (litedramcore_bankmachine0_row_close) begin litedramcore_bankmachine0_row_opened <= 1'd0; end else begin @@ -9829,7 +10050,7 @@ always @(posedge sys_clk) begin end end end - litedramcore_bankmachine0_state <= litedramcore_bankmachine0_next_state; + litedramcore_litedramcore_bankmachine0_state <= litedramcore_litedramcore_bankmachine0_next_state; if (litedramcore_bankmachine1_row_close) begin litedramcore_bankmachine1_row_opened <= 1'd0; end else begin @@ -9905,7 +10126,7 @@ always @(posedge sys_clk) begin end end end - litedramcore_bankmachine1_state <= litedramcore_bankmachine1_next_state; + litedramcore_litedramcore_bankmachine1_state <= litedramcore_litedramcore_bankmachine1_next_state; if (litedramcore_bankmachine2_row_close) begin litedramcore_bankmachine2_row_opened <= 1'd0; end else begin @@ -9981,7 +10202,7 @@ always @(posedge sys_clk) begin end end end - litedramcore_bankmachine2_state <= litedramcore_bankmachine2_next_state; + litedramcore_litedramcore_bankmachine2_state <= litedramcore_litedramcore_bankmachine2_next_state; if (litedramcore_bankmachine3_row_close) begin litedramcore_bankmachine3_row_opened <= 1'd0; end else begin @@ -10057,7 +10278,7 @@ always @(posedge sys_clk) begin end end end - litedramcore_bankmachine3_state <= litedramcore_bankmachine3_next_state; + litedramcore_litedramcore_bankmachine3_state <= litedramcore_litedramcore_bankmachine3_next_state; if (litedramcore_bankmachine4_row_close) begin litedramcore_bankmachine4_row_opened <= 1'd0; end else begin @@ -10133,7 +10354,7 @@ always @(posedge sys_clk) begin end end end - litedramcore_bankmachine4_state <= litedramcore_bankmachine4_next_state; + litedramcore_litedramcore_bankmachine4_state <= litedramcore_litedramcore_bankmachine4_next_state; if (litedramcore_bankmachine5_row_close) begin litedramcore_bankmachine5_row_opened <= 1'd0; end else begin @@ -10209,7 +10430,7 @@ always @(posedge sys_clk) begin end end end - litedramcore_bankmachine5_state <= litedramcore_bankmachine5_next_state; + litedramcore_litedramcore_bankmachine5_state <= litedramcore_litedramcore_bankmachine5_next_state; if (litedramcore_bankmachine6_row_close) begin litedramcore_bankmachine6_row_opened <= 1'd0; end else begin @@ -10285,7 +10506,7 @@ always @(posedge sys_clk) begin end end end - litedramcore_bankmachine6_state <= litedramcore_bankmachine6_next_state; + litedramcore_litedramcore_bankmachine6_state <= litedramcore_litedramcore_bankmachine6_next_state; if (litedramcore_bankmachine7_row_close) begin litedramcore_bankmachine7_row_opened <= 1'd0; end else begin @@ -10361,7 +10582,7 @@ always @(posedge sys_clk) begin end end end - litedramcore_bankmachine7_state <= litedramcore_bankmachine7_next_state; + litedramcore_litedramcore_bankmachine7_state <= litedramcore_litedramcore_bankmachine7_next_state; if ((~litedramcore_en0)) begin litedramcore_time0 <= 5'd31; end else begin @@ -10917,26 +11138,26 @@ always @(posedge sys_clk) begin end end end - litedramcore_multiplexer_state <= litedramcore_multiplexer_next_state; - litedramcore_new_master_wdata_ready0 <= ((((((((1'd0 | ((litedramcore_roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_wdata_ready)) | ((litedramcore_roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_wdata_ready)) | ((litedramcore_roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_wdata_ready)) | ((litedramcore_roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_wdata_ready)) | ((litedramcore_roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_wdata_ready)) | ((litedramcore_roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_wdata_ready)) | ((litedramcore_roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_wdata_ready)) | ((litedramcore_roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_wdata_ready)); - litedramcore_new_master_wdata_ready1 <= litedramcore_new_master_wdata_ready0; - litedramcore_new_master_wdata_ready2 <= litedramcore_new_master_wdata_ready1; - litedramcore_new_master_wdata_ready3 <= litedramcore_new_master_wdata_ready2; - litedramcore_new_master_rdata_valid0 <= ((((((((1'd0 | ((litedramcore_roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_rdata_valid)) | ((litedramcore_roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_rdata_valid)) | ((litedramcore_roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_rdata_valid)) | ((litedramcore_roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_rdata_valid)) | ((litedramcore_roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_rdata_valid)) | ((litedramcore_roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_rdata_valid)) | ((litedramcore_roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_rdata_valid)) | ((litedramcore_roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_rdata_valid)); - litedramcore_new_master_rdata_valid1 <= litedramcore_new_master_rdata_valid0; - litedramcore_new_master_rdata_valid2 <= litedramcore_new_master_rdata_valid1; - litedramcore_new_master_rdata_valid3 <= litedramcore_new_master_rdata_valid2; - litedramcore_new_master_rdata_valid4 <= litedramcore_new_master_rdata_valid3; - litedramcore_new_master_rdata_valid5 <= litedramcore_new_master_rdata_valid4; - litedramcore_new_master_rdata_valid6 <= litedramcore_new_master_rdata_valid5; - litedramcore_new_master_rdata_valid7 <= litedramcore_new_master_rdata_valid6; - litedramcore_new_master_rdata_valid8 <= litedramcore_new_master_rdata_valid7; - litedramcore_new_master_rdata_valid9 <= litedramcore_new_master_rdata_valid8; - litedramcore_new_master_rdata_valid10 <= litedramcore_new_master_rdata_valid9; - litedramcore_new_master_rdata_valid11 <= litedramcore_new_master_rdata_valid10; - litedramcore_new_master_rdata_valid12 <= litedramcore_new_master_rdata_valid11; - litedramcore_new_master_rdata_valid13 <= litedramcore_new_master_rdata_valid12; - state <= next_state; + litedramcore_litedramcore_multiplexer_state <= litedramcore_litedramcore_multiplexer_next_state; + litedramcore_litedramcore_new_master_wdata_ready0 <= ((((((((1'd0 | ((litedramcore_litedramcore_roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_wdata_ready)) | ((litedramcore_litedramcore_roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_wdata_ready)) | ((litedramcore_litedramcore_roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_wdata_ready)) | ((litedramcore_litedramcore_roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_wdata_ready)) | ((litedramcore_litedramcore_roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_wdata_ready)) | ((litedramcore_litedramcore_roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_wdata_ready)) | ((litedramcore_litedramcore_roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_wdata_ready)) | ((litedramcore_litedramcore_roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_wdata_ready)); + litedramcore_litedramcore_new_master_wdata_ready1 <= litedramcore_litedramcore_new_master_wdata_ready0; + litedramcore_litedramcore_new_master_wdata_ready2 <= litedramcore_litedramcore_new_master_wdata_ready1; + litedramcore_litedramcore_new_master_wdata_ready3 <= litedramcore_litedramcore_new_master_wdata_ready2; + litedramcore_litedramcore_new_master_rdata_valid0 <= ((((((((1'd0 | ((litedramcore_litedramcore_roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_rdata_valid)) | ((litedramcore_litedramcore_roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_rdata_valid)) | ((litedramcore_litedramcore_roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_rdata_valid)) | ((litedramcore_litedramcore_roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_rdata_valid)) | ((litedramcore_litedramcore_roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_rdata_valid)) | ((litedramcore_litedramcore_roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_rdata_valid)) | ((litedramcore_litedramcore_roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_rdata_valid)) | ((litedramcore_litedramcore_roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_rdata_valid)); + litedramcore_litedramcore_new_master_rdata_valid1 <= litedramcore_litedramcore_new_master_rdata_valid0; + litedramcore_litedramcore_new_master_rdata_valid2 <= litedramcore_litedramcore_new_master_rdata_valid1; + litedramcore_litedramcore_new_master_rdata_valid3 <= litedramcore_litedramcore_new_master_rdata_valid2; + litedramcore_litedramcore_new_master_rdata_valid4 <= litedramcore_litedramcore_new_master_rdata_valid3; + litedramcore_litedramcore_new_master_rdata_valid5 <= litedramcore_litedramcore_new_master_rdata_valid4; + litedramcore_litedramcore_new_master_rdata_valid6 <= litedramcore_litedramcore_new_master_rdata_valid5; + litedramcore_litedramcore_new_master_rdata_valid7 <= litedramcore_litedramcore_new_master_rdata_valid6; + litedramcore_litedramcore_new_master_rdata_valid8 <= litedramcore_litedramcore_new_master_rdata_valid7; + litedramcore_litedramcore_new_master_rdata_valid9 <= litedramcore_litedramcore_new_master_rdata_valid8; + litedramcore_litedramcore_new_master_rdata_valid10 <= litedramcore_litedramcore_new_master_rdata_valid9; + litedramcore_litedramcore_new_master_rdata_valid11 <= litedramcore_litedramcore_new_master_rdata_valid10; + litedramcore_litedramcore_new_master_rdata_valid12 <= litedramcore_litedramcore_new_master_rdata_valid11; + litedramcore_litedramcore_new_master_rdata_valid13 <= litedramcore_litedramcore_new_master_rdata_valid12; + litedramcore_state <= litedramcore_next_state; if (litedramcore_dat_w_next_value_ce0) begin litedramcore_dat_w <= litedramcore_dat_w_next_value0; end @@ -11101,7 +11322,7 @@ always @(posedge sys_clk) begin ddrphy_dly_sel_re <= 1'd0; ddrphy_burstdet_seen_status <= 2'd0; ddrphy_burstdet_seen_re <= 1'd0; - ddrphy_rdly0 <= 7'd0; + ddrphy_rdly0 <= 3'd0; ddrphy_burstdet_d0 <= 1'd0; ddrphy_dm_o_data_d0 <= 8'd0; ddrphy_dm_o_data_muxed0 <= 4'd0; @@ -11137,7 +11358,7 @@ always @(posedge sys_clk) begin ddrphy_dq_o_data_muxed7 <= 4'd0; ddrphy_bitslip7_value <= 2'd0; ddrphy_dq_i_bitslip_o_d7 <= 4'd0; - ddrphy_rdly1 <= 7'd0; + ddrphy_rdly1 <= 3'd0; ddrphy_burstdet_d1 <= 1'd0; ddrphy_dm_o_data_d1 <= 8'd0; ddrphy_dm_o_data_muxed1 <= 4'd0; @@ -11367,36 +11588,36 @@ always @(posedge sys_clk) begin init_done_re <= 1'd0; init_error_storage <= 1'd0; init_error_re <= 1'd0; - litedramcore_refresher_state <= 2'd0; - litedramcore_bankmachine0_state <= 3'd0; - litedramcore_bankmachine1_state <= 3'd0; - litedramcore_bankmachine2_state <= 3'd0; - litedramcore_bankmachine3_state <= 3'd0; - litedramcore_bankmachine4_state <= 3'd0; - litedramcore_bankmachine5_state <= 3'd0; - litedramcore_bankmachine6_state <= 3'd0; - litedramcore_bankmachine7_state <= 3'd0; - litedramcore_multiplexer_state <= 4'd0; - litedramcore_new_master_wdata_ready0 <= 1'd0; - litedramcore_new_master_wdata_ready1 <= 1'd0; - litedramcore_new_master_wdata_ready2 <= 1'd0; - litedramcore_new_master_wdata_ready3 <= 1'd0; - litedramcore_new_master_rdata_valid0 <= 1'd0; - litedramcore_new_master_rdata_valid1 <= 1'd0; - litedramcore_new_master_rdata_valid2 <= 1'd0; - litedramcore_new_master_rdata_valid3 <= 1'd0; - litedramcore_new_master_rdata_valid4 <= 1'd0; - litedramcore_new_master_rdata_valid5 <= 1'd0; - litedramcore_new_master_rdata_valid6 <= 1'd0; - litedramcore_new_master_rdata_valid7 <= 1'd0; - litedramcore_new_master_rdata_valid8 <= 1'd0; - litedramcore_new_master_rdata_valid9 <= 1'd0; - litedramcore_new_master_rdata_valid10 <= 1'd0; - litedramcore_new_master_rdata_valid11 <= 1'd0; - litedramcore_new_master_rdata_valid12 <= 1'd0; - litedramcore_new_master_rdata_valid13 <= 1'd0; litedramcore_we <= 1'd0; - state <= 2'd0; + litedramcore_litedramcore_refresher_state <= 2'd0; + litedramcore_litedramcore_bankmachine0_state <= 3'd0; + litedramcore_litedramcore_bankmachine1_state <= 3'd0; + litedramcore_litedramcore_bankmachine2_state <= 3'd0; + litedramcore_litedramcore_bankmachine3_state <= 3'd0; + litedramcore_litedramcore_bankmachine4_state <= 3'd0; + litedramcore_litedramcore_bankmachine5_state <= 3'd0; + litedramcore_litedramcore_bankmachine6_state <= 3'd0; + litedramcore_litedramcore_bankmachine7_state <= 3'd0; + litedramcore_litedramcore_multiplexer_state <= 4'd0; + litedramcore_litedramcore_new_master_wdata_ready0 <= 1'd0; + litedramcore_litedramcore_new_master_wdata_ready1 <= 1'd0; + litedramcore_litedramcore_new_master_wdata_ready2 <= 1'd0; + litedramcore_litedramcore_new_master_wdata_ready3 <= 1'd0; + litedramcore_litedramcore_new_master_rdata_valid0 <= 1'd0; + litedramcore_litedramcore_new_master_rdata_valid1 <= 1'd0; + litedramcore_litedramcore_new_master_rdata_valid2 <= 1'd0; + litedramcore_litedramcore_new_master_rdata_valid3 <= 1'd0; + litedramcore_litedramcore_new_master_rdata_valid4 <= 1'd0; + litedramcore_litedramcore_new_master_rdata_valid5 <= 1'd0; + litedramcore_litedramcore_new_master_rdata_valid6 <= 1'd0; + litedramcore_litedramcore_new_master_rdata_valid7 <= 1'd0; + litedramcore_litedramcore_new_master_rdata_valid8 <= 1'd0; + litedramcore_litedramcore_new_master_rdata_valid9 <= 1'd0; + litedramcore_litedramcore_new_master_rdata_valid10 <= 1'd0; + litedramcore_litedramcore_new_master_rdata_valid11 <= 1'd0; + litedramcore_litedramcore_new_master_rdata_valid12 <= 1'd0; + litedramcore_litedramcore_new_master_rdata_valid13 <= 1'd0; + litedramcore_state <= 2'd0; end end @@ -12961,8 +13182,8 @@ assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_7[l .STDBY(crg_stdby), .CLKOP(crg_clkout0), .CLKOS(crg_clkout1), - .CLKOS2(litedramecp5ddrphycrg_ecp5pll), - .LOCK(litedramecp5ddrphycrg_locked) + .CLKOS2(litedramcore_litedramecp5ddrphycrg_ecp5pll), + .LOCK(litedramcore_litedramecp5ddrphycrg_locked) ); FD1S3BX FD1S3BX( @@ -13186,5 +13407,5 @@ TRELLIS_IO #( endmodule // ----------------------------------------------------------------------------- -// Auto-Generated by LiteX on 2022-01-14 09:35:05. +// Auto-Generated by LiteX on 2022-08-04 21:07:03. //------------------------------------------------------------------------------ diff --git a/litedram/generated/sim/litedram_core.init b/litedram/generated/sim/litedram_core.init index e0b2512..c3dd752 100644 --- a/litedram/generated/sim/litedram_core.init +++ b/litedram/generated/sim/litedram_core.init @@ -7,7 +7,7 @@ a64b5a7d14004a39 6421ff00782107c6 3d80000060215f00 798c07c6618c0000 -618c10e0658cff00 +618c10d8658cff00 4e8004217d8903a6 4e8004207c6903a6 0000000000000000 @@ -519,213 +519,215 @@ a64b5a7d14004a39 0000000000000000 3c4c000100000000 7c0802a63842a3c4 -fbe1fff8fbc1fff0 -f821ff51f8010010 -f88100d83bc10020 +f8010010fbe1fff8 +f88100d8f821ff51 38800080f8a100e0 f8c100e87c651b78 -38c100d87fc3f378 +38c100d838610020 f90100f8f8e100f0 f9410108f9210100 -60000000480017fd -7fc3f3787c7f1b78 -6000000048001221 +6000000048001781 +386100207c7f1b78 +6000000048001199 7fe3fb78382100b0 -0000000048001e78 -0000028001000000 +0000000048001e88 +0000018001000000 000000004e800020 0000000000000000 4c00012c7c0007ac 000000004e800020 0000000000000000 -3842a3203c4c0001 -7d8000267c0802a6 -9181000848001db5 -4800121df821fed1 +3842a3283c4c0001 +7d6000267c0802a6 +9161000848001dc1 +48001195f821fed1 3c62ffff60000000 -4bffff3938637b38 +4bffff4138637b38 788400203c80c000 7c8026ea7c0004ac 3fe0c0003c62ffff 63ff000838637b58 -3c62ffff4bffff15 +3c62ffff4bffff1d 38637b787bff0020 -7c0004ac4bffff05 +7c0004ac4bffff0d 73e900017fe0feea 3c62ffff41820010 -4bfffee938637b90 -4e00000073e90002 +4bfffef138637b90 +4d80000073e90002 3c62ffff41820010 -4bfffed138637b98 -4d80000073e90004 +4bfffed938637b98 +4e00000073e90004 3c62ffff41820010 -4bfffeb938637ba0 +4bfffec138637ba0 4d00000073e90008 3c62ffff41820010 -4bfffea138637ba8 +4bfffea938637ba8 4182001073e90010 38637bb83c62ffff -73ff01004bfffe8d +73ff01004bfffe95 3c62ffff41820010 -4bfffe7938637bc8 +4bfffe8138637bc8 3b7b7bd03f62ffff -4bfffe697f63db78 -3c80c000418e0028 +4bfffe717f63db78 +3c80c00041920028 7884002060840010 7c8026ea7c0004ac 7884b5823c62ffff -4bfffe4138637bd8 -3c80c0004192004c +4bfffe4938637bd8 +3c80c000418e004c 7884002060840018 7c8026ea7c0004ac 788460223c62ffff -4bfffe1938637bf0 +4bfffe2138637bf0 608400303c80c000 7c0004ac78840020 3c62ffff7c8026ea 38637c087884b282 -3d20c0004bfffdf5 +3d20c0004bfffdfd 7929002061290020 7d204eea7c0004ac 792906003c80000f 3c62ffff60844240 38637c207c892392 -418a025c4bfffdc5 -639c00383f80c000 -7c0004ac7b9c0020 -3d40c0007f80e6ea +418a02604bfffdcd +63de00383fc0c000 +7c0004ac7bde0020 +3d40c0007fc0f6ea 614a600439200002 7c0004ac794a0020 3fe0c0007d2057aa 63ff60003920ff9f 7c0004ac7bff0020 7c0004ac7d20ffaa -7c0004ac7fc0feaa 7c0004ac7fa0feaa -4bfffd1d7fe0feaa +7c0004ac7f80feaa +4bfffd257fe0feaa 57e6063e3c62ffff -57c4063e57a5063e +57a4063e5785063e 57f8063e38637c40 -7fc9eb784bfffd45 -7d29fb7857b9063e -5529063e57da063e +7fa9e3784bfffd4d +7d29fb78579a063e +5529063e57b9063e 418201682c090000 -7fdef8387fdee838 -2c1e00ff57de063e -2c1a000141820154 -2c19000240820360 -73bd00bf41820010 -408201302c1d0020 +7fbdf8387fbde038 +2c1d00ff57bd063e +2c19000141820154 +2c1a000240820184 +739c00bf41820010 +408201302c1c0020 57ff063e3bffffe8 41810120281f0001 392000353fe0c000 7bff002063ff6000 7d20ffaa7c0004ac -3b4000023fc0c000 -7bde002063de6004 -7f40f7aa7c0004ac +3b4000023fa0c000 +7bbd002063bd6004 +7f40efaa7c0004ac 7d20ffaa7c0004ac -7fa0feaa7c0004ac -3c62ffff4bfffc61 -38637c6057a4063e -73a900024bfffc95 +7f80feaa7c0004ac +3c62ffff4bfffc69 +38637c605784063e +738900024bfffc9d 3c62ffff40820090 -4bfffc8138637c80 -7f40f7aa7c0004ac +4bfffc8938637c80 +7f40efaa7c0004ac 7c0004ac39200006 -4bfffc257d20ffaa -7f40f7aa7c0004ac +4bfffc2d7d20ffaa +7f40efaa7c0004ac 7c0004ac39200001 392000007d20ffaa 7d20ffaa7c0004ac -7c0004ac63bd0002 -7c0004ac7fa0ffaa -4bfffbed7d20f7aa +7c0004ac639c0002 +7c0004ac7f80ffaa +4bfffbf57d20efaa 3b4000053b000002 7c0004ac7ff9fb78 -7c0004ac7f00f7aa +7c0004ac7f00efaa 7c0004ac7f40cfaa -4bfffbc57fa0feaa -4082ffe073bd0001 +4bfffbcd7f80feaa +4082ffe0739c0001 38637c983c62ffff -3d40c0004bfffbf5 +3d40c0004bfffbfd 794a0020614a6008 7d20562a7c0004ac 652920005529021e 7c0004ac61291f6b 7f63db787d20572a -3c62ffff4bfffbc5 -38637ca87b840020 -4bfffbb17f9ae378 -7f63db783be00001 -419200244bfffba5 -3c62ffff3ca2ffff -38637cd838a57cc8 -4bfffb897ca42b78 -6000000048000355 -3c62ffff418e0024 -4bfffb7138637d08 -4800013c38600000 -3b4000003be00000 -73ff00014bffffb4 -3c62ffff418200a4 -4bfffb4938637d20 -38a000403c9af000 +3c62ffff4bfffbcd +38637ca87bc40020 +4bfffbb97fdaf378 +4bfffbb17f63db78 +419200d0408e0094 +38637cc83c62ffff +386000004bfffb9d +2c190020480001a0 +2c1a00ba4082ffbc +2c1800184082ffb4 +3c62ffff4082ffac +4bfffb7138637c90 +7f63db784bffff68 +408e00684bfffb65 +3c62ffff4092ffb8 +4bfffb5138637dd8 +38a000003c80ff00 +60a5a00060846000 +3c60400078840020 +6000000048000eb1 +38637df83c62ffff +4bfffb9d4bfffb25 +3c82ffff4bffff84 +38847ce03c62ffff +4bfffb0938637cf0 +60000000480002e5 +3c82ffff4bffff54 +38847ce03c62ffff +4bfffae938637cf0 +60000000480002c5 +3c62ffff4bffff80 +4bfffad138637d10 +38a000403c9ef000 3861007078840020 -6000000048000f2d +6000000048000e39 3d400002e9210070 614a464c3c62ffff -794a83e438637d38 +794a83e438637d28 614a457f79290600 408200247c295000 2c09000189210075 a121008240820010 -418200802c090015 -38637d583c62ffff -892100774bfffae5 +418200442c090015 +38637d483c62ffff +892100774bfffa6d 8901007489410076 3c62ffff88e10073 88a1007188c10072 -38637db888810070 +38637da888810070 89210075f9210060 -3c62ffff4bfffab5 -4bfffaa938637de8 -38a000003c80ff00 -60a5a00060846000 -3c60400078840020 -6000000048000e85 -38637e083c62ffff -4bfffafd4bfffa7d -ebe100904bffff08 -3ba000003f02ffff -3b187d703b2100b0 -a12100a87ffafa14 +4bfffee04bfffa3d +3f22ffffe9210090 +3b397d603ba00000 +a12100a87fde4a14 418000347c1d4840 3c62ffff80810088 -4bfffa4138637d98 -e86100884bfffac1 -4182ff802c23ffff -8181000838210130 -480018d07d838120 -38a000383c9ff000 -7f23cb7878840020 -6000000048000e05 +4bfffa0938637d88 +e86100884bfffa81 +4182fea02c23ffff +8161000838210130 +4800189c7d638120 +38a000383c9ef000 +386100b078840020 +6000000048000d51 2c090001812100b0 eb6100d040820048 -ebc100b8eb8100c0 -7f03c3787ba40020 +ebe100b8eb8100c0 +7f23cb787ba40020 7b6500207f86e378 -4bfff9d93fdef000 -7b6500207c9af214 +4bfff9a13ffff000 +7b6500207c9fd214 7f83e37878840020 -6000000048000dbd -7fff4a14a12100a6 +6000000048000d09 +7fde4a14a12100a6 4bffff583bbd0001 -4082fde02c1a0020 -4082fdd82c1900ba -4082fdd02c180018 -38637c903c62ffff -4bfffd8c4bfff98d 0300000000000000 3d20c80000000880 7929002061290804 @@ -742,38 +744,38 @@ ebc100b8eb8100c0 4bfffff060000000 0000000000000000 3c4c000100000000 -3d20c80038429cc4 +3d20c80038429cb4 7929002061290800 7d404e2a7c0004ac 4d820020280a000e -f80100107c0802a6 -3940000ef821ffa1 +3940000e7c0802a6 +f821ffa1f8010010 7d404f2a7c0004ac -38637e203c62ffff -600000004bfff8c5 +38637e103c62ffff +600000004bfff8b5 e801001038210060 4e8000207c0803a6 0100000000000000 3c4c000100000080 -3d20c80038429c5c +3d20c80038429c4c 7929002061290800 7d404e2a7c0004ac 4d820020280a0001 -f80100107c0802a6 -39400001f821ffa1 +394000017c0802a6 +f821ffa1f8010010 7d404f2a7c0004ac -38637e483c62ffff -600000004bfff85d +38637e383c62ffff +600000004bfff84d e801001038210060 4e8000207c0803a6 0100000000000000 3c4c000100000080 -7c0802a638429bf4 -f821ff7148001695 -3c62ffff3c804000 -38637e703f60c800 -4bfff8113be00000 -7b7b002060000000 +7c0802a638429be4 +3c8040003c62ffff +4800167d38637e60 +3f60c800f821ff71 +7b7b00203be00000 +600000004bfff7fd 7c0004ac4bffff05 3f40c8007fe0df2a 7b5a0020635a0004 @@ -814,19 +816,58 @@ f821ff7148001695 386000c84bfffd65 4bfffe394bfffd99 3c60400038800400 -6000000048000779 +60000000480006e9 408200242c030000 7c0004ac7c691b78 7c0004ac7f80d72a 382100907f80df2a -480015507d2307b4 +480015447d2307b4 38a0000038c00000 3c60400038800400 -600000004800055d +6000000048000471 7f80df2a7c0004ac 4bffffd039200001 0100000000000000 -2c24000000000680 +3c4c000100000680 +7c0802a638429a0c +f8010010282303ff +41810028f821ffa1 +3c62ffff7c641b78 +4bfff62938637e80 +3821006060000000 +7c0803a6e8010010 +3d2000104e800020 +408000287c234840 +39200066786505a0 +7864b2827ca54b92 +38637e883c62ffff +600000004bfff5ed +3d2040004bffffc4 +7c23484078646502 +7863b28240800024 +7d29185078895564 +3c62ffff38a00066 +38637e987ca92b92 +786317824bffffc8 +7865556439200066 +7c641b787ca52050 +7ca54b923c62ffff +4bffffa438637ea8 +0100000000000000 +3c4c000100000080 +7c0802a63842993c +7cc42a14fbe1fff8 +7c8523787cbf2b78 +3c62ffff7c641b78 +38637eb878c60020 +f821ff91f8010010 +600000004bfff54d +4bfffef97fe3fb78 +38637ec83c62ffff +600000004bfff535 +4800140c38210070 +0100000000000000 +2c24000000000180 7869f84241820024 7c6300d0786307e0 5463028054630794 @@ -834,54 +875,15 @@ f821ff7148001695 386300014e800020 000000004bfffff4 0000000000000000 -384299e03c4c0001 -f80100107c0802a6 -282303fff821ffa1 -7c641b7841810028 -38637e903c62ffff -600000004bfff5fd -e801001038210060 -4e8000207c0803a6 -7c2348403d200010 -786505a040800028 -7ca54b9239200066 -3c62ffff7864b282 -4bfff5c138637e98 -4bffffc460000000 -786465023d204000 -408000247c234840 -788955647863b282 -38a000667d291850 -7ca92b923c62ffff -4bffffc838637ea8 -3920006678631782 -7ca5205078655564 -3c62ffff7c641b78 -38637eb87ca54b92 -000000004bffffa4 -0000008001000000 -384299103c4c0001 -fbe1fff87c0802a6 -f821ff91f8010010 -7cbf2b787cc42a14 -7c641b787c852378 -78c600203c62ffff -4bfff52138637ec8 -7fe3fb7860000000 -3c62ffff4bfffef9 -4bfff50938637ed8 -3821007060000000 -00000000480013dc -0000018001000000 -384298a83c4c0001 -480013517c0802a6 -3d40aaaaf821ffc1 -7c7f1b7878840764 +384298983c4c0001 +788407647c0802a6 +7c691b783d40aaaa +48001335614aaaaa 7884f0827f832214 -7c7d1b7839040001 -7c691b787d0903a6 -42000080614aaaaa -600000004bfff521 +39040001f821ffc1 +7d0903a67c7f1b78 +420000807c7d1b78 +600000004bfff509 3d00aaaa7d3fe050 7feafb787929f082 3bc0000039290001 @@ -891,12 +893,12 @@ f821ff91f8010010 392900017feafb78 7d2903a661085555 7fffe05042000058 -600000004bfff4d1 +600000004bfff4b9 3d2055557bfff082 61295555395f0001 420000407d4903a6 7fc307b438210040 -91490000480012f4 +91490000480012e8 4bffff7839290004 7c094000812a0000 3bde000141820008 @@ -907,608 +909,606 @@ f821ff91f8010010 3bbd00043bde0001 000000004bffffac 0000048001000000 -384297983c4c0001 -480012457c0802a6 -39200001f821ffc1 -2fa50000789ff022 -7c7e1b78391f0001 -394000007d0903a6 -420000387cbd2b78 -4bfff4157bff0020 -391f000160000000 -7d0903a62fbd0000 -3860000039200001 -4200004039400000 -7c6307b438210040 -419e002848001238 -792907e07928fc62 -7129d0087d2900d0 -792813a87d294278 -394a00017d5e412e -392900014bffffa4 -419e00384bffffec -792907e07928fc62 -7129d0087d2900d0 -792813a87d294278 -5508043e7d1e402e -418200087c085000 -394a000138630001 -392900014bffff8c -000000004bffffdc -0000038001000000 -384296b03c4c0001 -7d8000267c0802a6 -9181000848001139 -2e260000f821ff41 +384297883c4c0001 +7c0802a67d600026 +2e26000091610008 +f821ff414800120d 7cba2b787c7f1b78 789cf0827cde3378 -81260004419200c4 +81260004419200c0 2c09000082e60000 -3ec2ffff40820048 +3f02ffff40820044 3b6000013ba00000 -7bf800207ff9fb78 -7c3ce8403ad67ee0 -3c62ffff4082009c -38637ee07b851028 -4bfffd357b240020 -38637bd03c62ffff -600000004bfff275 -600000004bfff2e1 -7ffbfb782d970000 -3ac000013ba00000 -7bf500203b200000 -7fb8eb787c3de040 -2c17000040820084 -3c62ffff41820028 -38637ef07b051028 -4bfffcdd7be40020 -38637bd03c62ffff -600000004bfff21d -7f2307b4382100c0 -7d81812081810008 -3ae00001480010a8 -7b6300204bffff4c -4bfffb917f44d378 -7c7f492e7ba91764 -7c7b1b7873a97fff -7ba5102840820014 -7ec3b3787f04c378 -3bbd00014bfffc81 -7f44d3784bffff2c -4bfffb597ac30020 -7c651b78809b0000 -7c0320407c761b78 -3b3900014182003c -e99e000841920034 -418200282c2c0000 -e8de00107d8903a6 -f841001878840020 -4e8004217b630020 -2c030000e8410018 -73097fff4082ff58 -418e00184082001c +3b187ed07bf90020 +4082009c7c3ce840 +7b8510283c62ffff +7be4002038637ed0 +3c62ffff4bfffde5 +4bfff35138637bd0 +4bfff3b560000000 +2d97000060000000 +3ba000007ffbfb78 +3b2000003ac00001 +7c3de0407bf50020 +408200847fb8eb78 +418200282c170000 7b0510283c62ffff -38637ef07ea4ab78 -3bbd00014bfffc01 -4bfffef43b7b0004 -0300000000000000 -3c4c000100000b80 -7c0802a6384294ec -918100087d908026 -f821ff7148000f85 -7c7e1b787cdd3378 -7c9f23782e3d0000 -3c62ffff7c641b78 -7cbc2b7838637f00 -600000004bfff0f5 +7be4002038637ee0 +3c62ffff4bfffd8d +4bfff2f938637bd0 +382100c060000000 +816100087f2307b4 +480011887d618120 +4bffff503ae00001 +7f44d3787b630020 +7ba917644bfffdb5 +73a97fff7c7f492e +408200147c7b1b78 +7f24cb787ba51028 +4bfffd317f03c378 +4bffff2c3bbd0001 +7ac300207f44d378 +809b00004bfffd7d +7c761b787c651b78 +4182003c7c032040 +419200343b390001 +2c2c0000e99e0008 +7d8903a641820028 +78840020e8de0010 +7b630020f8410018 +e84100184e800421 +4082ff582c030000 +4082001c73187fff +3c62ffff418e0018 +7ea4ab787ba51028 +4bfffcb138637ee0 +3b7b00043bbd0001 +000000004bfffef4 +00000b8003000000 +384295c83c4c0001 +7c0802a67d708026 +4800106591610008 +7cdb3378f821ff71 +2e3b00003ba4ffe0 +7c9e23787c7f1b78 +7c641b787fa3ea14 +38637ef03c62ffff +4bfff1c97cbc2b78 +3c62ffff60000000 +4092000c38637f08 38637f183c62ffff -3c62ffff4092000c -4bfff0d938637f28 -7fe3fb7860000000 -4bfffaad7bfde8c2 -38637f383c62ffff -600000004bfff0bd -408200742c3c0000 -38fd00017d5602a6 -7ce903a67fc9f378 -420000843900ffff -3f8005f57d3602a6 -639ce100794a0020 -7f9fe1d279290020 -3c62ffff7d295050 -7f9c4b9238637f40 -600000004bfff06d -4bfffa457f83e378 -38637f503c62ffff -600000004bfff055 -38637bd03c62ffff -600000004bfff045 -600000004bfff0b1 -409200287f7602a6 -7d2903a6393d0001 -e93e000042400030 -4bfffff43bde0008 -39290008f9090000 -7fbaeb784bffff74 -3b80000039400000 -4082006c7c1ae000 +600000004bfff1ad +4bfffb597fc3f378 +38637f283c62ffff +600000004bfff195 +408200a82c3c0000 +38df00207cf602a6 +7c26284038bd0020 +7929d9427d3fe850 +3900ffff7feafb78 +4081000839290001 +2c29000139200001 +3929fffff90a0000 +f90a0010f90a0008 +394a0020f90a0018 +7d3602a64082ffe4 +78ea00203f8005f5 +79290020639ce100 +7d2950507f9ee1d2 +38637f303c62ffff +4bfff1117f9c4b92 +7f83e37860000000 +3c62ffff4bfffabd +4bfff0f938637f40 +3c62ffff60000000 +4bfff0e938637bd0 +4bfff14d60000000 +7f9602a660000000 +7d3fe85040920048 +3bbd0020395f0020 +7c2ae8407929d942 +4081000839290001 +2c29000139200001 +3929ffffe95f0000 +e95f0010e95f0008 +3bff0020e95f0018 +4800001c4082ffe4 +394000007bdbe8c2 +3ba000007f7adb78 +4082006c7c1dd000 3d4005f57d3602a6 -614ae1007b7b0020 -7fff51d279290020 -3c62ffff7d29d850 -7fff4b9238637f58 -600000004bffefcd -4bfff9a57fe3fb78 -38637f503c62ffff -600000004bffefb5 +614ae1007b9c0020 +7fde51d279290020 +3c62ffff7d29e050 +7fde4b9238637f48 +600000004bfff04d +4bfff9f97fc3f378 +38637f403c62ffff +600000004bfff035 38637bd03c62ffff -600000004bffefa5 -8181000838210090 -48000e487d908120 -794300207f8407b4 -3b9c00014bfff925 -7c6a1b787d23eb96 -7d2918507d29e9d6 -7d3e482a79291f48 +600000004bfff025 +8161000838210090 +48000ecc7d708120 +794300207fa407b4 +3bbd00014bfffaed +7c6a1b787d23db96 +7d2918507d29d9d6 +7d3f482a79291f48 000000004bffff68 0000068003000000 -384293103c4c0001 -48000db17c0802a6 -3b400200f821ff71 -7c7e1b7828240200 -418100087c9f2378 -283f00807c9a2378 -408100087ffbfb78 -3c62ffff3b600080 -38637f687fc4f378 -600000004bffef0d -4bfff8e57fe3fb78 -38637f383c62ffff -600000004bffeef5 -7fc3f3787f44d378 -38a000004bfffa01 -7c7c1b787f64db78 -4bfffafd7fc3f378 -38a0000138c00000 -7c7d1b787fe4fb78 -4bfffbcd7fc3f378 -7d291a147d3de214 +384293903c4c0001 +282402007c0802a6 +f821ff8148000e39 +7c9f23787c7e1b78 +418100083b800200 +3c62ffff7c9c2378 +38637f587fc4f378 +600000004bffef9d +4bfff9497fe3fb78 +38637f283c62ffff +600000004bffef85 +7fc3f3787f84e378 +38c000004bfffaa1 +7fe4fb7838a00001 +7fc3f3787c7d1b78 +7d23ea144bfffb99 2c0900007c7e1b78 -3c62ffff4182006c -7f84e3787b45f882 -4bffee9138637f78 -3c62ffff60000000 -7fa4eb787b65f082 -4bffee7938637f90 +3c62ffff4182007c +7fa4eb787b85f882 +4bffef3938637f68 +283f008060000000 +408100087fe5fb78 +3c62ffff38a00080 +3880000078a5f082 +4bffef1138637f80 3c62ffff60000000 7fc4f3787be5f082 -4bffee6138637fa8 +4bffeef938637f98 3c62ffff60000000 -4bffee5138637fc0 +4bffeee938637fb0 3860000060000000 -7c6307b438210090 -3c62ffff48000cf4 -4bffee3138637fd0 +7c6307b438210080 +3c62ffff48000d98 +4bffeec938637fc0 3860000160000000 000000004bffffe0 -0000068001000000 -384291d03c4c0001 -8922805060000000 -3942804860000000 -4182002c2c090000 +0000048001000000 +384292683c4c0001 +8922804060000000 +3942803860000000 +418200302c090000 39290014e92a0000 7d204eaa7c0004ac 4182ffec71290020 -7c0004ace92a0000 -4e8000207c604faa -39290010e92a0000 -7d204eea7c0004ac -4082ffec71290008 -e94a00005469063e +e922803860000000 +7c604faa7c0004ac +e92a00004e800020 +7c0004ac39290010 +712900087d204eea +5469063e4082ffec +e942803860000000 7d2057ea7c0004ac 000000004e800020 0000000000000000 -384291503c4c0001 -fbc1fff07c0802a6 -f8010010fbe1fff8 -3be3fffff821ffd1 +384291e03c4c0001 +fbe1fff87c0802a6 +3be3fffffbc1fff0 +f821ffd1f8010010 2c1e00008fdf0001 3821003040820010 -48000c3c38600000 +48000cd038600000 4082000c2c1e000a -4bffff453860000d -4bffff3d7fc307b4 +4bffff3d3860000d +4bffff357fc307b4 000000004bffffd0 0000028001000000 -384290f03c4c0001 -614a00203d40c000 -7c0004ac794a0020 -3d20c0007d4056ea -61290008794a0600 +384291803c4c0001 +612900203d20c000 7c0004ac79290020 -712900207d204eea -3d20c00041820018 -7929002061290040 -7d204eea7c0004ac -3d00c0007929f804 -6108200079290fc3 -6000000079080020 -3d00001cf9028048 -7d4a439261082000 -6000000041820084 -9922805039200001 +3d40c0007d204eea +614a000879290600 +7c0004ac794a0020 +714a00207d4056ea +614a20003d40c000 +40820040794a0020 +f942803860000000 +6000000039400000 +3d40001c99428040 +7d295392614a2000 +614a20183d40c000 +3929ffff794a0020 +7d2057ea7c0004ac +3d00c0004e800020 +7908002061080040 +7d0046ea7c0004ac +60000000790807e3 +3d40001cf9428038 +7d495392614a2000 +600000004182ffa0 +9922804039200001 6108200c3d00c000 790800203920ff80 7d2047aa7c0004ac -7c0004ace9228048 -e92280487d404faa +7c0004ace9228038 +e92280387d404faa 39290004794ac202 7d404faa7c0004ac -39400003e9228048 +39400003e9228038 7c0004ac3929000c -e92280487d404faa +e92280387d404faa 7c0004ac39290010 -e92280487d404faa +e92280387d404faa 3929000839400007 7d404faa7c0004ac -600000004e800020 -99228050394affff -612920183d20c000 -7c0004ac79290020 -4e8000207d404fea -0000000000000000 -78a9e8c200000000 -3929000139400000 -420000287d2903a6 -7ca9285078a90724 -390500017d434a14 -7d0903a67c844a14 -4200001839200000 -7d24502a4e800020 -394a00087d23512a -7d0448ae4bffffcc -392900017d0a49ae -000000004bffffdc -0000000000000000 -386000007c691b78 -2c0a00007d4918ae -386300014d820020 -000000004bfffff0 -0000000000000000 -408200082c240000 -280500243881fff0 -38600000f8640000 -3d00fffe4d810020 -790883e46108ffff -e92400006108d9ff -280a002089490000 -2c25000040810028 -2c0500104182003c -3860000041820038 -3929000148000088 -4bffffd0f9240000 -714a00017d0a5634 -2c2500004182ffec -38a0000a4082ffdc -38a0000a4bffffd4 -4082ffc82c0a0030 +000000004e800020 +0000000000000000 +3940000078a9e8c2 +7d2903a639290001 +78a9072442000028 +3905000178a50760 +7d0903a67d434a14 +392000007c844a14 +4e80002042000018 +7d23512a7d24502a +4bffffcc394a0008 +7d0a49ae7d0448ae +4bffffdc39290001 +0000000000000000 +7c691b7800000000 +7d4918ae38600000 +4d8200202c0a0000 +4bfffff038630001 +0000000000000000 +2c24000000000000 +3881fff040820008 +f864000028050024 +4d81002038600000 +6108ffff3d00fffe +6108d9ff790883e4 +89490000e9240000 +40810040280a0020 +418200542c250000 +408200642c050010 +4082006c2c0a0030 2c0a007889490001 -392900024082ffbc -f924000038a00010 -38eaffd04bffffac -2807000954e7063e -3929ffd04181003c -7c0a28007d2a0734 -390800014c800020 -7d2907347c6519d2 -7c691a14f9040000 -89480000e9040000 -4082ffc0714900ff -38eaff9f4e800020 -2807001954e7063e -3929ffa94181000c -394affbf4bffffb8 -280a0019554a063e -3929ffc94d810020 -000000004bffffa0 -0000000000000000 -280900193923ff9f -3863ffe041810008 -4e8000207c6307b4 +3929000240820060 +48000054f9240000 +f924000039290001 +7d0a56344bffffb8 +4182ffec714a0001 +4082002c2c250000 +4800001c38a0000a +38a0000a2c0a0030 +8949000140820010 +4182ffb82c0a0078 +4800004838600000 +4082fff42c050010 +4bffffec38a00010 +54e7063e38eaffd0 +4181003c28070009 +7d2a07343929ffd0 +4c8000207c0a2800 +7c6519d239080001 +f90400007d290734 +e90400007c691a14 +714900ff89480000 +4e8000204082ffc0 +54e7063e38eaff9f +4181000c28070019 +4bffffb83929ffa9 +554a063e394affbf +4d810020280a0019 +4bffffa03929ffc9 +0000000000000000 +3923ff9f00000000 +4181000828090019 +7c6307b43863ffe0 +000000004e800020 0000000000000000 -3c4c000100000000 -7c0802a638428dec -f821ffa148000889 -7c9c23787c7e1b78 -388000007ca32b78 -7cfd3b7838a0000a -7d1b4378eb3e0000 -7cdf33787d3a4b78 -600000004bfffe79 -392000002b9d0010 -2c3f00007c681b78 -2c09000040820024 -3920000140820008 -7c0348007d29d214 -3821006041810054 -409e001048000870 -392900017bffe102 -7fffeb924bffffcc -9b6900004bfffff4 -394affff2c2a0001 -39290001e93e0000 -4182ffc8f93e0000 -7d194850e93e0000 -4180ffd87c28e040 -7d2940504bffffb4 -3949ffff2c090000 -394a0001794a0020 -3d00800040810010 -4082ffcc7c094000 -4bffffc439400001 +38428e483c4c0001 +480008e97c0802a6 +7c7e1b78f821ffa1 +7ca32b787cfd3b78 +38a0000a7c9c2378 +eb3e000038800000 +7d1b43787cdf3378 +4bfffe597d3a4b78 +2b9d001060000000 +2c3f000039400000 +2c0a00004082005c +3940000140820008 +7c0350007d4ad214 +7d2350504081003c +792900207d2948f8 +7d2903a639290001 +7d594850e93e0000 +408000187c2ae040 +e93e00009b690000 +f93e000039290001 +382100604200ffe0 +409e00104800089c +394a00017bffe102 +7fffeb924bffff94 +000000004bfffff4 +0000078001000000 +38428d783c4c0001 +480008217c0802a6 +eb630000f821ffb1 +7c9c23787c7f1b78 +3bc000007cbd2b78 +4bfffd717fa3eb78 +7c3e184060000000 +e93f000040800014 +7c2ae0407d5b4850 +382100504180000c +7d5df0ae4800082c +994900003bde0001 +39290001e93f0000 +4bffffbcf93f0000 0100000000000000 -3c4c000100000780 -7c0802a638428cf4 -f821ffb148000799 -7c7f1b783bc00000 -eb6300007c9c2378 -7fa3eb787cbd2b78 -600000004bfffd69 -408000147c3e1840 -7d5b4850e93f0000 -4180000c7c2ae040 -480007a438210050 -3bde00017d5df0ae -e93f000099490000 -f93f000039290001 -000000004bffffbc -0000058001000000 -38428c783c4c0001 -7d9080267c0802a6 -4800071191810008 -3be00000f821ffa1 -7c7c1b782b860010 -e9297fe03d22ffff -7cdd33787cbe2b78 -f92100207caa2b78 -e9297fe83d22ffff -2c2a0000f9210028 -2c1f000040820034 -3be0000140820008 -2e2700007fff07b4 -3b7fffff7c3f2040 -3821006040810030 -7d90812081810008 -409e0010480006f4 -3bff0001794ae102 -7d4aeb924bffffbc -7f5ed3784bfffff4 -7d3ae9d27f5eeb92 -7d214a147d29f050 -4192001088690020 -4bfffdad5463063e -7c3df04060000000 -7c69d9aee93c0000 -4081ffc83b7bffff -7d29fa14e93c0000 -4bffff90f93c0000 -0300000000000000 -3c4c000100000680 -7c0802a638428b84 -f821fee1480005f5 -7c691b793be00000 -f9210068f8610060 -2c24000041820060 -3921004041820058 -3e22ffff60000000 -f92100703ae4ffff -3ac000003b010020 -3a6280003a400020 -3a317ff83ba10060 -89250000e9410068 -7feaf050ebc10060 -4182000c712a00ff -418000187c3fb840 -993e000039200000 -7fe307b438210120 -2c0a0025480005c4 -4082054839050001 -8925000038e00000 -7cb02b78e9010070 -8c6500017cea3b78 -390700017d2741ae -2c0900645469063e -28090078418200b0 -280900684181002c -2c0900584181002c -2809005841820098 -2c09002541810088 -2c09004f41820088 -38e7000141820080 -3883ff974bffffa4 -280b000f548b063e -3d62ffff4181ffec -788415a8396b75a8 -7c845a147c8b22aa -4e8004207c8903a6 -ffffffcc00000048 -ffffffccffffffcc +3c4c000100000580 +7c0802a638428cfc +e9297fd03d22ffff +7d7080262b860010 +916100087caa2b78 +f821ffa148000789 +7cbe2b787c7c1b78 +3be000007cdd3378 +3d22fffff9210020 +f9210028e9297fd8 +408200342c2a0000 +408200082c1f0000 +7fff07b43be00001 +7c3f20402e270000 +408100303b7fffff +8161000838210060 +4800077c7d708120 +794ae102409e0010 +4bffffbc3bff0001 +4bfffff47d4aeb92 +7f5eeb927f5ed378 +7d29f0507d3ae9d2 +886900207d214a14 +5463063e41920010 +600000004bfffdd5 +e93c00007c3df040 +3b7bffff7c69d9ae +e93c00004081ffc8 +f93c00007d29fa14 +000000004bffff90 +0000068003000000 +38428c083c4c0001 +4800067d7c0802a6 +7c7d1b79f821ff01 +38600000f8610060 +2c24000041820014 +3b6100403bc4ffff +382101004082013c +4800069c7c6307b4 +390500012c0a0025 +38e0000040820620 +894500007cbc2b78 +38a500017ce93b78 +7d47d9ae889c0001 +5488063e39470001 +418201cc2c080064 +4181002c28080078 +4181002c28080068 +418201302c080058 +4181008828080058 +418200c02c080025 +418201182c08004f +4bffffa438e70001 +550b063e3904ff97 +4181ffec280b000f +396b74d43d62ffff +7d0b42aa790815a8 +7d0903a67d085a14 +000001644e800420 ffffffccffffffcc -0000004800000048 ffffffccffffffcc -ffffffcc00000048 -ffffffcc00000048 +0000006cffffffcc +ffffffcc000000cc +000000b8ffffffcc 00000048ffffffcc -4bffff842c090063 -2c090025394a0002 -7d4a07b47d0807b4 -7d5852147d184214 -9aca002098680020 -395e000140820018 -f9410060993e0000 -4bfffeb438b00002 -eb86000089210041 -39e600087fffb850 -3b2100413aa00020 +ffffffccffffffcc +2c08006300000150 +390100204bffff84 +7d4852147d4a07b4 +3929000239000075 +39410020990a0020 +480000947d2907b4 +7d4a07b439010020 +3900006f7d485214 +393f00014bffffdc +f9210060991f0000 +8925000038bc0002 +712a00ffebe10060 +4182000c7c7df850 +4180febc7c23f040 +993f000039200000 +390100204bfffea4 +7d4852147d4a07b4 +4bffff9039000073 +7d4a07b439010020 +390000707d485214 +38e100204bffff7c +7d4752147d4a07b4 +7d2907b439290002 +7cea3b78990a0020 +394000007d2a4a14 +3a4600087f23f050 +3a60003099490020 +892100413ac10042 712900fd3929ffd2 -3aa000304082000c -3a8000003b210042 -3b6000003b400004 -7ddc00d056b5063e -2c06004f48000168 -418201dc38b90001 -54e4063e38e8ffa8 -418103ac28040022 -388476a43c82ffff -7ce43aaa78e715a8 -7ce903a67ce72214 -000001504e800420 -0000038c0000038c -0000038c0000038c -0000038c0000038c -0000038c0000038c -0000038c0000038c -0000008c00000258 -0000038c0000038c -000003700000038c -0000038c0000008c -0000035c0000038c -0000038c0000038c -000001fc000001ac -0000038c0000038c -0000038c00000298 -0000038c0000008c -000001540000038c -000003680000038c -7b6a00202c060075 -9aca00207d415214 -418200387f8ae378 -3900000157471838 -7d08383638e7ffff -418200207f884039 -392900013940002d -f92100609949ffff -7d53482a7b491e68 -e88100607dca5038 -38e0000a7d465378 -7f05c378f9410078 -7ea8ab7839200000 -7c9e20507fa3eb78 -4bfffa797c84f850 -e9410078e8810060 -38c0000a7a8707e0 -7d4553787c9e2050 -7fa3eb787c84f850 -3b3900014bfffbc9 -e921006089190000 -41820010710600ff -7c3f50407d5e4850 -7de67b784181fe84 -3a8000014bfffe30 -38e000107b690020 -7c8af8507d214a14 -7f05c3787ea8ab78 -7b491e689ac90020 -7d73482a7fa3eb78 -7f8b583839200000 -f96100787d665b78 -e88100604bfff9ed +40820458eb060000 +3e02ffff5669063e +3aa000043a800000 +3ae00000f9210068 +3a107ff03a200000 +39010020480001a4 +7d4852147d4a07b4 +4bfffef839000078 +7d4a07b439010020 +392900027d485214 +988a00207d2907b4 +4bffff7c7d0a4378 +38f600012c08004f +3949ffa8418201dc +280600225546063e +3cc2ffff418103b8 +794a15a838c67690 +7d4a32147d4652aa +4e8004207d4903a6 +0000039800000158 +0000039800000398 +0000039800000398 +0000039800000398 +0000039800000398 +0000026800000398 +000003980000008c +0000039800000398 +0000008c0000037c +0000039800000398 +0000039800000364 +000001ac00000398 +0000039800000204 +000002ac00000398 +0000008c00000398 +0000039800000398 +000003980000015c +2c080075000003bc +7d214a147ae90020 +7f1ac37839400000 +4182004499490020 +3920000156aa1838 +7d295036394affff +4182002c7f094839 +3d42ffff3920002d +7f5800d0394a7ff0 +392e0001992e0000 +7aa91e68f9210060 +7f5a48387d2a482a +38e0000ae8810060 +38a100207f46d378 +5668063e39200000 +7c9f205038610060 +4bfffa257c84c850 +7a8707e0e8810060 +7f45d37838c0000a +7c84c8507c9f2050 +4bfffb5138610060 +893600003ad60001 +712800ffe9c10060 +7f5f705041820010 +4181fe7c7c39d040 +4bfffd7c7e469378 +7ae900203a800001 +38e00010e9010068 +7c9ac8507d214a14 +3861006038a10020 +7aa91e689a290020 +392000007dd0482a +7dc673787f0e7038 +e88100604bfff9a1 38c000107a8707e0 -e96100787c9e2050 -4bffff747d655b78 -38e000087b690020 -7c8af8507d214a14 -7f05c3787ea8ab78 -7b491e689ac90020 -7d73482a7fa3eb78 -7f8b583839200000 -f96100787d665b78 -e88100604bfff995 -38c000087a8707e0 -4bffffa87c9e2050 -38e000107b690020 -7f86e3787d214a14 -390000207c8af850 -7f05c3789ac90020 -7fa3eb7839200002 -e88100604bfff955 -7fa3eb787e258b78 -7c84f8507c9e2050 -e88100604bfffa35 -38c000107a8707e0 -7c9e20507f85e378 -7b6900204bfffec8 -7d214a1439000020 +7dc573787c9f2050 +7ae900204bffff7c +7d214a1439400000 +7c9ac85038e00008 +994900205668063e +7aa91e683d42ffff +38a10020394a7ff0 +7dca482a38610060 +7f0e703839200000 +4bfff9457dc67378 +7a8707e0e8810060 +7c9f205038c00008 +7ae900204bffffa4 +7d214a1439400000 +7f06c37838e00010 +9949002039000020 +3920000238a10020 +386100607c9ac850 +e88100604bfff901 +386100603ca2ffff +7c9f205038a57fe8 +4bfff9b57c84c850 +7a8707e0e8810060 +7f05c37838c00010 +4bfffec07c9f2050 +394000007ae90020 +390000207d214a14 38c0000138e0000a -9ac900207f05c378 -392000007c8af850 -4bfff8f97fa3eb78 -9b890000e9210060 +38a1002099490020 +7c9ac85039200000 +4bfff89d38610060 +9b090000e9210060 f921006039290001 -7b6800204bfffe94 -f921008838a0000a -7d014214f9410080 -7f03c37838800000 -4bfff76d9ac80020 -f861007860000000 -4bfff7357f83e378 -e901007860000000 -e9210088e9410080 -4181003c7c281840 -7f85e378e8810060 -7c9e20507fa3eb78 -4bfff9797c84f850 -9a4900004bfffe2c -3900000139290001 -408200347c291840 -4bffffccf9210060 -7c6340507ce9f050 -7d4af8507ce7fa14 -7c691a142c270000 -394a000139000000 -3940000140820008 -394affff2c2a0001 -710800014082ffb4 -4bffff8c4082ffc0 -2c09006c89390001 -3b4000084182004c -893900014bfffdbc -2c0900683b400002 -7cb92b784082fdac -4bfffda03b400001 -5529063e3928ffd0 -4181fd9028090009 -3b7b00017b690020 -990900207d214a14 -7cb92b784bfffd7c -993e00004bffffb4 -7d0543783bde0001 -4bfffa6cfbc10060 -0100000000000000 -f9c1ff7000001280 -fa01ff80f9e1ff78 -fa41ff90fa21ff88 -fa81ffa0fa61ff98 -fac1ffb0faa1ffa8 -fb01ffc0fae1ffb8 -fb41ffd0fb21ffc8 -fb81ffe0fb61ffd8 -fbc1fff0fba1ffe8 -f8010010fbe1fff8 -e9c1ff704e800020 -ea01ff80e9e1ff78 -ea41ff90ea21ff88 -ea81ffa0ea61ff98 -eac1ffb0eaa1ffa8 -eb01ffc0eae1ffb8 -eb41ffd0eb21ffc8 -eb81ffe0eb61ffd8 -eba1ffe8e8010010 -ebc1fff07c0803a6 -4e800020ebe1fff8 -e8010010ebc1fff0 -7c0803a6ebe1fff8 -600000004e800020 +7ae900204bfffe88 +7d214a1439400000 +3880000038a0000a +9949002038610020 +600000004bfff6f5 +7f03c3787c6f1b78 +600000004bfff6bd +408100647c2f1840 +7f5ac8507d0ef850 +7c6378507d08ca14 +7c6e1a142c280000 +38e0002039400000 +408200083b5a0001 +2c3a00013b400001 +408200143b5affff +41820024714a0001 +4800001cf9c10060 +39ce000198ee0000 +7c23704039400001 +f86100604082ffd4 +7f05c378e8810060 +7c9f205038610060 +4bfff8a57c84c850 +893600014bfffdd0 +2c09006c3aa00008 +7cf63b784082fdc0 +893600014bfffdb8 +2c0900683aa00002 +7cf63b784082fda8 +4bfffd9c3aa00001 +554a063e3949ffd0 +4181fd8c280a0009 +3af700017aea0020 +992a00207d415214 +3aa000084bfffd78 +3a6000204bfffd70 +4bfffba43ac10041 +3bff0001993f0000 +fbe100607d054378 +000000004bfffaf4 +0000128001000000 +f9e1ff78f9c1ff70 +fa21ff88fa01ff80 +fa61ff98fa41ff90 +faa1ffa8fa81ffa0 +fae1ffb8fac1ffb0 +fb21ffc8fb01ffc0 +fb61ffd8fb41ffd0 +fba1ffe8fb81ffe0 +fbe1fff8fbc1fff0 +4e800020f8010010 +e9e1ff78e9c1ff70 +ea21ff88ea01ff80 +ea61ff98ea41ff90 +eaa1ffa8ea81ffa0 +eae1ffb8eac1ffb0 +eb21ffc8eb01ffc0 +eb61ffd8eb41ffd0 +e8010010eb81ffe0 +7c0803a6eba1ffe8 +ebe1fff8ebc1fff0 +ebc1fff04e800020 +ebe1fff8e8010010 +4e8000207c0803a6 6d6f636c65570a0a 63694d206f742065 2120747461776f72 @@ -1559,17 +1559,15 @@ e8010010ebc1fff0 203a46464f204853 7479622078257830 00000000000a7365 -2d2d2d2d2d2d2d2d -0000000000000000 -4d4152446574694c -6620746c69756220 -6567694d206d6f72 -646e61207325206e -2520586574694c20 -0000000000000a73 20676e69746f6f42 415242206d6f7266 0000000a2e2e2e4d +3135636632333936 +0000000000000000 +4d4152446574694c +6620746c69756220 +6574694c206d6f72 +0000000a73252058 6620676e69797254 0a2e2e2e6873616c 0000000000000000 diff --git a/litedram/generated/sim/litedram_core.v b/litedram/generated/sim/litedram_core.v index 1d6e21d..f7462d1 100644 --- a/litedram/generated/sim/litedram_core.v +++ b/litedram/generated/sim/litedram_core.v @@ -8,8 +8,8 @@ // // Filename : litedram_core.v // Device : -// LiteX sha1 : -------- -// Date : 2022-01-14 08:32:16 +// LiteX sha1 : 6932fc51 +// Date : 2022-08-04 21:07:04 //------------------------------------------------------------------------------ @@ -379,70 +379,6 @@ reg soc_ddrphy_new_banks_read6 = 1'd0; reg [127:0] soc_ddrphy_new_banks_read_data6 = 128'd0; reg soc_ddrphy_new_banks_read7 = 1'd0; reg [127:0] soc_ddrphy_new_banks_read_data7 = 128'd0; -wire [13:0] soc_litedramcore_inti_p0_address; -wire [2:0] soc_litedramcore_inti_p0_bank; -reg soc_litedramcore_inti_p0_cas_n = 1'd1; -reg soc_litedramcore_inti_p0_cs_n = 1'd1; -reg soc_litedramcore_inti_p0_ras_n = 1'd1; -reg soc_litedramcore_inti_p0_we_n = 1'd1; -wire soc_litedramcore_inti_p0_cke; -wire soc_litedramcore_inti_p0_odt; -wire soc_litedramcore_inti_p0_reset_n; -reg soc_litedramcore_inti_p0_act_n = 1'd1; -wire [31:0] soc_litedramcore_inti_p0_wrdata; -wire soc_litedramcore_inti_p0_wrdata_en; -wire [3:0] soc_litedramcore_inti_p0_wrdata_mask; -wire soc_litedramcore_inti_p0_rddata_en; -reg [31:0] soc_litedramcore_inti_p0_rddata = 32'd0; -reg soc_litedramcore_inti_p0_rddata_valid = 1'd0; -wire [13:0] soc_litedramcore_inti_p1_address; -wire [2:0] soc_litedramcore_inti_p1_bank; -reg soc_litedramcore_inti_p1_cas_n = 1'd1; -reg soc_litedramcore_inti_p1_cs_n = 1'd1; -reg soc_litedramcore_inti_p1_ras_n = 1'd1; -reg soc_litedramcore_inti_p1_we_n = 1'd1; -wire soc_litedramcore_inti_p1_cke; -wire soc_litedramcore_inti_p1_odt; -wire soc_litedramcore_inti_p1_reset_n; -reg soc_litedramcore_inti_p1_act_n = 1'd1; -wire [31:0] soc_litedramcore_inti_p1_wrdata; -wire soc_litedramcore_inti_p1_wrdata_en; -wire [3:0] soc_litedramcore_inti_p1_wrdata_mask; -wire soc_litedramcore_inti_p1_rddata_en; -reg [31:0] soc_litedramcore_inti_p1_rddata = 32'd0; -reg soc_litedramcore_inti_p1_rddata_valid = 1'd0; -wire [13:0] soc_litedramcore_inti_p2_address; -wire [2:0] soc_litedramcore_inti_p2_bank; -reg soc_litedramcore_inti_p2_cas_n = 1'd1; -reg soc_litedramcore_inti_p2_cs_n = 1'd1; -reg soc_litedramcore_inti_p2_ras_n = 1'd1; -reg soc_litedramcore_inti_p2_we_n = 1'd1; -wire soc_litedramcore_inti_p2_cke; -wire soc_litedramcore_inti_p2_odt; -wire soc_litedramcore_inti_p2_reset_n; -reg soc_litedramcore_inti_p2_act_n = 1'd1; -wire [31:0] soc_litedramcore_inti_p2_wrdata; -wire soc_litedramcore_inti_p2_wrdata_en; -wire [3:0] soc_litedramcore_inti_p2_wrdata_mask; -wire soc_litedramcore_inti_p2_rddata_en; -reg [31:0] soc_litedramcore_inti_p2_rddata = 32'd0; -reg soc_litedramcore_inti_p2_rddata_valid = 1'd0; -wire [13:0] soc_litedramcore_inti_p3_address; -wire [2:0] soc_litedramcore_inti_p3_bank; -reg soc_litedramcore_inti_p3_cas_n = 1'd1; -reg soc_litedramcore_inti_p3_cs_n = 1'd1; -reg soc_litedramcore_inti_p3_ras_n = 1'd1; -reg soc_litedramcore_inti_p3_we_n = 1'd1; -wire soc_litedramcore_inti_p3_cke; -wire soc_litedramcore_inti_p3_odt; -wire soc_litedramcore_inti_p3_reset_n; -reg soc_litedramcore_inti_p3_act_n = 1'd1; -wire [31:0] soc_litedramcore_inti_p3_wrdata; -wire soc_litedramcore_inti_p3_wrdata_en; -wire [3:0] soc_litedramcore_inti_p3_wrdata_mask; -wire soc_litedramcore_inti_p3_rddata_en; -reg [31:0] soc_litedramcore_inti_p3_rddata = 32'd0; -reg soc_litedramcore_inti_p3_rddata_valid = 1'd0; wire [13:0] soc_litedramcore_slave_p0_address; wire [2:0] soc_litedramcore_slave_p0_bank; wire soc_litedramcore_slave_p0_cas_n; @@ -571,12 +507,147 @@ reg [3:0] soc_litedramcore_master_p3_wrdata_mask = 4'd0; reg soc_litedramcore_master_p3_rddata_en = 1'd0; wire [31:0] soc_litedramcore_master_p3_rddata; wire soc_litedramcore_master_p3_rddata_valid; +wire [13:0] soc_litedramcore_csr_dfi_p0_address; +wire [2:0] soc_litedramcore_csr_dfi_p0_bank; +reg soc_litedramcore_csr_dfi_p0_cas_n = 1'd1; +reg soc_litedramcore_csr_dfi_p0_cs_n = 1'd1; +reg soc_litedramcore_csr_dfi_p0_ras_n = 1'd1; +reg soc_litedramcore_csr_dfi_p0_we_n = 1'd1; +wire soc_litedramcore_csr_dfi_p0_cke; +wire soc_litedramcore_csr_dfi_p0_odt; +wire soc_litedramcore_csr_dfi_p0_reset_n; +reg soc_litedramcore_csr_dfi_p0_act_n = 1'd1; +wire [31:0] soc_litedramcore_csr_dfi_p0_wrdata; +wire soc_litedramcore_csr_dfi_p0_wrdata_en; +wire [3:0] soc_litedramcore_csr_dfi_p0_wrdata_mask; +wire soc_litedramcore_csr_dfi_p0_rddata_en; +reg [31:0] soc_litedramcore_csr_dfi_p0_rddata = 32'd0; +reg soc_litedramcore_csr_dfi_p0_rddata_valid = 1'd0; +wire [13:0] soc_litedramcore_csr_dfi_p1_address; +wire [2:0] soc_litedramcore_csr_dfi_p1_bank; +reg soc_litedramcore_csr_dfi_p1_cas_n = 1'd1; +reg soc_litedramcore_csr_dfi_p1_cs_n = 1'd1; +reg soc_litedramcore_csr_dfi_p1_ras_n = 1'd1; +reg soc_litedramcore_csr_dfi_p1_we_n = 1'd1; +wire soc_litedramcore_csr_dfi_p1_cke; +wire soc_litedramcore_csr_dfi_p1_odt; +wire soc_litedramcore_csr_dfi_p1_reset_n; +reg soc_litedramcore_csr_dfi_p1_act_n = 1'd1; +wire [31:0] soc_litedramcore_csr_dfi_p1_wrdata; +wire soc_litedramcore_csr_dfi_p1_wrdata_en; +wire [3:0] soc_litedramcore_csr_dfi_p1_wrdata_mask; +wire soc_litedramcore_csr_dfi_p1_rddata_en; +reg [31:0] soc_litedramcore_csr_dfi_p1_rddata = 32'd0; +reg soc_litedramcore_csr_dfi_p1_rddata_valid = 1'd0; +wire [13:0] soc_litedramcore_csr_dfi_p2_address; +wire [2:0] soc_litedramcore_csr_dfi_p2_bank; +reg soc_litedramcore_csr_dfi_p2_cas_n = 1'd1; +reg soc_litedramcore_csr_dfi_p2_cs_n = 1'd1; +reg soc_litedramcore_csr_dfi_p2_ras_n = 1'd1; +reg soc_litedramcore_csr_dfi_p2_we_n = 1'd1; +wire soc_litedramcore_csr_dfi_p2_cke; +wire soc_litedramcore_csr_dfi_p2_odt; +wire soc_litedramcore_csr_dfi_p2_reset_n; +reg soc_litedramcore_csr_dfi_p2_act_n = 1'd1; +wire [31:0] soc_litedramcore_csr_dfi_p2_wrdata; +wire soc_litedramcore_csr_dfi_p2_wrdata_en; +wire [3:0] soc_litedramcore_csr_dfi_p2_wrdata_mask; +wire soc_litedramcore_csr_dfi_p2_rddata_en; +reg [31:0] soc_litedramcore_csr_dfi_p2_rddata = 32'd0; +reg soc_litedramcore_csr_dfi_p2_rddata_valid = 1'd0; +wire [13:0] soc_litedramcore_csr_dfi_p3_address; +wire [2:0] soc_litedramcore_csr_dfi_p3_bank; +reg soc_litedramcore_csr_dfi_p3_cas_n = 1'd1; +reg soc_litedramcore_csr_dfi_p3_cs_n = 1'd1; +reg soc_litedramcore_csr_dfi_p3_ras_n = 1'd1; +reg soc_litedramcore_csr_dfi_p3_we_n = 1'd1; +wire soc_litedramcore_csr_dfi_p3_cke; +wire soc_litedramcore_csr_dfi_p3_odt; +wire soc_litedramcore_csr_dfi_p3_reset_n; +reg soc_litedramcore_csr_dfi_p3_act_n = 1'd1; +wire [31:0] soc_litedramcore_csr_dfi_p3_wrdata; +wire soc_litedramcore_csr_dfi_p3_wrdata_en; +wire [3:0] soc_litedramcore_csr_dfi_p3_wrdata_mask; +wire soc_litedramcore_csr_dfi_p3_rddata_en; +reg [31:0] soc_litedramcore_csr_dfi_p3_rddata = 32'd0; +reg soc_litedramcore_csr_dfi_p3_rddata_valid = 1'd0; +reg [13:0] soc_litedramcore_ext_dfi_p0_address = 14'd0; +reg [2:0] soc_litedramcore_ext_dfi_p0_bank = 3'd0; +reg soc_litedramcore_ext_dfi_p0_cas_n = 1'd1; +reg soc_litedramcore_ext_dfi_p0_cs_n = 1'd1; +reg soc_litedramcore_ext_dfi_p0_ras_n = 1'd1; +reg soc_litedramcore_ext_dfi_p0_we_n = 1'd1; +reg soc_litedramcore_ext_dfi_p0_cke = 1'd0; +reg soc_litedramcore_ext_dfi_p0_odt = 1'd0; +reg soc_litedramcore_ext_dfi_p0_reset_n = 1'd0; +reg soc_litedramcore_ext_dfi_p0_act_n = 1'd1; +reg [31:0] soc_litedramcore_ext_dfi_p0_wrdata = 32'd0; +reg soc_litedramcore_ext_dfi_p0_wrdata_en = 1'd0; +reg [3:0] soc_litedramcore_ext_dfi_p0_wrdata_mask = 4'd0; +reg soc_litedramcore_ext_dfi_p0_rddata_en = 1'd0; +reg [31:0] soc_litedramcore_ext_dfi_p0_rddata = 32'd0; +reg soc_litedramcore_ext_dfi_p0_rddata_valid = 1'd0; +reg [13:0] soc_litedramcore_ext_dfi_p1_address = 14'd0; +reg [2:0] soc_litedramcore_ext_dfi_p1_bank = 3'd0; +reg soc_litedramcore_ext_dfi_p1_cas_n = 1'd1; +reg soc_litedramcore_ext_dfi_p1_cs_n = 1'd1; +reg soc_litedramcore_ext_dfi_p1_ras_n = 1'd1; +reg soc_litedramcore_ext_dfi_p1_we_n = 1'd1; +reg soc_litedramcore_ext_dfi_p1_cke = 1'd0; +reg soc_litedramcore_ext_dfi_p1_odt = 1'd0; +reg soc_litedramcore_ext_dfi_p1_reset_n = 1'd0; +reg soc_litedramcore_ext_dfi_p1_act_n = 1'd1; +reg [31:0] soc_litedramcore_ext_dfi_p1_wrdata = 32'd0; +reg soc_litedramcore_ext_dfi_p1_wrdata_en = 1'd0; +reg [3:0] soc_litedramcore_ext_dfi_p1_wrdata_mask = 4'd0; +reg soc_litedramcore_ext_dfi_p1_rddata_en = 1'd0; +reg [31:0] soc_litedramcore_ext_dfi_p1_rddata = 32'd0; +reg soc_litedramcore_ext_dfi_p1_rddata_valid = 1'd0; +reg [13:0] soc_litedramcore_ext_dfi_p2_address = 14'd0; +reg [2:0] soc_litedramcore_ext_dfi_p2_bank = 3'd0; +reg soc_litedramcore_ext_dfi_p2_cas_n = 1'd1; +reg soc_litedramcore_ext_dfi_p2_cs_n = 1'd1; +reg soc_litedramcore_ext_dfi_p2_ras_n = 1'd1; +reg soc_litedramcore_ext_dfi_p2_we_n = 1'd1; +reg soc_litedramcore_ext_dfi_p2_cke = 1'd0; +reg soc_litedramcore_ext_dfi_p2_odt = 1'd0; +reg soc_litedramcore_ext_dfi_p2_reset_n = 1'd0; +reg soc_litedramcore_ext_dfi_p2_act_n = 1'd1; +reg [31:0] soc_litedramcore_ext_dfi_p2_wrdata = 32'd0; +reg soc_litedramcore_ext_dfi_p2_wrdata_en = 1'd0; +reg [3:0] soc_litedramcore_ext_dfi_p2_wrdata_mask = 4'd0; +reg soc_litedramcore_ext_dfi_p2_rddata_en = 1'd0; +reg [31:0] soc_litedramcore_ext_dfi_p2_rddata = 32'd0; +reg soc_litedramcore_ext_dfi_p2_rddata_valid = 1'd0; +reg [13:0] soc_litedramcore_ext_dfi_p3_address = 14'd0; +reg [2:0] soc_litedramcore_ext_dfi_p3_bank = 3'd0; +reg soc_litedramcore_ext_dfi_p3_cas_n = 1'd1; +reg soc_litedramcore_ext_dfi_p3_cs_n = 1'd1; +reg soc_litedramcore_ext_dfi_p3_ras_n = 1'd1; +reg soc_litedramcore_ext_dfi_p3_we_n = 1'd1; +reg soc_litedramcore_ext_dfi_p3_cke = 1'd0; +reg soc_litedramcore_ext_dfi_p3_odt = 1'd0; +reg soc_litedramcore_ext_dfi_p3_reset_n = 1'd0; +reg soc_litedramcore_ext_dfi_p3_act_n = 1'd1; +reg [31:0] soc_litedramcore_ext_dfi_p3_wrdata = 32'd0; +reg soc_litedramcore_ext_dfi_p3_wrdata_en = 1'd0; +reg [3:0] soc_litedramcore_ext_dfi_p3_wrdata_mask = 4'd0; +reg soc_litedramcore_ext_dfi_p3_rddata_en = 1'd0; +reg [31:0] soc_litedramcore_ext_dfi_p3_rddata = 32'd0; +reg soc_litedramcore_ext_dfi_p3_rddata_valid = 1'd0; +reg soc_litedramcore_ext_dfi_sel = 1'd0; wire soc_litedramcore_sel; wire soc_litedramcore_cke; wire soc_litedramcore_odt; wire soc_litedramcore_reset_n; reg [3:0] soc_litedramcore_storage = 4'd1; reg soc_litedramcore_re = 1'd0; +wire soc_litedramcore_phaseinjector0_csrfield_cs; +wire soc_litedramcore_phaseinjector0_csrfield_we; +wire soc_litedramcore_phaseinjector0_csrfield_cas; +wire soc_litedramcore_phaseinjector0_csrfield_ras; +wire soc_litedramcore_phaseinjector0_csrfield_wren; +wire soc_litedramcore_phaseinjector0_csrfield_rden; reg [5:0] soc_litedramcore_phaseinjector0_command_storage = 6'd0; reg soc_litedramcore_phaseinjector0_command_re = 1'd0; reg soc_litedramcore_phaseinjector0_command_issue_re = 1'd0; @@ -592,6 +663,12 @@ reg soc_litedramcore_phaseinjector0_wrdata_re = 1'd0; reg [31:0] soc_litedramcore_phaseinjector0_rddata_status = 32'd0; wire soc_litedramcore_phaseinjector0_rddata_we; reg soc_litedramcore_phaseinjector0_rddata_re = 1'd0; +wire soc_litedramcore_phaseinjector1_csrfield_cs; +wire soc_litedramcore_phaseinjector1_csrfield_we; +wire soc_litedramcore_phaseinjector1_csrfield_cas; +wire soc_litedramcore_phaseinjector1_csrfield_ras; +wire soc_litedramcore_phaseinjector1_csrfield_wren; +wire soc_litedramcore_phaseinjector1_csrfield_rden; reg [5:0] soc_litedramcore_phaseinjector1_command_storage = 6'd0; reg soc_litedramcore_phaseinjector1_command_re = 1'd0; reg soc_litedramcore_phaseinjector1_command_issue_re = 1'd0; @@ -607,6 +684,12 @@ reg soc_litedramcore_phaseinjector1_wrdata_re = 1'd0; reg [31:0] soc_litedramcore_phaseinjector1_rddata_status = 32'd0; wire soc_litedramcore_phaseinjector1_rddata_we; reg soc_litedramcore_phaseinjector1_rddata_re = 1'd0; +wire soc_litedramcore_phaseinjector2_csrfield_cs; +wire soc_litedramcore_phaseinjector2_csrfield_we; +wire soc_litedramcore_phaseinjector2_csrfield_cas; +wire soc_litedramcore_phaseinjector2_csrfield_ras; +wire soc_litedramcore_phaseinjector2_csrfield_wren; +wire soc_litedramcore_phaseinjector2_csrfield_rden; reg [5:0] soc_litedramcore_phaseinjector2_command_storage = 6'd0; reg soc_litedramcore_phaseinjector2_command_re = 1'd0; reg soc_litedramcore_phaseinjector2_command_issue_re = 1'd0; @@ -622,6 +705,12 @@ reg soc_litedramcore_phaseinjector2_wrdata_re = 1'd0; reg [31:0] soc_litedramcore_phaseinjector2_rddata_status = 32'd0; wire soc_litedramcore_phaseinjector2_rddata_we; reg soc_litedramcore_phaseinjector2_rddata_re = 1'd0; +wire soc_litedramcore_phaseinjector3_csrfield_cs; +wire soc_litedramcore_phaseinjector3_csrfield_we; +wire soc_litedramcore_phaseinjector3_csrfield_cas; +wire soc_litedramcore_phaseinjector3_csrfield_ras; +wire soc_litedramcore_phaseinjector3_csrfield_wren; +wire soc_litedramcore_phaseinjector3_csrfield_rden; reg [5:0] soc_litedramcore_phaseinjector3_command_storage = 6'd0; reg soc_litedramcore_phaseinjector3_command_re = 1'd0; reg soc_litedramcore_phaseinjector3_command_issue_re = 1'd0; @@ -1567,69 +1656,6 @@ wire [15:0] soc_user_port_wdata_payload_we; wire soc_user_port_rdata_valid; wire soc_user_port_rdata_ready; wire [127:0] soc_user_port_rdata_payload_data; -reg [1:0] refresher_state = 2'd0; -reg [1:0] refresher_next_state = 2'd0; -reg [3:0] bankmachine0_state = 4'd0; -reg [3:0] bankmachine0_next_state = 4'd0; -reg [3:0] bankmachine1_state = 4'd0; -reg [3:0] bankmachine1_next_state = 4'd0; -reg [3:0] bankmachine2_state = 4'd0; -reg [3:0] bankmachine2_next_state = 4'd0; -reg [3:0] bankmachine3_state = 4'd0; -reg [3:0] bankmachine3_next_state = 4'd0; -reg [3:0] bankmachine4_state = 4'd0; -reg [3:0] bankmachine4_next_state = 4'd0; -reg [3:0] bankmachine5_state = 4'd0; -reg [3:0] bankmachine5_next_state = 4'd0; -reg [3:0] bankmachine6_state = 4'd0; -reg [3:0] bankmachine6_next_state = 4'd0; -reg [3:0] bankmachine7_state = 4'd0; -reg [3:0] bankmachine7_next_state = 4'd0; -reg [3:0] multiplexer_state = 4'd0; -reg [3:0] multiplexer_next_state = 4'd0; -wire roundrobin0_request; -wire roundrobin0_grant; -wire roundrobin0_ce; -wire roundrobin1_request; -wire roundrobin1_grant; -wire roundrobin1_ce; -wire roundrobin2_request; -wire roundrobin2_grant; -wire roundrobin2_ce; -wire roundrobin3_request; -wire roundrobin3_grant; -wire roundrobin3_ce; -wire roundrobin4_request; -wire roundrobin4_grant; -wire roundrobin4_ce; -wire roundrobin5_request; -wire roundrobin5_grant; -wire roundrobin5_ce; -wire roundrobin6_request; -wire roundrobin6_grant; -wire roundrobin6_ce; -wire roundrobin7_request; -wire roundrobin7_grant; -wire roundrobin7_ce; -reg locked0 = 1'd0; -reg locked1 = 1'd0; -reg locked2 = 1'd0; -reg locked3 = 1'd0; -reg locked4 = 1'd0; -reg locked5 = 1'd0; -reg locked6 = 1'd0; -reg locked7 = 1'd0; -reg new_master_wdata_ready0 = 1'd0; -reg new_master_wdata_ready1 = 1'd0; -reg new_master_rdata_valid0 = 1'd0; -reg new_master_rdata_valid1 = 1'd0; -reg new_master_rdata_valid2 = 1'd0; -reg new_master_rdata_valid3 = 1'd0; -reg new_master_rdata_valid4 = 1'd0; -reg new_master_rdata_valid5 = 1'd0; -reg new_master_rdata_valid6 = 1'd0; -reg new_master_rdata_valid7 = 1'd0; -reg new_master_rdata_valid8 = 1'd0; reg [13:0] litedramcore_adr = 14'd0; reg litedramcore_we = 1'd0; reg [31:0] litedramcore_dat_w = 32'd0; @@ -1751,8 +1777,71 @@ wire [13:0] csr_interconnect_adr; wire csr_interconnect_we; wire [31:0] csr_interconnect_dat_w; wire [31:0] csr_interconnect_dat_r; -reg [1:0] state = 2'd0; -reg [1:0] next_state = 2'd0; +reg [1:0] litedramcore_refresher_state = 2'd0; +reg [1:0] litedramcore_refresher_next_state = 2'd0; +reg [3:0] litedramcore_bankmachine0_state = 4'd0; +reg [3:0] litedramcore_bankmachine0_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine1_state = 4'd0; +reg [3:0] litedramcore_bankmachine1_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine2_state = 4'd0; +reg [3:0] litedramcore_bankmachine2_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine3_state = 4'd0; +reg [3:0] litedramcore_bankmachine3_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine4_state = 4'd0; +reg [3:0] litedramcore_bankmachine4_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine5_state = 4'd0; +reg [3:0] litedramcore_bankmachine5_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine6_state = 4'd0; +reg [3:0] litedramcore_bankmachine6_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine7_state = 4'd0; +reg [3:0] litedramcore_bankmachine7_next_state = 4'd0; +reg [3:0] litedramcore_multiplexer_state = 4'd0; +reg [3:0] litedramcore_multiplexer_next_state = 4'd0; +wire litedramcore_roundrobin0_request; +wire litedramcore_roundrobin0_grant; +wire litedramcore_roundrobin0_ce; +wire litedramcore_roundrobin1_request; +wire litedramcore_roundrobin1_grant; +wire litedramcore_roundrobin1_ce; +wire litedramcore_roundrobin2_request; +wire litedramcore_roundrobin2_grant; +wire litedramcore_roundrobin2_ce; +wire litedramcore_roundrobin3_request; +wire litedramcore_roundrobin3_grant; +wire litedramcore_roundrobin3_ce; +wire litedramcore_roundrobin4_request; +wire litedramcore_roundrobin4_grant; +wire litedramcore_roundrobin4_ce; +wire litedramcore_roundrobin5_request; +wire litedramcore_roundrobin5_grant; +wire litedramcore_roundrobin5_ce; +wire litedramcore_roundrobin6_request; +wire litedramcore_roundrobin6_grant; +wire litedramcore_roundrobin6_ce; +wire litedramcore_roundrobin7_request; +wire litedramcore_roundrobin7_grant; +wire litedramcore_roundrobin7_ce; +reg litedramcore_locked0 = 1'd0; +reg litedramcore_locked1 = 1'd0; +reg litedramcore_locked2 = 1'd0; +reg litedramcore_locked3 = 1'd0; +reg litedramcore_locked4 = 1'd0; +reg litedramcore_locked5 = 1'd0; +reg litedramcore_locked6 = 1'd0; +reg litedramcore_locked7 = 1'd0; +reg litedramcore_new_master_wdata_ready0 = 1'd0; +reg litedramcore_new_master_wdata_ready1 = 1'd0; +reg litedramcore_new_master_rdata_valid0 = 1'd0; +reg litedramcore_new_master_rdata_valid1 = 1'd0; +reg litedramcore_new_master_rdata_valid2 = 1'd0; +reg litedramcore_new_master_rdata_valid3 = 1'd0; +reg litedramcore_new_master_rdata_valid4 = 1'd0; +reg litedramcore_new_master_rdata_valid5 = 1'd0; +reg litedramcore_new_master_rdata_valid6 = 1'd0; +reg litedramcore_new_master_rdata_valid7 = 1'd0; +reg litedramcore_new_master_rdata_valid8 = 1'd0; +reg [1:0] litedramcore_state = 2'd0; +reg [1:0] litedramcore_next_state = 2'd0; reg [31:0] litedramcore_dat_w_next_value0 = 32'd0; reg litedramcore_dat_w_next_value_ce0 = 1'd0; reg [13:0] litedramcore_adr_next_value1 = 14'd0; @@ -3643,729 +3732,1057 @@ assign soc_litedramcore_slave_p3_rddata_en = soc_litedramcore_dfi_p3_rddata_en; assign soc_litedramcore_dfi_p3_rddata = soc_litedramcore_slave_p3_rddata; assign soc_litedramcore_dfi_p3_rddata_valid = soc_litedramcore_slave_p3_rddata_valid; always @(*) begin - soc_litedramcore_master_p0_cs_n <= 1'd1; + soc_litedramcore_ext_dfi_p3_rddata <= 32'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p0_cs_n <= soc_litedramcore_slave_p0_cs_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_ext_dfi_p3_rddata <= soc_litedramcore_master_p3_rddata; + end else begin + end end else begin - soc_litedramcore_master_p0_cs_n <= soc_litedramcore_inti_p0_cs_n; end end always @(*) begin - soc_litedramcore_master_p0_ras_n <= 1'd1; + soc_litedramcore_ext_dfi_p3_rddata_valid <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p0_ras_n <= soc_litedramcore_slave_p0_ras_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_ext_dfi_p3_rddata_valid <= soc_litedramcore_master_p3_rddata_valid; + end else begin + end end else begin - soc_litedramcore_master_p0_ras_n <= soc_litedramcore_inti_p0_ras_n; end end always @(*) begin - soc_litedramcore_slave_p0_rddata <= 32'd0; + soc_litedramcore_slave_p1_rddata <= 32'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_slave_p0_rddata <= soc_litedramcore_master_p0_rddata; + if (soc_litedramcore_ext_dfi_sel) begin + end else begin + soc_litedramcore_slave_p1_rddata <= soc_litedramcore_master_p1_rddata; + end end else begin end end always @(*) begin - soc_litedramcore_master_p0_we_n <= 1'd1; + soc_litedramcore_slave_p1_rddata_valid <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p0_we_n <= soc_litedramcore_slave_p0_we_n; + if (soc_litedramcore_ext_dfi_sel) begin + end else begin + soc_litedramcore_slave_p1_rddata_valid <= soc_litedramcore_master_p1_rddata_valid; + end end else begin - soc_litedramcore_master_p0_we_n <= soc_litedramcore_inti_p0_we_n; end end always @(*) begin - soc_litedramcore_slave_p0_rddata_valid <= 1'd0; + soc_litedramcore_slave_p2_rddata <= 32'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_slave_p0_rddata_valid <= soc_litedramcore_master_p0_rddata_valid; + if (soc_litedramcore_ext_dfi_sel) begin + end else begin + soc_litedramcore_slave_p2_rddata <= soc_litedramcore_master_p2_rddata; + end end else begin end end always @(*) begin - soc_litedramcore_master_p0_cke <= 1'd0; + soc_litedramcore_slave_p2_rddata_valid <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p0_cke <= soc_litedramcore_slave_p0_cke; + if (soc_litedramcore_ext_dfi_sel) begin + end else begin + soc_litedramcore_slave_p2_rddata_valid <= soc_litedramcore_master_p2_rddata_valid; + end end else begin - soc_litedramcore_master_p0_cke <= soc_litedramcore_inti_p0_cke; end end always @(*) begin - soc_litedramcore_master_p0_odt <= 1'd0; + soc_litedramcore_slave_p3_rddata <= 32'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p0_odt <= soc_litedramcore_slave_p0_odt; + if (soc_litedramcore_ext_dfi_sel) begin + end else begin + soc_litedramcore_slave_p3_rddata <= soc_litedramcore_master_p3_rddata; + end end else begin - soc_litedramcore_master_p0_odt <= soc_litedramcore_inti_p0_odt; end end always @(*) begin - soc_litedramcore_master_p0_reset_n <= 1'd0; + soc_litedramcore_slave_p3_rddata_valid <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p0_reset_n <= soc_litedramcore_slave_p0_reset_n; + if (soc_litedramcore_ext_dfi_sel) begin + end else begin + soc_litedramcore_slave_p3_rddata_valid <= soc_litedramcore_master_p3_rddata_valid; + end end else begin - soc_litedramcore_master_p0_reset_n <= soc_litedramcore_inti_p0_reset_n; end end always @(*) begin - soc_litedramcore_master_p0_act_n <= 1'd1; + soc_litedramcore_master_p0_address <= 14'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p0_act_n <= soc_litedramcore_slave_p0_act_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p0_address <= soc_litedramcore_ext_dfi_p0_address; + end else begin + soc_litedramcore_master_p0_address <= soc_litedramcore_slave_p0_address; + end end else begin - soc_litedramcore_master_p0_act_n <= soc_litedramcore_inti_p0_act_n; + soc_litedramcore_master_p0_address <= soc_litedramcore_csr_dfi_p0_address; end end always @(*) begin - soc_litedramcore_master_p0_wrdata <= 32'd0; + soc_litedramcore_master_p0_bank <= 3'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p0_wrdata <= soc_litedramcore_slave_p0_wrdata; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p0_bank <= soc_litedramcore_ext_dfi_p0_bank; + end else begin + soc_litedramcore_master_p0_bank <= soc_litedramcore_slave_p0_bank; + end end else begin - soc_litedramcore_master_p0_wrdata <= soc_litedramcore_inti_p0_wrdata; + soc_litedramcore_master_p0_bank <= soc_litedramcore_csr_dfi_p0_bank; end end always @(*) begin - soc_litedramcore_inti_p1_rddata <= 32'd0; + soc_litedramcore_master_p0_cas_n <= 1'd1; if (soc_litedramcore_sel) begin + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p0_cas_n <= soc_litedramcore_ext_dfi_p0_cas_n; + end else begin + soc_litedramcore_master_p0_cas_n <= soc_litedramcore_slave_p0_cas_n; + end end else begin - soc_litedramcore_inti_p1_rddata <= soc_litedramcore_master_p1_rddata; + soc_litedramcore_master_p0_cas_n <= soc_litedramcore_csr_dfi_p0_cas_n; end end always @(*) begin - soc_litedramcore_master_p0_wrdata_en <= 1'd0; + soc_litedramcore_master_p0_cs_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p0_wrdata_en <= soc_litedramcore_slave_p0_wrdata_en; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p0_cs_n <= soc_litedramcore_ext_dfi_p0_cs_n; + end else begin + soc_litedramcore_master_p0_cs_n <= soc_litedramcore_slave_p0_cs_n; + end end else begin - soc_litedramcore_master_p0_wrdata_en <= soc_litedramcore_inti_p0_wrdata_en; + soc_litedramcore_master_p0_cs_n <= soc_litedramcore_csr_dfi_p0_cs_n; end end always @(*) begin - soc_litedramcore_inti_p1_rddata_valid <= 1'd0; + soc_litedramcore_master_p0_ras_n <= 1'd1; if (soc_litedramcore_sel) begin + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p0_ras_n <= soc_litedramcore_ext_dfi_p0_ras_n; + end else begin + soc_litedramcore_master_p0_ras_n <= soc_litedramcore_slave_p0_ras_n; + end end else begin - soc_litedramcore_inti_p1_rddata_valid <= soc_litedramcore_master_p1_rddata_valid; + soc_litedramcore_master_p0_ras_n <= soc_litedramcore_csr_dfi_p0_ras_n; end end always @(*) begin - soc_litedramcore_master_p0_wrdata_mask <= 4'd0; + soc_litedramcore_master_p0_we_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p0_wrdata_mask <= soc_litedramcore_slave_p0_wrdata_mask; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p0_we_n <= soc_litedramcore_ext_dfi_p0_we_n; + end else begin + soc_litedramcore_master_p0_we_n <= soc_litedramcore_slave_p0_we_n; + end end else begin - soc_litedramcore_master_p0_wrdata_mask <= soc_litedramcore_inti_p0_wrdata_mask; + soc_litedramcore_master_p0_we_n <= soc_litedramcore_csr_dfi_p0_we_n; end end always @(*) begin - soc_litedramcore_master_p0_rddata_en <= 1'd0; + soc_litedramcore_master_p0_cke <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p0_rddata_en <= soc_litedramcore_slave_p0_rddata_en; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p0_cke <= soc_litedramcore_ext_dfi_p0_cke; + end else begin + soc_litedramcore_master_p0_cke <= soc_litedramcore_slave_p0_cke; + end end else begin - soc_litedramcore_master_p0_rddata_en <= soc_litedramcore_inti_p0_rddata_en; + soc_litedramcore_master_p0_cke <= soc_litedramcore_csr_dfi_p0_cke; end end always @(*) begin - soc_litedramcore_master_p1_address <= 14'd0; + soc_litedramcore_master_p0_odt <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p1_address <= soc_litedramcore_slave_p1_address; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p0_odt <= soc_litedramcore_ext_dfi_p0_odt; + end else begin + soc_litedramcore_master_p0_odt <= soc_litedramcore_slave_p0_odt; + end end else begin - soc_litedramcore_master_p1_address <= soc_litedramcore_inti_p1_address; + soc_litedramcore_master_p0_odt <= soc_litedramcore_csr_dfi_p0_odt; end end always @(*) begin - soc_litedramcore_master_p1_bank <= 3'd0; + soc_litedramcore_master_p0_reset_n <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p1_bank <= soc_litedramcore_slave_p1_bank; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p0_reset_n <= soc_litedramcore_ext_dfi_p0_reset_n; + end else begin + soc_litedramcore_master_p0_reset_n <= soc_litedramcore_slave_p0_reset_n; + end end else begin - soc_litedramcore_master_p1_bank <= soc_litedramcore_inti_p1_bank; + soc_litedramcore_master_p0_reset_n <= soc_litedramcore_csr_dfi_p0_reset_n; end end always @(*) begin - soc_litedramcore_master_p1_cas_n <= 1'd1; + soc_litedramcore_master_p0_act_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p1_cas_n <= soc_litedramcore_slave_p1_cas_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p0_act_n <= soc_litedramcore_ext_dfi_p0_act_n; + end else begin + soc_litedramcore_master_p0_act_n <= soc_litedramcore_slave_p0_act_n; + end end else begin - soc_litedramcore_master_p1_cas_n <= soc_litedramcore_inti_p1_cas_n; + soc_litedramcore_master_p0_act_n <= soc_litedramcore_csr_dfi_p0_act_n; end end always @(*) begin - soc_litedramcore_master_p1_cs_n <= 1'd1; + soc_litedramcore_master_p0_wrdata <= 32'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p1_cs_n <= soc_litedramcore_slave_p1_cs_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p0_wrdata <= soc_litedramcore_ext_dfi_p0_wrdata; + end else begin + soc_litedramcore_master_p0_wrdata <= soc_litedramcore_slave_p0_wrdata; + end end else begin - soc_litedramcore_master_p1_cs_n <= soc_litedramcore_inti_p1_cs_n; + soc_litedramcore_master_p0_wrdata <= soc_litedramcore_csr_dfi_p0_wrdata; end end always @(*) begin - soc_litedramcore_master_p1_ras_n <= 1'd1; + soc_litedramcore_master_p0_wrdata_en <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p1_ras_n <= soc_litedramcore_slave_p1_ras_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p0_wrdata_en <= soc_litedramcore_ext_dfi_p0_wrdata_en; + end else begin + soc_litedramcore_master_p0_wrdata_en <= soc_litedramcore_slave_p0_wrdata_en; + end end else begin - soc_litedramcore_master_p1_ras_n <= soc_litedramcore_inti_p1_ras_n; + soc_litedramcore_master_p0_wrdata_en <= soc_litedramcore_csr_dfi_p0_wrdata_en; end end always @(*) begin - soc_litedramcore_slave_p1_rddata <= 32'd0; + soc_litedramcore_master_p0_wrdata_mask <= 4'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_slave_p1_rddata <= soc_litedramcore_master_p1_rddata; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p0_wrdata_mask <= soc_litedramcore_ext_dfi_p0_wrdata_mask; + end else begin + soc_litedramcore_master_p0_wrdata_mask <= soc_litedramcore_slave_p0_wrdata_mask; + end end else begin + soc_litedramcore_master_p0_wrdata_mask <= soc_litedramcore_csr_dfi_p0_wrdata_mask; end end always @(*) begin - soc_litedramcore_master_p1_we_n <= 1'd1; + soc_litedramcore_master_p0_rddata_en <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p1_we_n <= soc_litedramcore_slave_p1_we_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p0_rddata_en <= soc_litedramcore_ext_dfi_p0_rddata_en; + end else begin + soc_litedramcore_master_p0_rddata_en <= soc_litedramcore_slave_p0_rddata_en; + end end else begin - soc_litedramcore_master_p1_we_n <= soc_litedramcore_inti_p1_we_n; + soc_litedramcore_master_p0_rddata_en <= soc_litedramcore_csr_dfi_p0_rddata_en; end end always @(*) begin - soc_litedramcore_slave_p1_rddata_valid <= 1'd0; + soc_litedramcore_master_p1_address <= 14'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_slave_p1_rddata_valid <= soc_litedramcore_master_p1_rddata_valid; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p1_address <= soc_litedramcore_ext_dfi_p1_address; + end else begin + soc_litedramcore_master_p1_address <= soc_litedramcore_slave_p1_address; + end end else begin + soc_litedramcore_master_p1_address <= soc_litedramcore_csr_dfi_p1_address; end end always @(*) begin - soc_litedramcore_master_p1_cke <= 1'd0; + soc_litedramcore_master_p1_bank <= 3'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p1_cke <= soc_litedramcore_slave_p1_cke; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p1_bank <= soc_litedramcore_ext_dfi_p1_bank; + end else begin + soc_litedramcore_master_p1_bank <= soc_litedramcore_slave_p1_bank; + end end else begin - soc_litedramcore_master_p1_cke <= soc_litedramcore_inti_p1_cke; + soc_litedramcore_master_p1_bank <= soc_litedramcore_csr_dfi_p1_bank; end end always @(*) begin - soc_litedramcore_master_p1_odt <= 1'd0; + soc_litedramcore_master_p1_cas_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p1_odt <= soc_litedramcore_slave_p1_odt; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p1_cas_n <= soc_litedramcore_ext_dfi_p1_cas_n; + end else begin + soc_litedramcore_master_p1_cas_n <= soc_litedramcore_slave_p1_cas_n; + end end else begin - soc_litedramcore_master_p1_odt <= soc_litedramcore_inti_p1_odt; + soc_litedramcore_master_p1_cas_n <= soc_litedramcore_csr_dfi_p1_cas_n; end end always @(*) begin - soc_litedramcore_master_p1_reset_n <= 1'd0; + soc_litedramcore_master_p1_cs_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p1_reset_n <= soc_litedramcore_slave_p1_reset_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p1_cs_n <= soc_litedramcore_ext_dfi_p1_cs_n; + end else begin + soc_litedramcore_master_p1_cs_n <= soc_litedramcore_slave_p1_cs_n; + end end else begin - soc_litedramcore_master_p1_reset_n <= soc_litedramcore_inti_p1_reset_n; + soc_litedramcore_master_p1_cs_n <= soc_litedramcore_csr_dfi_p1_cs_n; end end always @(*) begin - soc_litedramcore_master_p1_act_n <= 1'd1; + soc_litedramcore_master_p1_ras_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p1_act_n <= soc_litedramcore_slave_p1_act_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p1_ras_n <= soc_litedramcore_ext_dfi_p1_ras_n; + end else begin + soc_litedramcore_master_p1_ras_n <= soc_litedramcore_slave_p1_ras_n; + end end else begin - soc_litedramcore_master_p1_act_n <= soc_litedramcore_inti_p1_act_n; + soc_litedramcore_master_p1_ras_n <= soc_litedramcore_csr_dfi_p1_ras_n; end end always @(*) begin - soc_litedramcore_master_p1_wrdata <= 32'd0; + soc_litedramcore_master_p1_we_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p1_wrdata <= soc_litedramcore_slave_p1_wrdata; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p1_we_n <= soc_litedramcore_ext_dfi_p1_we_n; + end else begin + soc_litedramcore_master_p1_we_n <= soc_litedramcore_slave_p1_we_n; + end end else begin - soc_litedramcore_master_p1_wrdata <= soc_litedramcore_inti_p1_wrdata; + soc_litedramcore_master_p1_we_n <= soc_litedramcore_csr_dfi_p1_we_n; end end always @(*) begin - soc_litedramcore_inti_p2_rddata <= 32'd0; + soc_litedramcore_master_p1_cke <= 1'd0; if (soc_litedramcore_sel) begin + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p1_cke <= soc_litedramcore_ext_dfi_p1_cke; + end else begin + soc_litedramcore_master_p1_cke <= soc_litedramcore_slave_p1_cke; + end end else begin - soc_litedramcore_inti_p2_rddata <= soc_litedramcore_master_p2_rddata; + soc_litedramcore_master_p1_cke <= soc_litedramcore_csr_dfi_p1_cke; end end always @(*) begin - soc_litedramcore_master_p1_wrdata_en <= 1'd0; + soc_litedramcore_master_p1_odt <= 1'd0; + if (soc_litedramcore_sel) begin + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p1_odt <= soc_litedramcore_ext_dfi_p1_odt; + end else begin + soc_litedramcore_master_p1_odt <= soc_litedramcore_slave_p1_odt; + end + end else begin + soc_litedramcore_master_p1_odt <= soc_litedramcore_csr_dfi_p1_odt; + end +end +always @(*) begin + soc_litedramcore_master_p1_reset_n <= 1'd0; + if (soc_litedramcore_sel) begin + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p1_reset_n <= soc_litedramcore_ext_dfi_p1_reset_n; + end else begin + soc_litedramcore_master_p1_reset_n <= soc_litedramcore_slave_p1_reset_n; + end + end else begin + soc_litedramcore_master_p1_reset_n <= soc_litedramcore_csr_dfi_p1_reset_n; + end +end +always @(*) begin + soc_litedramcore_master_p1_act_n <= 1'd1; + if (soc_litedramcore_sel) begin + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p1_act_n <= soc_litedramcore_ext_dfi_p1_act_n; + end else begin + soc_litedramcore_master_p1_act_n <= soc_litedramcore_slave_p1_act_n; + end + end else begin + soc_litedramcore_master_p1_act_n <= soc_litedramcore_csr_dfi_p1_act_n; + end +end +always @(*) begin + soc_litedramcore_master_p1_wrdata <= 32'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p1_wrdata_en <= soc_litedramcore_slave_p1_wrdata_en; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p1_wrdata <= soc_litedramcore_ext_dfi_p1_wrdata; + end else begin + soc_litedramcore_master_p1_wrdata <= soc_litedramcore_slave_p1_wrdata; + end end else begin - soc_litedramcore_master_p1_wrdata_en <= soc_litedramcore_inti_p1_wrdata_en; + soc_litedramcore_master_p1_wrdata <= soc_litedramcore_csr_dfi_p1_wrdata; end end always @(*) begin - soc_litedramcore_inti_p2_rddata_valid <= 1'd0; + soc_litedramcore_master_p1_wrdata_en <= 1'd0; if (soc_litedramcore_sel) begin + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p1_wrdata_en <= soc_litedramcore_ext_dfi_p1_wrdata_en; + end else begin + soc_litedramcore_master_p1_wrdata_en <= soc_litedramcore_slave_p1_wrdata_en; + end end else begin - soc_litedramcore_inti_p2_rddata_valid <= soc_litedramcore_master_p2_rddata_valid; + soc_litedramcore_master_p1_wrdata_en <= soc_litedramcore_csr_dfi_p1_wrdata_en; end end always @(*) begin soc_litedramcore_master_p1_wrdata_mask <= 4'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p1_wrdata_mask <= soc_litedramcore_slave_p1_wrdata_mask; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p1_wrdata_mask <= soc_litedramcore_ext_dfi_p1_wrdata_mask; + end else begin + soc_litedramcore_master_p1_wrdata_mask <= soc_litedramcore_slave_p1_wrdata_mask; + end end else begin - soc_litedramcore_master_p1_wrdata_mask <= soc_litedramcore_inti_p1_wrdata_mask; + soc_litedramcore_master_p1_wrdata_mask <= soc_litedramcore_csr_dfi_p1_wrdata_mask; end end always @(*) begin soc_litedramcore_master_p1_rddata_en <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p1_rddata_en <= soc_litedramcore_slave_p1_rddata_en; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p1_rddata_en <= soc_litedramcore_ext_dfi_p1_rddata_en; + end else begin + soc_litedramcore_master_p1_rddata_en <= soc_litedramcore_slave_p1_rddata_en; + end end else begin - soc_litedramcore_master_p1_rddata_en <= soc_litedramcore_inti_p1_rddata_en; + soc_litedramcore_master_p1_rddata_en <= soc_litedramcore_csr_dfi_p1_rddata_en; end end always @(*) begin soc_litedramcore_master_p2_address <= 14'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p2_address <= soc_litedramcore_slave_p2_address; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p2_address <= soc_litedramcore_ext_dfi_p2_address; + end else begin + soc_litedramcore_master_p2_address <= soc_litedramcore_slave_p2_address; + end end else begin - soc_litedramcore_master_p2_address <= soc_litedramcore_inti_p2_address; + soc_litedramcore_master_p2_address <= soc_litedramcore_csr_dfi_p2_address; end end always @(*) begin soc_litedramcore_master_p2_bank <= 3'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p2_bank <= soc_litedramcore_slave_p2_bank; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p2_bank <= soc_litedramcore_ext_dfi_p2_bank; + end else begin + soc_litedramcore_master_p2_bank <= soc_litedramcore_slave_p2_bank; + end end else begin - soc_litedramcore_master_p2_bank <= soc_litedramcore_inti_p2_bank; + soc_litedramcore_master_p2_bank <= soc_litedramcore_csr_dfi_p2_bank; end end always @(*) begin soc_litedramcore_master_p2_cas_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p2_cas_n <= soc_litedramcore_slave_p2_cas_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p2_cas_n <= soc_litedramcore_ext_dfi_p2_cas_n; + end else begin + soc_litedramcore_master_p2_cas_n <= soc_litedramcore_slave_p2_cas_n; + end end else begin - soc_litedramcore_master_p2_cas_n <= soc_litedramcore_inti_p2_cas_n; + soc_litedramcore_master_p2_cas_n <= soc_litedramcore_csr_dfi_p2_cas_n; end end always @(*) begin soc_litedramcore_master_p2_cs_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p2_cs_n <= soc_litedramcore_slave_p2_cs_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p2_cs_n <= soc_litedramcore_ext_dfi_p2_cs_n; + end else begin + soc_litedramcore_master_p2_cs_n <= soc_litedramcore_slave_p2_cs_n; + end end else begin - soc_litedramcore_master_p2_cs_n <= soc_litedramcore_inti_p2_cs_n; + soc_litedramcore_master_p2_cs_n <= soc_litedramcore_csr_dfi_p2_cs_n; end end always @(*) begin soc_litedramcore_master_p2_ras_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p2_ras_n <= soc_litedramcore_slave_p2_ras_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p2_ras_n <= soc_litedramcore_ext_dfi_p2_ras_n; + end else begin + soc_litedramcore_master_p2_ras_n <= soc_litedramcore_slave_p2_ras_n; + end end else begin - soc_litedramcore_master_p2_ras_n <= soc_litedramcore_inti_p2_ras_n; + soc_litedramcore_master_p2_ras_n <= soc_litedramcore_csr_dfi_p2_ras_n; end end always @(*) begin - soc_litedramcore_slave_p2_rddata <= 32'd0; + soc_litedramcore_master_p2_we_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_slave_p2_rddata <= soc_litedramcore_master_p2_rddata; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p2_we_n <= soc_litedramcore_ext_dfi_p2_we_n; + end else begin + soc_litedramcore_master_p2_we_n <= soc_litedramcore_slave_p2_we_n; + end end else begin + soc_litedramcore_master_p2_we_n <= soc_litedramcore_csr_dfi_p2_we_n; end end always @(*) begin - soc_litedramcore_master_p2_we_n <= 1'd1; + soc_litedramcore_master_p2_cke <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p2_we_n <= soc_litedramcore_slave_p2_we_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p2_cke <= soc_litedramcore_ext_dfi_p2_cke; + end else begin + soc_litedramcore_master_p2_cke <= soc_litedramcore_slave_p2_cke; + end end else begin - soc_litedramcore_master_p2_we_n <= soc_litedramcore_inti_p2_we_n; + soc_litedramcore_master_p2_cke <= soc_litedramcore_csr_dfi_p2_cke; end end always @(*) begin - soc_litedramcore_slave_p2_rddata_valid <= 1'd0; + soc_litedramcore_master_p2_odt <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_slave_p2_rddata_valid <= soc_litedramcore_master_p2_rddata_valid; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p2_odt <= soc_litedramcore_ext_dfi_p2_odt; + end else begin + soc_litedramcore_master_p2_odt <= soc_litedramcore_slave_p2_odt; + end end else begin + soc_litedramcore_master_p2_odt <= soc_litedramcore_csr_dfi_p2_odt; end end always @(*) begin - soc_litedramcore_master_p2_cke <= 1'd0; + soc_litedramcore_master_p2_reset_n <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p2_cke <= soc_litedramcore_slave_p2_cke; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p2_reset_n <= soc_litedramcore_ext_dfi_p2_reset_n; + end else begin + soc_litedramcore_master_p2_reset_n <= soc_litedramcore_slave_p2_reset_n; + end end else begin - soc_litedramcore_master_p2_cke <= soc_litedramcore_inti_p2_cke; + soc_litedramcore_master_p2_reset_n <= soc_litedramcore_csr_dfi_p2_reset_n; end end always @(*) begin - soc_litedramcore_master_p2_odt <= 1'd0; + soc_litedramcore_master_p2_act_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p2_odt <= soc_litedramcore_slave_p2_odt; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p2_act_n <= soc_litedramcore_ext_dfi_p2_act_n; + end else begin + soc_litedramcore_master_p2_act_n <= soc_litedramcore_slave_p2_act_n; + end end else begin - soc_litedramcore_master_p2_odt <= soc_litedramcore_inti_p2_odt; + soc_litedramcore_master_p2_act_n <= soc_litedramcore_csr_dfi_p2_act_n; end end always @(*) begin - soc_litedramcore_master_p2_reset_n <= 1'd0; + soc_litedramcore_master_p2_wrdata <= 32'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p2_reset_n <= soc_litedramcore_slave_p2_reset_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p2_wrdata <= soc_litedramcore_ext_dfi_p2_wrdata; + end else begin + soc_litedramcore_master_p2_wrdata <= soc_litedramcore_slave_p2_wrdata; + end end else begin - soc_litedramcore_master_p2_reset_n <= soc_litedramcore_inti_p2_reset_n; + soc_litedramcore_master_p2_wrdata <= soc_litedramcore_csr_dfi_p2_wrdata; end end always @(*) begin - soc_litedramcore_master_p2_act_n <= 1'd1; + soc_litedramcore_master_p2_wrdata_en <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p2_act_n <= soc_litedramcore_slave_p2_act_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p2_wrdata_en <= soc_litedramcore_ext_dfi_p2_wrdata_en; + end else begin + soc_litedramcore_master_p2_wrdata_en <= soc_litedramcore_slave_p2_wrdata_en; + end end else begin - soc_litedramcore_master_p2_act_n <= soc_litedramcore_inti_p2_act_n; + soc_litedramcore_master_p2_wrdata_en <= soc_litedramcore_csr_dfi_p2_wrdata_en; end end always @(*) begin - soc_litedramcore_master_p2_wrdata <= 32'd0; + soc_litedramcore_master_p2_wrdata_mask <= 4'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p2_wrdata <= soc_litedramcore_slave_p2_wrdata; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p2_wrdata_mask <= soc_litedramcore_ext_dfi_p2_wrdata_mask; + end else begin + soc_litedramcore_master_p2_wrdata_mask <= soc_litedramcore_slave_p2_wrdata_mask; + end end else begin - soc_litedramcore_master_p2_wrdata <= soc_litedramcore_inti_p2_wrdata; + soc_litedramcore_master_p2_wrdata_mask <= soc_litedramcore_csr_dfi_p2_wrdata_mask; end end always @(*) begin - soc_litedramcore_inti_p3_rddata <= 32'd0; + soc_litedramcore_master_p2_rddata_en <= 1'd0; if (soc_litedramcore_sel) begin + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p2_rddata_en <= soc_litedramcore_ext_dfi_p2_rddata_en; + end else begin + soc_litedramcore_master_p2_rddata_en <= soc_litedramcore_slave_p2_rddata_en; + end end else begin - soc_litedramcore_inti_p3_rddata <= soc_litedramcore_master_p3_rddata; + soc_litedramcore_master_p2_rddata_en <= soc_litedramcore_csr_dfi_p2_rddata_en; end end always @(*) begin - soc_litedramcore_master_p2_wrdata_en <= 1'd0; + soc_litedramcore_master_p3_address <= 14'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p2_wrdata_en <= soc_litedramcore_slave_p2_wrdata_en; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p3_address <= soc_litedramcore_ext_dfi_p3_address; + end else begin + soc_litedramcore_master_p3_address <= soc_litedramcore_slave_p3_address; + end end else begin - soc_litedramcore_master_p2_wrdata_en <= soc_litedramcore_inti_p2_wrdata_en; + soc_litedramcore_master_p3_address <= soc_litedramcore_csr_dfi_p3_address; end end always @(*) begin - soc_litedramcore_inti_p3_rddata_valid <= 1'd0; + soc_litedramcore_master_p3_bank <= 3'd0; if (soc_litedramcore_sel) begin + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p3_bank <= soc_litedramcore_ext_dfi_p3_bank; + end else begin + soc_litedramcore_master_p3_bank <= soc_litedramcore_slave_p3_bank; + end end else begin - soc_litedramcore_inti_p3_rddata_valid <= soc_litedramcore_master_p3_rddata_valid; + soc_litedramcore_master_p3_bank <= soc_litedramcore_csr_dfi_p3_bank; end end always @(*) begin - soc_litedramcore_master_p2_wrdata_mask <= 4'd0; + soc_litedramcore_master_p3_cas_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p2_wrdata_mask <= soc_litedramcore_slave_p2_wrdata_mask; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p3_cas_n <= soc_litedramcore_ext_dfi_p3_cas_n; + end else begin + soc_litedramcore_master_p3_cas_n <= soc_litedramcore_slave_p3_cas_n; + end end else begin - soc_litedramcore_master_p2_wrdata_mask <= soc_litedramcore_inti_p2_wrdata_mask; + soc_litedramcore_master_p3_cas_n <= soc_litedramcore_csr_dfi_p3_cas_n; end end always @(*) begin - soc_litedramcore_master_p2_rddata_en <= 1'd0; + soc_litedramcore_master_p3_cs_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p2_rddata_en <= soc_litedramcore_slave_p2_rddata_en; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p3_cs_n <= soc_litedramcore_ext_dfi_p3_cs_n; + end else begin + soc_litedramcore_master_p3_cs_n <= soc_litedramcore_slave_p3_cs_n; + end end else begin - soc_litedramcore_master_p2_rddata_en <= soc_litedramcore_inti_p2_rddata_en; + soc_litedramcore_master_p3_cs_n <= soc_litedramcore_csr_dfi_p3_cs_n; end end always @(*) begin - soc_litedramcore_master_p3_address <= 14'd0; + soc_litedramcore_master_p3_ras_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p3_address <= soc_litedramcore_slave_p3_address; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p3_ras_n <= soc_litedramcore_ext_dfi_p3_ras_n; + end else begin + soc_litedramcore_master_p3_ras_n <= soc_litedramcore_slave_p3_ras_n; + end end else begin - soc_litedramcore_master_p3_address <= soc_litedramcore_inti_p3_address; + soc_litedramcore_master_p3_ras_n <= soc_litedramcore_csr_dfi_p3_ras_n; end end always @(*) begin - soc_litedramcore_master_p3_bank <= 3'd0; + soc_litedramcore_master_p3_we_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p3_bank <= soc_litedramcore_slave_p3_bank; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p3_we_n <= soc_litedramcore_ext_dfi_p3_we_n; + end else begin + soc_litedramcore_master_p3_we_n <= soc_litedramcore_slave_p3_we_n; + end end else begin - soc_litedramcore_master_p3_bank <= soc_litedramcore_inti_p3_bank; + soc_litedramcore_master_p3_we_n <= soc_litedramcore_csr_dfi_p3_we_n; end end always @(*) begin - soc_litedramcore_master_p3_cas_n <= 1'd1; + soc_litedramcore_master_p3_cke <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p3_cas_n <= soc_litedramcore_slave_p3_cas_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p3_cke <= soc_litedramcore_ext_dfi_p3_cke; + end else begin + soc_litedramcore_master_p3_cke <= soc_litedramcore_slave_p3_cke; + end end else begin - soc_litedramcore_master_p3_cas_n <= soc_litedramcore_inti_p3_cas_n; + soc_litedramcore_master_p3_cke <= soc_litedramcore_csr_dfi_p3_cke; end end always @(*) begin - soc_litedramcore_master_p3_cs_n <= 1'd1; + soc_litedramcore_master_p3_odt <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p3_cs_n <= soc_litedramcore_slave_p3_cs_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p3_odt <= soc_litedramcore_ext_dfi_p3_odt; + end else begin + soc_litedramcore_master_p3_odt <= soc_litedramcore_slave_p3_odt; + end end else begin - soc_litedramcore_master_p3_cs_n <= soc_litedramcore_inti_p3_cs_n; + soc_litedramcore_master_p3_odt <= soc_litedramcore_csr_dfi_p3_odt; end end always @(*) begin - soc_litedramcore_master_p3_ras_n <= 1'd1; + soc_litedramcore_master_p3_reset_n <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p3_ras_n <= soc_litedramcore_slave_p3_ras_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p3_reset_n <= soc_litedramcore_ext_dfi_p3_reset_n; + end else begin + soc_litedramcore_master_p3_reset_n <= soc_litedramcore_slave_p3_reset_n; + end end else begin - soc_litedramcore_master_p3_ras_n <= soc_litedramcore_inti_p3_ras_n; + soc_litedramcore_master_p3_reset_n <= soc_litedramcore_csr_dfi_p3_reset_n; end end always @(*) begin - soc_litedramcore_slave_p3_rddata <= 32'd0; + soc_litedramcore_master_p3_act_n <= 1'd1; if (soc_litedramcore_sel) begin - soc_litedramcore_slave_p3_rddata <= soc_litedramcore_master_p3_rddata; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p3_act_n <= soc_litedramcore_ext_dfi_p3_act_n; + end else begin + soc_litedramcore_master_p3_act_n <= soc_litedramcore_slave_p3_act_n; + end end else begin + soc_litedramcore_master_p3_act_n <= soc_litedramcore_csr_dfi_p3_act_n; end end always @(*) begin - soc_litedramcore_master_p3_we_n <= 1'd1; + soc_litedramcore_master_p3_wrdata <= 32'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p3_we_n <= soc_litedramcore_slave_p3_we_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p3_wrdata <= soc_litedramcore_ext_dfi_p3_wrdata; + end else begin + soc_litedramcore_master_p3_wrdata <= soc_litedramcore_slave_p3_wrdata; + end end else begin - soc_litedramcore_master_p3_we_n <= soc_litedramcore_inti_p3_we_n; + soc_litedramcore_master_p3_wrdata <= soc_litedramcore_csr_dfi_p3_wrdata; end end always @(*) begin - soc_litedramcore_slave_p3_rddata_valid <= 1'd0; + soc_litedramcore_master_p3_wrdata_en <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_slave_p3_rddata_valid <= soc_litedramcore_master_p3_rddata_valid; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p3_wrdata_en <= soc_litedramcore_ext_dfi_p3_wrdata_en; + end else begin + soc_litedramcore_master_p3_wrdata_en <= soc_litedramcore_slave_p3_wrdata_en; + end end else begin + soc_litedramcore_master_p3_wrdata_en <= soc_litedramcore_csr_dfi_p3_wrdata_en; end end always @(*) begin - soc_litedramcore_master_p3_cke <= 1'd0; + soc_litedramcore_master_p3_wrdata_mask <= 4'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p3_cke <= soc_litedramcore_slave_p3_cke; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p3_wrdata_mask <= soc_litedramcore_ext_dfi_p3_wrdata_mask; + end else begin + soc_litedramcore_master_p3_wrdata_mask <= soc_litedramcore_slave_p3_wrdata_mask; + end end else begin - soc_litedramcore_master_p3_cke <= soc_litedramcore_inti_p3_cke; + soc_litedramcore_master_p3_wrdata_mask <= soc_litedramcore_csr_dfi_p3_wrdata_mask; end end always @(*) begin - soc_litedramcore_master_p3_odt <= 1'd0; + soc_litedramcore_master_p3_rddata_en <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p3_odt <= soc_litedramcore_slave_p3_odt; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_master_p3_rddata_en <= soc_litedramcore_ext_dfi_p3_rddata_en; + end else begin + soc_litedramcore_master_p3_rddata_en <= soc_litedramcore_slave_p3_rddata_en; + end end else begin - soc_litedramcore_master_p3_odt <= soc_litedramcore_inti_p3_odt; + soc_litedramcore_master_p3_rddata_en <= soc_litedramcore_csr_dfi_p3_rddata_en; end end always @(*) begin - soc_litedramcore_master_p3_reset_n <= 1'd0; + soc_litedramcore_csr_dfi_p0_rddata <= 32'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p3_reset_n <= soc_litedramcore_slave_p3_reset_n; end else begin - soc_litedramcore_master_p3_reset_n <= soc_litedramcore_inti_p3_reset_n; + soc_litedramcore_csr_dfi_p0_rddata <= soc_litedramcore_master_p0_rddata; end end always @(*) begin - soc_litedramcore_master_p3_act_n <= 1'd1; + soc_litedramcore_csr_dfi_p0_rddata_valid <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p3_act_n <= soc_litedramcore_slave_p3_act_n; end else begin - soc_litedramcore_master_p3_act_n <= soc_litedramcore_inti_p3_act_n; + soc_litedramcore_csr_dfi_p0_rddata_valid <= soc_litedramcore_master_p0_rddata_valid; end end always @(*) begin - soc_litedramcore_master_p3_wrdata <= 32'd0; + soc_litedramcore_csr_dfi_p1_rddata <= 32'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p3_wrdata <= soc_litedramcore_slave_p3_wrdata; end else begin - soc_litedramcore_master_p3_wrdata <= soc_litedramcore_inti_p3_wrdata; + soc_litedramcore_csr_dfi_p1_rddata <= soc_litedramcore_master_p1_rddata; end end always @(*) begin - soc_litedramcore_inti_p0_rddata <= 32'd0; + soc_litedramcore_csr_dfi_p1_rddata_valid <= 1'd0; if (soc_litedramcore_sel) begin end else begin - soc_litedramcore_inti_p0_rddata <= soc_litedramcore_master_p0_rddata; + soc_litedramcore_csr_dfi_p1_rddata_valid <= soc_litedramcore_master_p1_rddata_valid; end end always @(*) begin - soc_litedramcore_master_p3_wrdata_en <= 1'd0; + soc_litedramcore_csr_dfi_p2_rddata <= 32'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p3_wrdata_en <= soc_litedramcore_slave_p3_wrdata_en; end else begin - soc_litedramcore_master_p3_wrdata_en <= soc_litedramcore_inti_p3_wrdata_en; + soc_litedramcore_csr_dfi_p2_rddata <= soc_litedramcore_master_p2_rddata; end end always @(*) begin - soc_litedramcore_inti_p0_rddata_valid <= 1'd0; + soc_litedramcore_csr_dfi_p2_rddata_valid <= 1'd0; if (soc_litedramcore_sel) begin end else begin - soc_litedramcore_inti_p0_rddata_valid <= soc_litedramcore_master_p0_rddata_valid; + soc_litedramcore_csr_dfi_p2_rddata_valid <= soc_litedramcore_master_p2_rddata_valid; end end always @(*) begin - soc_litedramcore_master_p3_wrdata_mask <= 4'd0; + soc_litedramcore_csr_dfi_p3_rddata <= 32'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p3_wrdata_mask <= soc_litedramcore_slave_p3_wrdata_mask; end else begin - soc_litedramcore_master_p3_wrdata_mask <= soc_litedramcore_inti_p3_wrdata_mask; + soc_litedramcore_csr_dfi_p3_rddata <= soc_litedramcore_master_p3_rddata; end end always @(*) begin - soc_litedramcore_master_p3_rddata_en <= 1'd0; + soc_litedramcore_csr_dfi_p3_rddata_valid <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p3_rddata_en <= soc_litedramcore_slave_p3_rddata_en; end else begin - soc_litedramcore_master_p3_rddata_en <= soc_litedramcore_inti_p3_rddata_en; + soc_litedramcore_csr_dfi_p3_rddata_valid <= soc_litedramcore_master_p3_rddata_valid; end end always @(*) begin - soc_litedramcore_master_p0_address <= 14'd0; + soc_litedramcore_ext_dfi_p0_rddata <= 32'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p0_address <= soc_litedramcore_slave_p0_address; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_ext_dfi_p0_rddata <= soc_litedramcore_master_p0_rddata; + end else begin + end end else begin - soc_litedramcore_master_p0_address <= soc_litedramcore_inti_p0_address; end end always @(*) begin - soc_litedramcore_master_p0_bank <= 3'd0; + soc_litedramcore_ext_dfi_p0_rddata_valid <= 1'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p0_bank <= soc_litedramcore_slave_p0_bank; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_ext_dfi_p0_rddata_valid <= soc_litedramcore_master_p0_rddata_valid; + end else begin + end end else begin - soc_litedramcore_master_p0_bank <= soc_litedramcore_inti_p0_bank; end end always @(*) begin - soc_litedramcore_master_p0_cas_n <= 1'd1; + soc_litedramcore_ext_dfi_p1_rddata <= 32'd0; if (soc_litedramcore_sel) begin - soc_litedramcore_master_p0_cas_n <= soc_litedramcore_slave_p0_cas_n; + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_ext_dfi_p1_rddata <= soc_litedramcore_master_p1_rddata; + end else begin + end + end else begin + end +end +always @(*) begin + soc_litedramcore_ext_dfi_p1_rddata_valid <= 1'd0; + if (soc_litedramcore_sel) begin + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_ext_dfi_p1_rddata_valid <= soc_litedramcore_master_p1_rddata_valid; + end else begin + end + end else begin + end +end +always @(*) begin + soc_litedramcore_ext_dfi_p2_rddata <= 32'd0; + if (soc_litedramcore_sel) begin + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_ext_dfi_p2_rddata <= soc_litedramcore_master_p2_rddata; + end else begin + end + end else begin + end +end +always @(*) begin + soc_litedramcore_ext_dfi_p2_rddata_valid <= 1'd0; + if (soc_litedramcore_sel) begin + if (soc_litedramcore_ext_dfi_sel) begin + soc_litedramcore_ext_dfi_p2_rddata_valid <= soc_litedramcore_master_p2_rddata_valid; + end else begin + end + end else begin + end +end +always @(*) begin + soc_litedramcore_slave_p0_rddata <= 32'd0; + if (soc_litedramcore_sel) begin + if (soc_litedramcore_ext_dfi_sel) begin + end else begin + soc_litedramcore_slave_p0_rddata <= soc_litedramcore_master_p0_rddata; + end + end else begin + end +end +always @(*) begin + soc_litedramcore_slave_p0_rddata_valid <= 1'd0; + if (soc_litedramcore_sel) begin + if (soc_litedramcore_ext_dfi_sel) begin + end else begin + soc_litedramcore_slave_p0_rddata_valid <= soc_litedramcore_master_p0_rddata_valid; + end end else begin - soc_litedramcore_master_p0_cas_n <= soc_litedramcore_inti_p0_cas_n; end end -assign soc_litedramcore_inti_p0_cke = soc_litedramcore_cke; -assign soc_litedramcore_inti_p1_cke = soc_litedramcore_cke; -assign soc_litedramcore_inti_p2_cke = soc_litedramcore_cke; -assign soc_litedramcore_inti_p3_cke = soc_litedramcore_cke; -assign soc_litedramcore_inti_p0_odt = soc_litedramcore_odt; -assign soc_litedramcore_inti_p1_odt = soc_litedramcore_odt; -assign soc_litedramcore_inti_p2_odt = soc_litedramcore_odt; -assign soc_litedramcore_inti_p3_odt = soc_litedramcore_odt; -assign soc_litedramcore_inti_p0_reset_n = soc_litedramcore_reset_n; -assign soc_litedramcore_inti_p1_reset_n = soc_litedramcore_reset_n; -assign soc_litedramcore_inti_p2_reset_n = soc_litedramcore_reset_n; -assign soc_litedramcore_inti_p3_reset_n = soc_litedramcore_reset_n; +assign soc_litedramcore_csr_dfi_p0_cke = soc_litedramcore_cke; +assign soc_litedramcore_csr_dfi_p1_cke = soc_litedramcore_cke; +assign soc_litedramcore_csr_dfi_p2_cke = soc_litedramcore_cke; +assign soc_litedramcore_csr_dfi_p3_cke = soc_litedramcore_cke; +assign soc_litedramcore_csr_dfi_p0_odt = soc_litedramcore_odt; +assign soc_litedramcore_csr_dfi_p1_odt = soc_litedramcore_odt; +assign soc_litedramcore_csr_dfi_p2_odt = soc_litedramcore_odt; +assign soc_litedramcore_csr_dfi_p3_odt = soc_litedramcore_odt; +assign soc_litedramcore_csr_dfi_p0_reset_n = soc_litedramcore_reset_n; +assign soc_litedramcore_csr_dfi_p1_reset_n = soc_litedramcore_reset_n; +assign soc_litedramcore_csr_dfi_p2_reset_n = soc_litedramcore_reset_n; +assign soc_litedramcore_csr_dfi_p3_reset_n = soc_litedramcore_reset_n; always @(*) begin - soc_litedramcore_inti_p0_cs_n <= 1'd1; + soc_litedramcore_csr_dfi_p0_cs_n <= 1'd1; if (soc_litedramcore_phaseinjector0_command_issue_re) begin - soc_litedramcore_inti_p0_cs_n <= {1{(~soc_litedramcore_phaseinjector0_command_storage[0])}}; + soc_litedramcore_csr_dfi_p0_cs_n <= {1{(~soc_litedramcore_phaseinjector0_csrfield_cs)}}; end else begin - soc_litedramcore_inti_p0_cs_n <= {1{1'd1}}; + soc_litedramcore_csr_dfi_p0_cs_n <= {1{1'd1}}; end end always @(*) begin - soc_litedramcore_inti_p0_ras_n <= 1'd1; + soc_litedramcore_csr_dfi_p0_ras_n <= 1'd1; if (soc_litedramcore_phaseinjector0_command_issue_re) begin - soc_litedramcore_inti_p0_ras_n <= (~soc_litedramcore_phaseinjector0_command_storage[3]); + soc_litedramcore_csr_dfi_p0_ras_n <= (~soc_litedramcore_phaseinjector0_csrfield_ras); end else begin - soc_litedramcore_inti_p0_ras_n <= 1'd1; + soc_litedramcore_csr_dfi_p0_ras_n <= 1'd1; end end always @(*) begin - soc_litedramcore_inti_p0_we_n <= 1'd1; + soc_litedramcore_csr_dfi_p0_we_n <= 1'd1; if (soc_litedramcore_phaseinjector0_command_issue_re) begin - soc_litedramcore_inti_p0_we_n <= (~soc_litedramcore_phaseinjector0_command_storage[1]); + soc_litedramcore_csr_dfi_p0_we_n <= (~soc_litedramcore_phaseinjector0_csrfield_we); end else begin - soc_litedramcore_inti_p0_we_n <= 1'd1; + soc_litedramcore_csr_dfi_p0_we_n <= 1'd1; end end always @(*) begin - soc_litedramcore_inti_p0_cas_n <= 1'd1; + soc_litedramcore_csr_dfi_p0_cas_n <= 1'd1; if (soc_litedramcore_phaseinjector0_command_issue_re) begin - soc_litedramcore_inti_p0_cas_n <= (~soc_litedramcore_phaseinjector0_command_storage[2]); + soc_litedramcore_csr_dfi_p0_cas_n <= (~soc_litedramcore_phaseinjector0_csrfield_cas); end else begin - soc_litedramcore_inti_p0_cas_n <= 1'd1; + soc_litedramcore_csr_dfi_p0_cas_n <= 1'd1; end end -assign soc_litedramcore_inti_p0_address = soc_litedramcore_phaseinjector0_address_storage; -assign soc_litedramcore_inti_p0_bank = soc_litedramcore_phaseinjector0_baddress_storage; -assign soc_litedramcore_inti_p0_wrdata_en = (soc_litedramcore_phaseinjector0_command_issue_re & soc_litedramcore_phaseinjector0_command_storage[4]); -assign soc_litedramcore_inti_p0_rddata_en = (soc_litedramcore_phaseinjector0_command_issue_re & soc_litedramcore_phaseinjector0_command_storage[5]); -assign soc_litedramcore_inti_p0_wrdata = soc_litedramcore_phaseinjector0_wrdata_storage; -assign soc_litedramcore_inti_p0_wrdata_mask = 1'd0; +assign soc_litedramcore_csr_dfi_p0_address = soc_litedramcore_phaseinjector0_address_storage; +assign soc_litedramcore_csr_dfi_p0_bank = soc_litedramcore_phaseinjector0_baddress_storage; +assign soc_litedramcore_csr_dfi_p0_wrdata_en = (soc_litedramcore_phaseinjector0_command_issue_re & soc_litedramcore_phaseinjector0_csrfield_wren); +assign soc_litedramcore_csr_dfi_p0_rddata_en = (soc_litedramcore_phaseinjector0_command_issue_re & soc_litedramcore_phaseinjector0_csrfield_rden); +assign soc_litedramcore_csr_dfi_p0_wrdata = soc_litedramcore_phaseinjector0_wrdata_storage; +assign soc_litedramcore_csr_dfi_p0_wrdata_mask = 1'd0; always @(*) begin - soc_litedramcore_inti_p1_cs_n <= 1'd1; + soc_litedramcore_csr_dfi_p1_cs_n <= 1'd1; if (soc_litedramcore_phaseinjector1_command_issue_re) begin - soc_litedramcore_inti_p1_cs_n <= {1{(~soc_litedramcore_phaseinjector1_command_storage[0])}}; + soc_litedramcore_csr_dfi_p1_cs_n <= {1{(~soc_litedramcore_phaseinjector1_csrfield_cs)}}; end else begin - soc_litedramcore_inti_p1_cs_n <= {1{1'd1}}; + soc_litedramcore_csr_dfi_p1_cs_n <= {1{1'd1}}; end end always @(*) begin - soc_litedramcore_inti_p1_ras_n <= 1'd1; + soc_litedramcore_csr_dfi_p1_ras_n <= 1'd1; if (soc_litedramcore_phaseinjector1_command_issue_re) begin - soc_litedramcore_inti_p1_ras_n <= (~soc_litedramcore_phaseinjector1_command_storage[3]); + soc_litedramcore_csr_dfi_p1_ras_n <= (~soc_litedramcore_phaseinjector1_csrfield_ras); end else begin - soc_litedramcore_inti_p1_ras_n <= 1'd1; + soc_litedramcore_csr_dfi_p1_ras_n <= 1'd1; end end always @(*) begin - soc_litedramcore_inti_p1_we_n <= 1'd1; + soc_litedramcore_csr_dfi_p1_we_n <= 1'd1; if (soc_litedramcore_phaseinjector1_command_issue_re) begin - soc_litedramcore_inti_p1_we_n <= (~soc_litedramcore_phaseinjector1_command_storage[1]); + soc_litedramcore_csr_dfi_p1_we_n <= (~soc_litedramcore_phaseinjector1_csrfield_we); end else begin - soc_litedramcore_inti_p1_we_n <= 1'd1; + soc_litedramcore_csr_dfi_p1_we_n <= 1'd1; end end always @(*) begin - soc_litedramcore_inti_p1_cas_n <= 1'd1; + soc_litedramcore_csr_dfi_p1_cas_n <= 1'd1; if (soc_litedramcore_phaseinjector1_command_issue_re) begin - soc_litedramcore_inti_p1_cas_n <= (~soc_litedramcore_phaseinjector1_command_storage[2]); + soc_litedramcore_csr_dfi_p1_cas_n <= (~soc_litedramcore_phaseinjector1_csrfield_cas); end else begin - soc_litedramcore_inti_p1_cas_n <= 1'd1; + soc_litedramcore_csr_dfi_p1_cas_n <= 1'd1; end end -assign soc_litedramcore_inti_p1_address = soc_litedramcore_phaseinjector1_address_storage; -assign soc_litedramcore_inti_p1_bank = soc_litedramcore_phaseinjector1_baddress_storage; -assign soc_litedramcore_inti_p1_wrdata_en = (soc_litedramcore_phaseinjector1_command_issue_re & soc_litedramcore_phaseinjector1_command_storage[4]); -assign soc_litedramcore_inti_p1_rddata_en = (soc_litedramcore_phaseinjector1_command_issue_re & soc_litedramcore_phaseinjector1_command_storage[5]); -assign soc_litedramcore_inti_p1_wrdata = soc_litedramcore_phaseinjector1_wrdata_storage; -assign soc_litedramcore_inti_p1_wrdata_mask = 1'd0; +assign soc_litedramcore_csr_dfi_p1_address = soc_litedramcore_phaseinjector1_address_storage; +assign soc_litedramcore_csr_dfi_p1_bank = soc_litedramcore_phaseinjector1_baddress_storage; +assign soc_litedramcore_csr_dfi_p1_wrdata_en = (soc_litedramcore_phaseinjector1_command_issue_re & soc_litedramcore_phaseinjector1_csrfield_wren); +assign soc_litedramcore_csr_dfi_p1_rddata_en = (soc_litedramcore_phaseinjector1_command_issue_re & soc_litedramcore_phaseinjector1_csrfield_rden); +assign soc_litedramcore_csr_dfi_p1_wrdata = soc_litedramcore_phaseinjector1_wrdata_storage; +assign soc_litedramcore_csr_dfi_p1_wrdata_mask = 1'd0; always @(*) begin - soc_litedramcore_inti_p2_cs_n <= 1'd1; + soc_litedramcore_csr_dfi_p2_cs_n <= 1'd1; if (soc_litedramcore_phaseinjector2_command_issue_re) begin - soc_litedramcore_inti_p2_cs_n <= {1{(~soc_litedramcore_phaseinjector2_command_storage[0])}}; + soc_litedramcore_csr_dfi_p2_cs_n <= {1{(~soc_litedramcore_phaseinjector2_csrfield_cs)}}; end else begin - soc_litedramcore_inti_p2_cs_n <= {1{1'd1}}; + soc_litedramcore_csr_dfi_p2_cs_n <= {1{1'd1}}; end end always @(*) begin - soc_litedramcore_inti_p2_ras_n <= 1'd1; + soc_litedramcore_csr_dfi_p2_ras_n <= 1'd1; if (soc_litedramcore_phaseinjector2_command_issue_re) begin - soc_litedramcore_inti_p2_ras_n <= (~soc_litedramcore_phaseinjector2_command_storage[3]); + soc_litedramcore_csr_dfi_p2_ras_n <= (~soc_litedramcore_phaseinjector2_csrfield_ras); end else begin - soc_litedramcore_inti_p2_ras_n <= 1'd1; + soc_litedramcore_csr_dfi_p2_ras_n <= 1'd1; end end always @(*) begin - soc_litedramcore_inti_p2_we_n <= 1'd1; + soc_litedramcore_csr_dfi_p2_we_n <= 1'd1; if (soc_litedramcore_phaseinjector2_command_issue_re) begin - soc_litedramcore_inti_p2_we_n <= (~soc_litedramcore_phaseinjector2_command_storage[1]); + soc_litedramcore_csr_dfi_p2_we_n <= (~soc_litedramcore_phaseinjector2_csrfield_we); end else begin - soc_litedramcore_inti_p2_we_n <= 1'd1; + soc_litedramcore_csr_dfi_p2_we_n <= 1'd1; end end always @(*) begin - soc_litedramcore_inti_p2_cas_n <= 1'd1; + soc_litedramcore_csr_dfi_p2_cas_n <= 1'd1; if (soc_litedramcore_phaseinjector2_command_issue_re) begin - soc_litedramcore_inti_p2_cas_n <= (~soc_litedramcore_phaseinjector2_command_storage[2]); + soc_litedramcore_csr_dfi_p2_cas_n <= (~soc_litedramcore_phaseinjector2_csrfield_cas); end else begin - soc_litedramcore_inti_p2_cas_n <= 1'd1; + soc_litedramcore_csr_dfi_p2_cas_n <= 1'd1; end end -assign soc_litedramcore_inti_p2_address = soc_litedramcore_phaseinjector2_address_storage; -assign soc_litedramcore_inti_p2_bank = soc_litedramcore_phaseinjector2_baddress_storage; -assign soc_litedramcore_inti_p2_wrdata_en = (soc_litedramcore_phaseinjector2_command_issue_re & soc_litedramcore_phaseinjector2_command_storage[4]); -assign soc_litedramcore_inti_p2_rddata_en = (soc_litedramcore_phaseinjector2_command_issue_re & soc_litedramcore_phaseinjector2_command_storage[5]); -assign soc_litedramcore_inti_p2_wrdata = soc_litedramcore_phaseinjector2_wrdata_storage; -assign soc_litedramcore_inti_p2_wrdata_mask = 1'd0; +assign soc_litedramcore_csr_dfi_p2_address = soc_litedramcore_phaseinjector2_address_storage; +assign soc_litedramcore_csr_dfi_p2_bank = soc_litedramcore_phaseinjector2_baddress_storage; +assign soc_litedramcore_csr_dfi_p2_wrdata_en = (soc_litedramcore_phaseinjector2_command_issue_re & soc_litedramcore_phaseinjector2_csrfield_wren); +assign soc_litedramcore_csr_dfi_p2_rddata_en = (soc_litedramcore_phaseinjector2_command_issue_re & soc_litedramcore_phaseinjector2_csrfield_rden); +assign soc_litedramcore_csr_dfi_p2_wrdata = soc_litedramcore_phaseinjector2_wrdata_storage; +assign soc_litedramcore_csr_dfi_p2_wrdata_mask = 1'd0; always @(*) begin - soc_litedramcore_inti_p3_cs_n <= 1'd1; + soc_litedramcore_csr_dfi_p3_cs_n <= 1'd1; if (soc_litedramcore_phaseinjector3_command_issue_re) begin - soc_litedramcore_inti_p3_cs_n <= {1{(~soc_litedramcore_phaseinjector3_command_storage[0])}}; + soc_litedramcore_csr_dfi_p3_cs_n <= {1{(~soc_litedramcore_phaseinjector3_csrfield_cs)}}; end else begin - soc_litedramcore_inti_p3_cs_n <= {1{1'd1}}; + soc_litedramcore_csr_dfi_p3_cs_n <= {1{1'd1}}; end end always @(*) begin - soc_litedramcore_inti_p3_ras_n <= 1'd1; + soc_litedramcore_csr_dfi_p3_ras_n <= 1'd1; if (soc_litedramcore_phaseinjector3_command_issue_re) begin - soc_litedramcore_inti_p3_ras_n <= (~soc_litedramcore_phaseinjector3_command_storage[3]); + soc_litedramcore_csr_dfi_p3_ras_n <= (~soc_litedramcore_phaseinjector3_csrfield_ras); end else begin - soc_litedramcore_inti_p3_ras_n <= 1'd1; + soc_litedramcore_csr_dfi_p3_ras_n <= 1'd1; end end always @(*) begin - soc_litedramcore_inti_p3_we_n <= 1'd1; + soc_litedramcore_csr_dfi_p3_we_n <= 1'd1; if (soc_litedramcore_phaseinjector3_command_issue_re) begin - soc_litedramcore_inti_p3_we_n <= (~soc_litedramcore_phaseinjector3_command_storage[1]); + soc_litedramcore_csr_dfi_p3_we_n <= (~soc_litedramcore_phaseinjector3_csrfield_we); end else begin - soc_litedramcore_inti_p3_we_n <= 1'd1; + soc_litedramcore_csr_dfi_p3_we_n <= 1'd1; end end always @(*) begin - soc_litedramcore_inti_p3_cas_n <= 1'd1; + soc_litedramcore_csr_dfi_p3_cas_n <= 1'd1; if (soc_litedramcore_phaseinjector3_command_issue_re) begin - soc_litedramcore_inti_p3_cas_n <= (~soc_litedramcore_phaseinjector3_command_storage[2]); + soc_litedramcore_csr_dfi_p3_cas_n <= (~soc_litedramcore_phaseinjector3_csrfield_cas); end else begin - soc_litedramcore_inti_p3_cas_n <= 1'd1; + soc_litedramcore_csr_dfi_p3_cas_n <= 1'd1; end end -assign soc_litedramcore_inti_p3_address = soc_litedramcore_phaseinjector3_address_storage; -assign soc_litedramcore_inti_p3_bank = soc_litedramcore_phaseinjector3_baddress_storage; -assign soc_litedramcore_inti_p3_wrdata_en = (soc_litedramcore_phaseinjector3_command_issue_re & soc_litedramcore_phaseinjector3_command_storage[4]); -assign soc_litedramcore_inti_p3_rddata_en = (soc_litedramcore_phaseinjector3_command_issue_re & soc_litedramcore_phaseinjector3_command_storage[5]); -assign soc_litedramcore_inti_p3_wrdata = soc_litedramcore_phaseinjector3_wrdata_storage; -assign soc_litedramcore_inti_p3_wrdata_mask = 1'd0; +assign soc_litedramcore_csr_dfi_p3_address = soc_litedramcore_phaseinjector3_address_storage; +assign soc_litedramcore_csr_dfi_p3_bank = soc_litedramcore_phaseinjector3_baddress_storage; +assign soc_litedramcore_csr_dfi_p3_wrdata_en = (soc_litedramcore_phaseinjector3_command_issue_re & soc_litedramcore_phaseinjector3_csrfield_wren); +assign soc_litedramcore_csr_dfi_p3_rddata_en = (soc_litedramcore_phaseinjector3_command_issue_re & soc_litedramcore_phaseinjector3_csrfield_rden); +assign soc_litedramcore_csr_dfi_p3_wrdata = soc_litedramcore_phaseinjector3_wrdata_storage; +assign soc_litedramcore_csr_dfi_p3_wrdata_mask = 1'd0; assign soc_litedramcore_bankmachine0_req_valid = soc_litedramcore_interface_bank0_valid; assign soc_litedramcore_interface_bank0_ready = soc_litedramcore_bankmachine0_req_ready; assign soc_litedramcore_bankmachine0_req_we = soc_litedramcore_interface_bank0_we; @@ -4436,32 +4853,32 @@ assign soc_litedramcore_zqcs_timer_done1 = (soc_litedramcore_zqcs_timer_count1 = assign soc_litedramcore_zqcs_timer_done0 = soc_litedramcore_zqcs_timer_done1; assign soc_litedramcore_zqcs_timer_count0 = soc_litedramcore_zqcs_timer_count1; always @(*) begin - refresher_next_state <= 2'd0; - refresher_next_state <= refresher_state; - case (refresher_state) + litedramcore_refresher_next_state <= 2'd0; + litedramcore_refresher_next_state <= litedramcore_refresher_state; + case (litedramcore_refresher_state) 1'd1: begin if (soc_litedramcore_cmd_ready) begin - refresher_next_state <= 2'd2; + litedramcore_refresher_next_state <= 2'd2; end end 2'd2: begin if (soc_litedramcore_sequencer_done0) begin if (soc_litedramcore_wants_zqcs) begin - refresher_next_state <= 2'd3; + litedramcore_refresher_next_state <= 2'd3; end else begin - refresher_next_state <= 1'd0; + litedramcore_refresher_next_state <= 1'd0; end end end 2'd3: begin if (soc_litedramcore_zqcs_executer_done) begin - refresher_next_state <= 1'd0; + litedramcore_refresher_next_state <= 1'd0; end end default: begin if (1'd1) begin if (soc_litedramcore_wants_refresh) begin - refresher_next_state <= 1'd1; + litedramcore_refresher_next_state <= 1'd1; end end end @@ -4469,7 +4886,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_sequencer_start0 <= 1'd0; - case (refresher_state) + case (litedramcore_refresher_state) 1'd1: begin if (soc_litedramcore_cmd_ready) begin soc_litedramcore_sequencer_start0 <= 1'd1; @@ -4485,7 +4902,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_cmd_valid <= 1'd0; - case (refresher_state) + case (litedramcore_refresher_state) 1'd1: begin soc_litedramcore_cmd_valid <= 1'd1; end @@ -4510,7 +4927,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_zqcs_executer_start <= 1'd0; - case (refresher_state) + case (litedramcore_refresher_state) 1'd1: begin end 2'd2: begin @@ -4529,7 +4946,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_cmd_last <= 1'd0; - case (refresher_state) + case (litedramcore_refresher_state) 1'd1: begin end 2'd2: begin @@ -4616,69 +5033,121 @@ assign soc_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = ( assign soc_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (soc_litedramcore_bankmachine0_cmd_buffer_lookahead_level != 1'd0); assign soc_litedramcore_bankmachine0_cmd_buffer_sink_ready = ((~soc_litedramcore_bankmachine0_cmd_buffer_source_valid) | soc_litedramcore_bankmachine0_cmd_buffer_source_ready); always @(*) begin - bankmachine0_next_state <= 4'd0; - bankmachine0_next_state <= bankmachine0_state; - case (bankmachine0_state) + litedramcore_bankmachine0_next_state <= 4'd0; + litedramcore_bankmachine0_next_state <= litedramcore_bankmachine0_state; + case (litedramcore_bankmachine0_state) 1'd1: begin if ((soc_litedramcore_bankmachine0_twtpcon_ready & soc_litedramcore_bankmachine0_trascon_ready)) begin if (soc_litedramcore_bankmachine0_cmd_ready) begin - bankmachine0_next_state <= 3'd5; + litedramcore_bankmachine0_next_state <= 3'd5; end end end 2'd2: begin if ((soc_litedramcore_bankmachine0_twtpcon_ready & soc_litedramcore_bankmachine0_trascon_ready)) begin - bankmachine0_next_state <= 3'd5; + litedramcore_bankmachine0_next_state <= 3'd5; end end 2'd3: begin if (soc_litedramcore_bankmachine0_trccon_ready) begin if (soc_litedramcore_bankmachine0_cmd_ready) begin - bankmachine0_next_state <= 3'd7; + litedramcore_bankmachine0_next_state <= 3'd7; end end end 3'd4: begin if ((~soc_litedramcore_bankmachine0_refresh_req)) begin - bankmachine0_next_state <= 1'd0; + litedramcore_bankmachine0_next_state <= 1'd0; end end 3'd5: begin - bankmachine0_next_state <= 3'd6; + litedramcore_bankmachine0_next_state <= 3'd6; end 3'd6: begin - bankmachine0_next_state <= 2'd3; + litedramcore_bankmachine0_next_state <= 2'd3; end 3'd7: begin - bankmachine0_next_state <= 4'd8; + litedramcore_bankmachine0_next_state <= 4'd8; end 4'd8: begin - bankmachine0_next_state <= 1'd0; + litedramcore_bankmachine0_next_state <= 1'd0; end default: begin if (soc_litedramcore_bankmachine0_refresh_req) begin - bankmachine0_next_state <= 3'd4; + litedramcore_bankmachine0_next_state <= 3'd4; end else begin if (soc_litedramcore_bankmachine0_cmd_buffer_source_valid) begin if (soc_litedramcore_bankmachine0_row_opened) begin if (soc_litedramcore_bankmachine0_row_hit) begin if ((soc_litedramcore_bankmachine0_cmd_ready & soc_litedramcore_bankmachine0_auto_precharge)) begin - bankmachine0_next_state <= 2'd2; + litedramcore_bankmachine0_next_state <= 2'd2; end end else begin - bankmachine0_next_state <= 1'd1; + litedramcore_bankmachine0_next_state <= 1'd1; end end else begin - bankmachine0_next_state <= 2'd3; + litedramcore_bankmachine0_next_state <= 2'd3; end end end end endcase end +always @(*) begin + soc_litedramcore_bankmachine0_row_open <= 1'd0; + case (litedramcore_bankmachine0_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (soc_litedramcore_bankmachine0_trccon_ready) begin + soc_litedramcore_bankmachine0_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + soc_litedramcore_bankmachine0_row_close <= 1'd0; + case (litedramcore_bankmachine0_state) + 1'd1: begin + soc_litedramcore_bankmachine0_row_close <= 1'd1; + end + 2'd2: begin + soc_litedramcore_bankmachine0_row_close <= 1'd1; + end + 2'd3: begin + end + 3'd4: begin + soc_litedramcore_bankmachine0_row_close <= 1'd1; + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end always @(*) begin soc_litedramcore_bankmachine0_cmd_payload_cas <= 1'd0; - case (bankmachine0_state) + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4713,7 +5182,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine0_cmd_payload_ras <= 1'd0; - case (bankmachine0_state) + case (litedramcore_bankmachine0_state) 1'd1: begin if ((soc_litedramcore_bankmachine0_twtpcon_ready & soc_litedramcore_bankmachine0_trascon_ready)) begin soc_litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; @@ -4742,7 +5211,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine0_cmd_payload_we <= 1'd0; - case (bankmachine0_state) + case (litedramcore_bankmachine0_state) 1'd1: begin if ((soc_litedramcore_bankmachine0_twtpcon_ready & soc_litedramcore_bankmachine0_trascon_ready)) begin soc_litedramcore_bankmachine0_cmd_payload_we <= 1'd1; @@ -4783,7 +5252,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0; - case (bankmachine0_state) + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4809,7 +5278,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0; - case (bankmachine0_state) + case (litedramcore_bankmachine0_state) 1'd1: begin if ((soc_litedramcore_bankmachine0_twtpcon_ready & soc_litedramcore_bankmachine0_trascon_ready)) begin soc_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; @@ -4839,7 +5308,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0; - case (bankmachine0_state) + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4877,7 +5346,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0; - case (bankmachine0_state) + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4915,7 +5384,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine0_req_wdata_ready <= 1'd0; - case (bankmachine0_state) + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4953,82 +5422,12 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine0_req_rdata_valid <= 1'd0; - case (bankmachine0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - if (soc_litedramcore_bankmachine0_refresh_req) begin - end else begin - if (soc_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (soc_litedramcore_bankmachine0_row_opened) begin - if (soc_litedramcore_bankmachine0_row_hit) begin - if (soc_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - end else begin - soc_litedramcore_bankmachine0_req_rdata_valid <= soc_litedramcore_bankmachine0_cmd_ready; - end - end else begin - end - end else begin - end - end - end - end - endcase -end -always @(*) begin - soc_litedramcore_bankmachine0_refresh_gnt <= 1'd0; - case (bankmachine0_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - if (soc_litedramcore_bankmachine0_twtpcon_ready) begin - soc_litedramcore_bankmachine0_refresh_gnt <= 1'd1; - end - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - soc_litedramcore_bankmachine0_cmd_valid <= 1'd0; - case (bankmachine0_state) - 1'd1: begin - if ((soc_litedramcore_bankmachine0_twtpcon_ready & soc_litedramcore_bankmachine0_trascon_ready)) begin - soc_litedramcore_bankmachine0_cmd_valid <= 1'd1; - end + case (litedramcore_bankmachine0_state) + 1'd1: begin end 2'd2: begin end 2'd3: begin - if (soc_litedramcore_bankmachine0_trccon_ready) begin - soc_litedramcore_bankmachine0_cmd_valid <= 1'd1; - end end 3'd4: begin end @@ -5046,7 +5445,10 @@ always @(*) begin if (soc_litedramcore_bankmachine0_cmd_buffer_source_valid) begin if (soc_litedramcore_bankmachine0_row_opened) begin if (soc_litedramcore_bankmachine0_row_hit) begin - soc_litedramcore_bankmachine0_cmd_valid <= 1'd1; + if (soc_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + end else begin + soc_litedramcore_bankmachine0_req_rdata_valid <= soc_litedramcore_bankmachine0_cmd_ready; + end end else begin end end else begin @@ -5057,18 +5459,18 @@ always @(*) begin endcase end always @(*) begin - soc_litedramcore_bankmachine0_row_open <= 1'd0; - case (bankmachine0_state) + soc_litedramcore_bankmachine0_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (soc_litedramcore_bankmachine0_trccon_ready) begin - soc_litedramcore_bankmachine0_row_open <= 1'd1; - end end 3'd4: begin + if (soc_litedramcore_bankmachine0_twtpcon_ready) begin + soc_litedramcore_bankmachine0_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -5083,18 +5485,21 @@ always @(*) begin endcase end always @(*) begin - soc_litedramcore_bankmachine0_row_close <= 1'd0; - case (bankmachine0_state) + soc_litedramcore_bankmachine0_cmd_valid <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - soc_litedramcore_bankmachine0_row_close <= 1'd1; + if ((soc_litedramcore_bankmachine0_twtpcon_ready & soc_litedramcore_bankmachine0_trascon_ready)) begin + soc_litedramcore_bankmachine0_cmd_valid <= 1'd1; + end end 2'd2: begin - soc_litedramcore_bankmachine0_row_close <= 1'd1; end 2'd3: begin + if (soc_litedramcore_bankmachine0_trccon_ready) begin + soc_litedramcore_bankmachine0_cmd_valid <= 1'd1; + end end 3'd4: begin - soc_litedramcore_bankmachine0_row_close <= 1'd1; end 3'd5: begin end @@ -5105,6 +5510,18 @@ always @(*) begin 4'd8: begin end default: begin + if (soc_litedramcore_bankmachine0_refresh_req) begin + end else begin + if (soc_litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (soc_litedramcore_bankmachine0_row_opened) begin + if (soc_litedramcore_bankmachine0_row_hit) begin + soc_litedramcore_bankmachine0_cmd_valid <= 1'd1; + end else begin + end + end else begin + end + end + end end endcase end @@ -5175,69 +5592,121 @@ assign soc_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = ( assign soc_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (soc_litedramcore_bankmachine1_cmd_buffer_lookahead_level != 1'd0); assign soc_litedramcore_bankmachine1_cmd_buffer_sink_ready = ((~soc_litedramcore_bankmachine1_cmd_buffer_source_valid) | soc_litedramcore_bankmachine1_cmd_buffer_source_ready); always @(*) begin - bankmachine1_next_state <= 4'd0; - bankmachine1_next_state <= bankmachine1_state; - case (bankmachine1_state) + litedramcore_bankmachine1_next_state <= 4'd0; + litedramcore_bankmachine1_next_state <= litedramcore_bankmachine1_state; + case (litedramcore_bankmachine1_state) 1'd1: begin if ((soc_litedramcore_bankmachine1_twtpcon_ready & soc_litedramcore_bankmachine1_trascon_ready)) begin if (soc_litedramcore_bankmachine1_cmd_ready) begin - bankmachine1_next_state <= 3'd5; + litedramcore_bankmachine1_next_state <= 3'd5; end end end 2'd2: begin if ((soc_litedramcore_bankmachine1_twtpcon_ready & soc_litedramcore_bankmachine1_trascon_ready)) begin - bankmachine1_next_state <= 3'd5; + litedramcore_bankmachine1_next_state <= 3'd5; end end 2'd3: begin if (soc_litedramcore_bankmachine1_trccon_ready) begin if (soc_litedramcore_bankmachine1_cmd_ready) begin - bankmachine1_next_state <= 3'd7; + litedramcore_bankmachine1_next_state <= 3'd7; end end end 3'd4: begin if ((~soc_litedramcore_bankmachine1_refresh_req)) begin - bankmachine1_next_state <= 1'd0; + litedramcore_bankmachine1_next_state <= 1'd0; end end 3'd5: begin - bankmachine1_next_state <= 3'd6; + litedramcore_bankmachine1_next_state <= 3'd6; end 3'd6: begin - bankmachine1_next_state <= 2'd3; + litedramcore_bankmachine1_next_state <= 2'd3; end 3'd7: begin - bankmachine1_next_state <= 4'd8; + litedramcore_bankmachine1_next_state <= 4'd8; end 4'd8: begin - bankmachine1_next_state <= 1'd0; + litedramcore_bankmachine1_next_state <= 1'd0; end default: begin if (soc_litedramcore_bankmachine1_refresh_req) begin - bankmachine1_next_state <= 3'd4; + litedramcore_bankmachine1_next_state <= 3'd4; end else begin if (soc_litedramcore_bankmachine1_cmd_buffer_source_valid) begin if (soc_litedramcore_bankmachine1_row_opened) begin if (soc_litedramcore_bankmachine1_row_hit) begin if ((soc_litedramcore_bankmachine1_cmd_ready & soc_litedramcore_bankmachine1_auto_precharge)) begin - bankmachine1_next_state <= 2'd2; + litedramcore_bankmachine1_next_state <= 2'd2; end end else begin - bankmachine1_next_state <= 1'd1; + litedramcore_bankmachine1_next_state <= 1'd1; end end else begin - bankmachine1_next_state <= 2'd3; + litedramcore_bankmachine1_next_state <= 2'd3; end end end end endcase end +always @(*) begin + soc_litedramcore_bankmachine1_row_open <= 1'd0; + case (litedramcore_bankmachine1_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (soc_litedramcore_bankmachine1_trccon_ready) begin + soc_litedramcore_bankmachine1_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + soc_litedramcore_bankmachine1_row_close <= 1'd0; + case (litedramcore_bankmachine1_state) + 1'd1: begin + soc_litedramcore_bankmachine1_row_close <= 1'd1; + end + 2'd2: begin + soc_litedramcore_bankmachine1_row_close <= 1'd1; + end + 2'd3: begin + end + 3'd4: begin + soc_litedramcore_bankmachine1_row_close <= 1'd1; + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end always @(*) begin soc_litedramcore_bankmachine1_cmd_payload_cas <= 1'd0; - case (bankmachine1_state) + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5272,7 +5741,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine1_cmd_payload_ras <= 1'd0; - case (bankmachine1_state) + case (litedramcore_bankmachine1_state) 1'd1: begin if ((soc_litedramcore_bankmachine1_twtpcon_ready & soc_litedramcore_bankmachine1_trascon_ready)) begin soc_litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; @@ -5301,7 +5770,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine1_cmd_payload_we <= 1'd0; - case (bankmachine1_state) + case (litedramcore_bankmachine1_state) 1'd1: begin if ((soc_litedramcore_bankmachine1_twtpcon_ready & soc_litedramcore_bankmachine1_trascon_ready)) begin soc_litedramcore_bankmachine1_cmd_payload_we <= 1'd1; @@ -5342,7 +5811,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0; - case (bankmachine1_state) + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5368,7 +5837,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0; - case (bankmachine1_state) + case (litedramcore_bankmachine1_state) 1'd1: begin if ((soc_litedramcore_bankmachine1_twtpcon_ready & soc_litedramcore_bankmachine1_trascon_ready)) begin soc_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; @@ -5398,7 +5867,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0; - case (bankmachine1_state) + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5436,7 +5905,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0; - case (bankmachine1_state) + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5474,7 +5943,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine1_req_wdata_ready <= 1'd0; - case (bankmachine1_state) + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5512,7 +5981,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine1_req_rdata_valid <= 1'd0; - case (bankmachine1_state) + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5550,7 +6019,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine1_refresh_gnt <= 1'd0; - case (bankmachine1_state) + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5576,7 +6045,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine1_cmd_valid <= 1'd0; - case (bankmachine1_state) + case (litedramcore_bankmachine1_state) 1'd1: begin if ((soc_litedramcore_bankmachine1_twtpcon_ready & soc_litedramcore_bankmachine1_trascon_ready)) begin soc_litedramcore_bankmachine1_cmd_valid <= 1'd1; @@ -5615,58 +6084,6 @@ always @(*) begin end endcase end -always @(*) begin - soc_litedramcore_bankmachine1_row_open <= 1'd0; - case (bankmachine1_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (soc_litedramcore_bankmachine1_trccon_ready) begin - soc_litedramcore_bankmachine1_row_open <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - soc_litedramcore_bankmachine1_row_close <= 1'd0; - case (bankmachine1_state) - 1'd1: begin - soc_litedramcore_bankmachine1_row_close <= 1'd1; - end - 2'd2: begin - soc_litedramcore_bankmachine1_row_close <= 1'd1; - end - 2'd3: begin - end - 3'd4: begin - soc_litedramcore_bankmachine1_row_close <= 1'd1; - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end assign soc_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid = soc_litedramcore_bankmachine2_req_valid; assign soc_litedramcore_bankmachine2_req_ready = soc_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; assign soc_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we = soc_litedramcore_bankmachine2_req_we; @@ -5734,69 +6151,121 @@ assign soc_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = ( assign soc_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (soc_litedramcore_bankmachine2_cmd_buffer_lookahead_level != 1'd0); assign soc_litedramcore_bankmachine2_cmd_buffer_sink_ready = ((~soc_litedramcore_bankmachine2_cmd_buffer_source_valid) | soc_litedramcore_bankmachine2_cmd_buffer_source_ready); always @(*) begin - bankmachine2_next_state <= 4'd0; - bankmachine2_next_state <= bankmachine2_state; - case (bankmachine2_state) + litedramcore_bankmachine2_next_state <= 4'd0; + litedramcore_bankmachine2_next_state <= litedramcore_bankmachine2_state; + case (litedramcore_bankmachine2_state) 1'd1: begin if ((soc_litedramcore_bankmachine2_twtpcon_ready & soc_litedramcore_bankmachine2_trascon_ready)) begin if (soc_litedramcore_bankmachine2_cmd_ready) begin - bankmachine2_next_state <= 3'd5; + litedramcore_bankmachine2_next_state <= 3'd5; end end end 2'd2: begin if ((soc_litedramcore_bankmachine2_twtpcon_ready & soc_litedramcore_bankmachine2_trascon_ready)) begin - bankmachine2_next_state <= 3'd5; + litedramcore_bankmachine2_next_state <= 3'd5; end end 2'd3: begin if (soc_litedramcore_bankmachine2_trccon_ready) begin if (soc_litedramcore_bankmachine2_cmd_ready) begin - bankmachine2_next_state <= 3'd7; + litedramcore_bankmachine2_next_state <= 3'd7; end end end 3'd4: begin if ((~soc_litedramcore_bankmachine2_refresh_req)) begin - bankmachine2_next_state <= 1'd0; + litedramcore_bankmachine2_next_state <= 1'd0; end end 3'd5: begin - bankmachine2_next_state <= 3'd6; + litedramcore_bankmachine2_next_state <= 3'd6; end 3'd6: begin - bankmachine2_next_state <= 2'd3; + litedramcore_bankmachine2_next_state <= 2'd3; end 3'd7: begin - bankmachine2_next_state <= 4'd8; + litedramcore_bankmachine2_next_state <= 4'd8; end 4'd8: begin - bankmachine2_next_state <= 1'd0; + litedramcore_bankmachine2_next_state <= 1'd0; end default: begin if (soc_litedramcore_bankmachine2_refresh_req) begin - bankmachine2_next_state <= 3'd4; + litedramcore_bankmachine2_next_state <= 3'd4; end else begin if (soc_litedramcore_bankmachine2_cmd_buffer_source_valid) begin if (soc_litedramcore_bankmachine2_row_opened) begin if (soc_litedramcore_bankmachine2_row_hit) begin if ((soc_litedramcore_bankmachine2_cmd_ready & soc_litedramcore_bankmachine2_auto_precharge)) begin - bankmachine2_next_state <= 2'd2; + litedramcore_bankmachine2_next_state <= 2'd2; end end else begin - bankmachine2_next_state <= 1'd1; + litedramcore_bankmachine2_next_state <= 1'd1; end end else begin - bankmachine2_next_state <= 2'd3; + litedramcore_bankmachine2_next_state <= 2'd3; end end end end endcase end +always @(*) begin + soc_litedramcore_bankmachine2_row_open <= 1'd0; + case (litedramcore_bankmachine2_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (soc_litedramcore_bankmachine2_trccon_ready) begin + soc_litedramcore_bankmachine2_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + soc_litedramcore_bankmachine2_row_close <= 1'd0; + case (litedramcore_bankmachine2_state) + 1'd1: begin + soc_litedramcore_bankmachine2_row_close <= 1'd1; + end + 2'd2: begin + soc_litedramcore_bankmachine2_row_close <= 1'd1; + end + 2'd3: begin + end + 3'd4: begin + soc_litedramcore_bankmachine2_row_close <= 1'd1; + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end always @(*) begin soc_litedramcore_bankmachine2_cmd_payload_cas <= 1'd0; - case (bankmachine2_state) + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5831,7 +6300,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine2_cmd_payload_ras <= 1'd0; - case (bankmachine2_state) + case (litedramcore_bankmachine2_state) 1'd1: begin if ((soc_litedramcore_bankmachine2_twtpcon_ready & soc_litedramcore_bankmachine2_trascon_ready)) begin soc_litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; @@ -5860,7 +6329,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine2_cmd_payload_we <= 1'd0; - case (bankmachine2_state) + case (litedramcore_bankmachine2_state) 1'd1: begin if ((soc_litedramcore_bankmachine2_twtpcon_ready & soc_litedramcore_bankmachine2_trascon_ready)) begin soc_litedramcore_bankmachine2_cmd_payload_we <= 1'd1; @@ -5901,7 +6370,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0; - case (bankmachine2_state) + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5927,7 +6396,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0; - case (bankmachine2_state) + case (litedramcore_bankmachine2_state) 1'd1: begin if ((soc_litedramcore_bankmachine2_twtpcon_ready & soc_litedramcore_bankmachine2_trascon_ready)) begin soc_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; @@ -5957,7 +6426,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0; - case (bankmachine2_state) + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5995,7 +6464,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0; - case (bankmachine2_state) + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -6033,7 +6502,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine2_req_wdata_ready <= 1'd0; - case (bankmachine2_state) + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -6071,82 +6540,12 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine2_req_rdata_valid <= 1'd0; - case (bankmachine2_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - if (soc_litedramcore_bankmachine2_refresh_req) begin - end else begin - if (soc_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (soc_litedramcore_bankmachine2_row_opened) begin - if (soc_litedramcore_bankmachine2_row_hit) begin - if (soc_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - end else begin - soc_litedramcore_bankmachine2_req_rdata_valid <= soc_litedramcore_bankmachine2_cmd_ready; - end - end else begin - end - end else begin - end - end - end - end - endcase -end -always @(*) begin - soc_litedramcore_bankmachine2_refresh_gnt <= 1'd0; - case (bankmachine2_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - if (soc_litedramcore_bankmachine2_twtpcon_ready) begin - soc_litedramcore_bankmachine2_refresh_gnt <= 1'd1; - end - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - soc_litedramcore_bankmachine2_cmd_valid <= 1'd0; - case (bankmachine2_state) - 1'd1: begin - if ((soc_litedramcore_bankmachine2_twtpcon_ready & soc_litedramcore_bankmachine2_trascon_ready)) begin - soc_litedramcore_bankmachine2_cmd_valid <= 1'd1; - end + case (litedramcore_bankmachine2_state) + 1'd1: begin end 2'd2: begin end 2'd3: begin - if (soc_litedramcore_bankmachine2_trccon_ready) begin - soc_litedramcore_bankmachine2_cmd_valid <= 1'd1; - end end 3'd4: begin end @@ -6164,7 +6563,10 @@ always @(*) begin if (soc_litedramcore_bankmachine2_cmd_buffer_source_valid) begin if (soc_litedramcore_bankmachine2_row_opened) begin if (soc_litedramcore_bankmachine2_row_hit) begin - soc_litedramcore_bankmachine2_cmd_valid <= 1'd1; + if (soc_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + end else begin + soc_litedramcore_bankmachine2_req_rdata_valid <= soc_litedramcore_bankmachine2_cmd_ready; + end end else begin end end else begin @@ -6175,18 +6577,18 @@ always @(*) begin endcase end always @(*) begin - soc_litedramcore_bankmachine2_row_open <= 1'd0; - case (bankmachine2_state) + soc_litedramcore_bankmachine2_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (soc_litedramcore_bankmachine2_trccon_ready) begin - soc_litedramcore_bankmachine2_row_open <= 1'd1; - end end 3'd4: begin + if (soc_litedramcore_bankmachine2_twtpcon_ready) begin + soc_litedramcore_bankmachine2_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -6201,18 +6603,21 @@ always @(*) begin endcase end always @(*) begin - soc_litedramcore_bankmachine2_row_close <= 1'd0; - case (bankmachine2_state) + soc_litedramcore_bankmachine2_cmd_valid <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - soc_litedramcore_bankmachine2_row_close <= 1'd1; + if ((soc_litedramcore_bankmachine2_twtpcon_ready & soc_litedramcore_bankmachine2_trascon_ready)) begin + soc_litedramcore_bankmachine2_cmd_valid <= 1'd1; + end end 2'd2: begin - soc_litedramcore_bankmachine2_row_close <= 1'd1; end 2'd3: begin + if (soc_litedramcore_bankmachine2_trccon_ready) begin + soc_litedramcore_bankmachine2_cmd_valid <= 1'd1; + end end 3'd4: begin - soc_litedramcore_bankmachine2_row_close <= 1'd1; end 3'd5: begin end @@ -6223,6 +6628,18 @@ always @(*) begin 4'd8: begin end default: begin + if (soc_litedramcore_bankmachine2_refresh_req) begin + end else begin + if (soc_litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (soc_litedramcore_bankmachine2_row_opened) begin + if (soc_litedramcore_bankmachine2_row_hit) begin + soc_litedramcore_bankmachine2_cmd_valid <= 1'd1; + end else begin + end + end else begin + end + end + end end endcase end @@ -6293,69 +6710,121 @@ assign soc_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = ( assign soc_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (soc_litedramcore_bankmachine3_cmd_buffer_lookahead_level != 1'd0); assign soc_litedramcore_bankmachine3_cmd_buffer_sink_ready = ((~soc_litedramcore_bankmachine3_cmd_buffer_source_valid) | soc_litedramcore_bankmachine3_cmd_buffer_source_ready); always @(*) begin - bankmachine3_next_state <= 4'd0; - bankmachine3_next_state <= bankmachine3_state; - case (bankmachine3_state) + litedramcore_bankmachine3_next_state <= 4'd0; + litedramcore_bankmachine3_next_state <= litedramcore_bankmachine3_state; + case (litedramcore_bankmachine3_state) 1'd1: begin if ((soc_litedramcore_bankmachine3_twtpcon_ready & soc_litedramcore_bankmachine3_trascon_ready)) begin if (soc_litedramcore_bankmachine3_cmd_ready) begin - bankmachine3_next_state <= 3'd5; + litedramcore_bankmachine3_next_state <= 3'd5; end end end 2'd2: begin if ((soc_litedramcore_bankmachine3_twtpcon_ready & soc_litedramcore_bankmachine3_trascon_ready)) begin - bankmachine3_next_state <= 3'd5; + litedramcore_bankmachine3_next_state <= 3'd5; end end 2'd3: begin if (soc_litedramcore_bankmachine3_trccon_ready) begin if (soc_litedramcore_bankmachine3_cmd_ready) begin - bankmachine3_next_state <= 3'd7; + litedramcore_bankmachine3_next_state <= 3'd7; end end end 3'd4: begin if ((~soc_litedramcore_bankmachine3_refresh_req)) begin - bankmachine3_next_state <= 1'd0; + litedramcore_bankmachine3_next_state <= 1'd0; end end 3'd5: begin - bankmachine3_next_state <= 3'd6; + litedramcore_bankmachine3_next_state <= 3'd6; end 3'd6: begin - bankmachine3_next_state <= 2'd3; + litedramcore_bankmachine3_next_state <= 2'd3; end 3'd7: begin - bankmachine3_next_state <= 4'd8; + litedramcore_bankmachine3_next_state <= 4'd8; end 4'd8: begin - bankmachine3_next_state <= 1'd0; + litedramcore_bankmachine3_next_state <= 1'd0; end default: begin if (soc_litedramcore_bankmachine3_refresh_req) begin - bankmachine3_next_state <= 3'd4; + litedramcore_bankmachine3_next_state <= 3'd4; end else begin if (soc_litedramcore_bankmachine3_cmd_buffer_source_valid) begin if (soc_litedramcore_bankmachine3_row_opened) begin if (soc_litedramcore_bankmachine3_row_hit) begin if ((soc_litedramcore_bankmachine3_cmd_ready & soc_litedramcore_bankmachine3_auto_precharge)) begin - bankmachine3_next_state <= 2'd2; + litedramcore_bankmachine3_next_state <= 2'd2; end end else begin - bankmachine3_next_state <= 1'd1; + litedramcore_bankmachine3_next_state <= 1'd1; end end else begin - bankmachine3_next_state <= 2'd3; + litedramcore_bankmachine3_next_state <= 2'd3; end end end end endcase end +always @(*) begin + soc_litedramcore_bankmachine3_row_open <= 1'd0; + case (litedramcore_bankmachine3_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (soc_litedramcore_bankmachine3_trccon_ready) begin + soc_litedramcore_bankmachine3_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + soc_litedramcore_bankmachine3_row_close <= 1'd0; + case (litedramcore_bankmachine3_state) + 1'd1: begin + soc_litedramcore_bankmachine3_row_close <= 1'd1; + end + 2'd2: begin + soc_litedramcore_bankmachine3_row_close <= 1'd1; + end + 2'd3: begin + end + 3'd4: begin + soc_litedramcore_bankmachine3_row_close <= 1'd1; + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end always @(*) begin soc_litedramcore_bankmachine3_cmd_payload_cas <= 1'd0; - case (bankmachine3_state) + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6390,7 +6859,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine3_cmd_payload_ras <= 1'd0; - case (bankmachine3_state) + case (litedramcore_bankmachine3_state) 1'd1: begin if ((soc_litedramcore_bankmachine3_twtpcon_ready & soc_litedramcore_bankmachine3_trascon_ready)) begin soc_litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; @@ -6419,7 +6888,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine3_cmd_payload_we <= 1'd0; - case (bankmachine3_state) + case (litedramcore_bankmachine3_state) 1'd1: begin if ((soc_litedramcore_bankmachine3_twtpcon_ready & soc_litedramcore_bankmachine3_trascon_ready)) begin soc_litedramcore_bankmachine3_cmd_payload_we <= 1'd1; @@ -6460,7 +6929,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0; - case (bankmachine3_state) + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6486,7 +6955,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0; - case (bankmachine3_state) + case (litedramcore_bankmachine3_state) 1'd1: begin if ((soc_litedramcore_bankmachine3_twtpcon_ready & soc_litedramcore_bankmachine3_trascon_ready)) begin soc_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; @@ -6516,7 +6985,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0; - case (bankmachine3_state) + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6554,7 +7023,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0; - case (bankmachine3_state) + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6592,7 +7061,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine3_req_wdata_ready <= 1'd0; - case (bankmachine3_state) + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6630,7 +7099,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine3_req_rdata_valid <= 1'd0; - case (bankmachine3_state) + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6668,7 +7137,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine3_refresh_gnt <= 1'd0; - case (bankmachine3_state) + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6694,7 +7163,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine3_cmd_valid <= 1'd0; - case (bankmachine3_state) + case (litedramcore_bankmachine3_state) 1'd1: begin if ((soc_litedramcore_bankmachine3_twtpcon_ready & soc_litedramcore_bankmachine3_trascon_ready)) begin soc_litedramcore_bankmachine3_cmd_valid <= 1'd1; @@ -6733,58 +7202,6 @@ always @(*) begin end endcase end -always @(*) begin - soc_litedramcore_bankmachine3_row_open <= 1'd0; - case (bankmachine3_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (soc_litedramcore_bankmachine3_trccon_ready) begin - soc_litedramcore_bankmachine3_row_open <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - soc_litedramcore_bankmachine3_row_close <= 1'd0; - case (bankmachine3_state) - 1'd1: begin - soc_litedramcore_bankmachine3_row_close <= 1'd1; - end - 2'd2: begin - soc_litedramcore_bankmachine3_row_close <= 1'd1; - end - 2'd3: begin - end - 3'd4: begin - soc_litedramcore_bankmachine3_row_close <= 1'd1; - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end assign soc_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid = soc_litedramcore_bankmachine4_req_valid; assign soc_litedramcore_bankmachine4_req_ready = soc_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; assign soc_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we = soc_litedramcore_bankmachine4_req_we; @@ -6852,69 +7269,121 @@ assign soc_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = ( assign soc_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (soc_litedramcore_bankmachine4_cmd_buffer_lookahead_level != 1'd0); assign soc_litedramcore_bankmachine4_cmd_buffer_sink_ready = ((~soc_litedramcore_bankmachine4_cmd_buffer_source_valid) | soc_litedramcore_bankmachine4_cmd_buffer_source_ready); always @(*) begin - bankmachine4_next_state <= 4'd0; - bankmachine4_next_state <= bankmachine4_state; - case (bankmachine4_state) + litedramcore_bankmachine4_next_state <= 4'd0; + litedramcore_bankmachine4_next_state <= litedramcore_bankmachine4_state; + case (litedramcore_bankmachine4_state) 1'd1: begin if ((soc_litedramcore_bankmachine4_twtpcon_ready & soc_litedramcore_bankmachine4_trascon_ready)) begin if (soc_litedramcore_bankmachine4_cmd_ready) begin - bankmachine4_next_state <= 3'd5; + litedramcore_bankmachine4_next_state <= 3'd5; end end end 2'd2: begin if ((soc_litedramcore_bankmachine4_twtpcon_ready & soc_litedramcore_bankmachine4_trascon_ready)) begin - bankmachine4_next_state <= 3'd5; + litedramcore_bankmachine4_next_state <= 3'd5; end end 2'd3: begin if (soc_litedramcore_bankmachine4_trccon_ready) begin if (soc_litedramcore_bankmachine4_cmd_ready) begin - bankmachine4_next_state <= 3'd7; + litedramcore_bankmachine4_next_state <= 3'd7; end end end 3'd4: begin if ((~soc_litedramcore_bankmachine4_refresh_req)) begin - bankmachine4_next_state <= 1'd0; + litedramcore_bankmachine4_next_state <= 1'd0; end end 3'd5: begin - bankmachine4_next_state <= 3'd6; + litedramcore_bankmachine4_next_state <= 3'd6; end 3'd6: begin - bankmachine4_next_state <= 2'd3; + litedramcore_bankmachine4_next_state <= 2'd3; end 3'd7: begin - bankmachine4_next_state <= 4'd8; + litedramcore_bankmachine4_next_state <= 4'd8; end 4'd8: begin - bankmachine4_next_state <= 1'd0; + litedramcore_bankmachine4_next_state <= 1'd0; end default: begin if (soc_litedramcore_bankmachine4_refresh_req) begin - bankmachine4_next_state <= 3'd4; + litedramcore_bankmachine4_next_state <= 3'd4; end else begin if (soc_litedramcore_bankmachine4_cmd_buffer_source_valid) begin if (soc_litedramcore_bankmachine4_row_opened) begin if (soc_litedramcore_bankmachine4_row_hit) begin if ((soc_litedramcore_bankmachine4_cmd_ready & soc_litedramcore_bankmachine4_auto_precharge)) begin - bankmachine4_next_state <= 2'd2; + litedramcore_bankmachine4_next_state <= 2'd2; end end else begin - bankmachine4_next_state <= 1'd1; + litedramcore_bankmachine4_next_state <= 1'd1; end end else begin - bankmachine4_next_state <= 2'd3; + litedramcore_bankmachine4_next_state <= 2'd3; end end end end endcase end +always @(*) begin + soc_litedramcore_bankmachine4_row_open <= 1'd0; + case (litedramcore_bankmachine4_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (soc_litedramcore_bankmachine4_trccon_ready) begin + soc_litedramcore_bankmachine4_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + soc_litedramcore_bankmachine4_row_close <= 1'd0; + case (litedramcore_bankmachine4_state) + 1'd1: begin + soc_litedramcore_bankmachine4_row_close <= 1'd1; + end + 2'd2: begin + soc_litedramcore_bankmachine4_row_close <= 1'd1; + end + 2'd3: begin + end + 3'd4: begin + soc_litedramcore_bankmachine4_row_close <= 1'd1; + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end always @(*) begin soc_litedramcore_bankmachine4_cmd_payload_cas <= 1'd0; - case (bankmachine4_state) + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6949,7 +7418,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine4_cmd_payload_ras <= 1'd0; - case (bankmachine4_state) + case (litedramcore_bankmachine4_state) 1'd1: begin if ((soc_litedramcore_bankmachine4_twtpcon_ready & soc_litedramcore_bankmachine4_trascon_ready)) begin soc_litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; @@ -6978,7 +7447,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine4_cmd_payload_we <= 1'd0; - case (bankmachine4_state) + case (litedramcore_bankmachine4_state) 1'd1: begin if ((soc_litedramcore_bankmachine4_twtpcon_ready & soc_litedramcore_bankmachine4_trascon_ready)) begin soc_litedramcore_bankmachine4_cmd_payload_we <= 1'd1; @@ -7019,7 +7488,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0; - case (bankmachine4_state) + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -7045,7 +7514,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0; - case (bankmachine4_state) + case (litedramcore_bankmachine4_state) 1'd1: begin if ((soc_litedramcore_bankmachine4_twtpcon_ready & soc_litedramcore_bankmachine4_trascon_ready)) begin soc_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; @@ -7075,7 +7544,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0; - case (bankmachine4_state) + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -7113,7 +7582,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0; - case (bankmachine4_state) + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -7151,7 +7620,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine4_req_wdata_ready <= 1'd0; - case (bankmachine4_state) + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -7189,82 +7658,12 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine4_req_rdata_valid <= 1'd0; - case (bankmachine4_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - if (soc_litedramcore_bankmachine4_refresh_req) begin - end else begin - if (soc_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (soc_litedramcore_bankmachine4_row_opened) begin - if (soc_litedramcore_bankmachine4_row_hit) begin - if (soc_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - end else begin - soc_litedramcore_bankmachine4_req_rdata_valid <= soc_litedramcore_bankmachine4_cmd_ready; - end - end else begin - end - end else begin - end - end - end - end - endcase -end -always @(*) begin - soc_litedramcore_bankmachine4_refresh_gnt <= 1'd0; - case (bankmachine4_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - if (soc_litedramcore_bankmachine4_twtpcon_ready) begin - soc_litedramcore_bankmachine4_refresh_gnt <= 1'd1; - end - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - soc_litedramcore_bankmachine4_cmd_valid <= 1'd0; - case (bankmachine4_state) - 1'd1: begin - if ((soc_litedramcore_bankmachine4_twtpcon_ready & soc_litedramcore_bankmachine4_trascon_ready)) begin - soc_litedramcore_bankmachine4_cmd_valid <= 1'd1; - end + case (litedramcore_bankmachine4_state) + 1'd1: begin end 2'd2: begin end 2'd3: begin - if (soc_litedramcore_bankmachine4_trccon_ready) begin - soc_litedramcore_bankmachine4_cmd_valid <= 1'd1; - end end 3'd4: begin end @@ -7282,7 +7681,10 @@ always @(*) begin if (soc_litedramcore_bankmachine4_cmd_buffer_source_valid) begin if (soc_litedramcore_bankmachine4_row_opened) begin if (soc_litedramcore_bankmachine4_row_hit) begin - soc_litedramcore_bankmachine4_cmd_valid <= 1'd1; + if (soc_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + end else begin + soc_litedramcore_bankmachine4_req_rdata_valid <= soc_litedramcore_bankmachine4_cmd_ready; + end end else begin end end else begin @@ -7293,18 +7695,18 @@ always @(*) begin endcase end always @(*) begin - soc_litedramcore_bankmachine4_row_open <= 1'd0; - case (bankmachine4_state) + soc_litedramcore_bankmachine4_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (soc_litedramcore_bankmachine4_trccon_ready) begin - soc_litedramcore_bankmachine4_row_open <= 1'd1; - end end 3'd4: begin + if (soc_litedramcore_bankmachine4_twtpcon_ready) begin + soc_litedramcore_bankmachine4_refresh_gnt <= 1'd1; + end end 3'd5: begin end @@ -7319,18 +7721,21 @@ always @(*) begin endcase end always @(*) begin - soc_litedramcore_bankmachine4_row_close <= 1'd0; - case (bankmachine4_state) + soc_litedramcore_bankmachine4_cmd_valid <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - soc_litedramcore_bankmachine4_row_close <= 1'd1; + if ((soc_litedramcore_bankmachine4_twtpcon_ready & soc_litedramcore_bankmachine4_trascon_ready)) begin + soc_litedramcore_bankmachine4_cmd_valid <= 1'd1; + end end 2'd2: begin - soc_litedramcore_bankmachine4_row_close <= 1'd1; end 2'd3: begin + if (soc_litedramcore_bankmachine4_trccon_ready) begin + soc_litedramcore_bankmachine4_cmd_valid <= 1'd1; + end end 3'd4: begin - soc_litedramcore_bankmachine4_row_close <= 1'd1; end 3'd5: begin end @@ -7341,6 +7746,18 @@ always @(*) begin 4'd8: begin end default: begin + if (soc_litedramcore_bankmachine4_refresh_req) begin + end else begin + if (soc_litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (soc_litedramcore_bankmachine4_row_opened) begin + if (soc_litedramcore_bankmachine4_row_hit) begin + soc_litedramcore_bankmachine4_cmd_valid <= 1'd1; + end else begin + end + end else begin + end + end + end end endcase end @@ -7411,69 +7828,121 @@ assign soc_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = ( assign soc_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (soc_litedramcore_bankmachine5_cmd_buffer_lookahead_level != 1'd0); assign soc_litedramcore_bankmachine5_cmd_buffer_sink_ready = ((~soc_litedramcore_bankmachine5_cmd_buffer_source_valid) | soc_litedramcore_bankmachine5_cmd_buffer_source_ready); always @(*) begin - bankmachine5_next_state <= 4'd0; - bankmachine5_next_state <= bankmachine5_state; - case (bankmachine5_state) + litedramcore_bankmachine5_next_state <= 4'd0; + litedramcore_bankmachine5_next_state <= litedramcore_bankmachine5_state; + case (litedramcore_bankmachine5_state) 1'd1: begin if ((soc_litedramcore_bankmachine5_twtpcon_ready & soc_litedramcore_bankmachine5_trascon_ready)) begin if (soc_litedramcore_bankmachine5_cmd_ready) begin - bankmachine5_next_state <= 3'd5; + litedramcore_bankmachine5_next_state <= 3'd5; end end end 2'd2: begin if ((soc_litedramcore_bankmachine5_twtpcon_ready & soc_litedramcore_bankmachine5_trascon_ready)) begin - bankmachine5_next_state <= 3'd5; + litedramcore_bankmachine5_next_state <= 3'd5; end end 2'd3: begin if (soc_litedramcore_bankmachine5_trccon_ready) begin if (soc_litedramcore_bankmachine5_cmd_ready) begin - bankmachine5_next_state <= 3'd7; + litedramcore_bankmachine5_next_state <= 3'd7; end end end 3'd4: begin if ((~soc_litedramcore_bankmachine5_refresh_req)) begin - bankmachine5_next_state <= 1'd0; + litedramcore_bankmachine5_next_state <= 1'd0; end end 3'd5: begin - bankmachine5_next_state <= 3'd6; + litedramcore_bankmachine5_next_state <= 3'd6; end 3'd6: begin - bankmachine5_next_state <= 2'd3; + litedramcore_bankmachine5_next_state <= 2'd3; end 3'd7: begin - bankmachine5_next_state <= 4'd8; + litedramcore_bankmachine5_next_state <= 4'd8; end 4'd8: begin - bankmachine5_next_state <= 1'd0; + litedramcore_bankmachine5_next_state <= 1'd0; end default: begin if (soc_litedramcore_bankmachine5_refresh_req) begin - bankmachine5_next_state <= 3'd4; + litedramcore_bankmachine5_next_state <= 3'd4; end else begin if (soc_litedramcore_bankmachine5_cmd_buffer_source_valid) begin if (soc_litedramcore_bankmachine5_row_opened) begin if (soc_litedramcore_bankmachine5_row_hit) begin if ((soc_litedramcore_bankmachine5_cmd_ready & soc_litedramcore_bankmachine5_auto_precharge)) begin - bankmachine5_next_state <= 2'd2; + litedramcore_bankmachine5_next_state <= 2'd2; end end else begin - bankmachine5_next_state <= 1'd1; + litedramcore_bankmachine5_next_state <= 1'd1; end end else begin - bankmachine5_next_state <= 2'd3; + litedramcore_bankmachine5_next_state <= 2'd3; end end end end endcase end +always @(*) begin + soc_litedramcore_bankmachine5_row_open <= 1'd0; + case (litedramcore_bankmachine5_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (soc_litedramcore_bankmachine5_trccon_ready) begin + soc_litedramcore_bankmachine5_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + soc_litedramcore_bankmachine5_row_close <= 1'd0; + case (litedramcore_bankmachine5_state) + 1'd1: begin + soc_litedramcore_bankmachine5_row_close <= 1'd1; + end + 2'd2: begin + soc_litedramcore_bankmachine5_row_close <= 1'd1; + end + 2'd3: begin + end + 3'd4: begin + soc_litedramcore_bankmachine5_row_close <= 1'd1; + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end always @(*) begin soc_litedramcore_bankmachine5_cmd_payload_cas <= 1'd0; - case (bankmachine5_state) + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7508,7 +7977,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine5_cmd_payload_ras <= 1'd0; - case (bankmachine5_state) + case (litedramcore_bankmachine5_state) 1'd1: begin if ((soc_litedramcore_bankmachine5_twtpcon_ready & soc_litedramcore_bankmachine5_trascon_ready)) begin soc_litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; @@ -7537,7 +8006,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine5_cmd_payload_we <= 1'd0; - case (bankmachine5_state) + case (litedramcore_bankmachine5_state) 1'd1: begin if ((soc_litedramcore_bankmachine5_twtpcon_ready & soc_litedramcore_bankmachine5_trascon_ready)) begin soc_litedramcore_bankmachine5_cmd_payload_we <= 1'd1; @@ -7578,7 +8047,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0; - case (bankmachine5_state) + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7604,7 +8073,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0; - case (bankmachine5_state) + case (litedramcore_bankmachine5_state) 1'd1: begin if ((soc_litedramcore_bankmachine5_twtpcon_ready & soc_litedramcore_bankmachine5_trascon_ready)) begin soc_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; @@ -7634,7 +8103,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0; - case (bankmachine5_state) + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7672,7 +8141,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0; - case (bankmachine5_state) + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7710,7 +8179,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine5_req_wdata_ready <= 1'd0; - case (bankmachine5_state) + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7748,7 +8217,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine5_req_rdata_valid <= 1'd0; - case (bankmachine5_state) + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7786,7 +8255,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine5_refresh_gnt <= 1'd0; - case (bankmachine5_state) + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7812,7 +8281,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine5_cmd_valid <= 1'd0; - case (bankmachine5_state) + case (litedramcore_bankmachine5_state) 1'd1: begin if ((soc_litedramcore_bankmachine5_twtpcon_ready & soc_litedramcore_bankmachine5_trascon_ready)) begin soc_litedramcore_bankmachine5_cmd_valid <= 1'd1; @@ -7851,58 +8320,6 @@ always @(*) begin end endcase end -always @(*) begin - soc_litedramcore_bankmachine5_row_open <= 1'd0; - case (bankmachine5_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (soc_litedramcore_bankmachine5_trccon_ready) begin - soc_litedramcore_bankmachine5_row_open <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - soc_litedramcore_bankmachine5_row_close <= 1'd0; - case (bankmachine5_state) - 1'd1: begin - soc_litedramcore_bankmachine5_row_close <= 1'd1; - end - 2'd2: begin - soc_litedramcore_bankmachine5_row_close <= 1'd1; - end - 2'd3: begin - end - 3'd4: begin - soc_litedramcore_bankmachine5_row_close <= 1'd1; - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end assign soc_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid = soc_litedramcore_bankmachine6_req_valid; assign soc_litedramcore_bankmachine6_req_ready = soc_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; assign soc_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we = soc_litedramcore_bankmachine6_req_we; @@ -7970,69 +8387,121 @@ assign soc_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = ( assign soc_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (soc_litedramcore_bankmachine6_cmd_buffer_lookahead_level != 1'd0); assign soc_litedramcore_bankmachine6_cmd_buffer_sink_ready = ((~soc_litedramcore_bankmachine6_cmd_buffer_source_valid) | soc_litedramcore_bankmachine6_cmd_buffer_source_ready); always @(*) begin - bankmachine6_next_state <= 4'd0; - bankmachine6_next_state <= bankmachine6_state; - case (bankmachine6_state) + litedramcore_bankmachine6_next_state <= 4'd0; + litedramcore_bankmachine6_next_state <= litedramcore_bankmachine6_state; + case (litedramcore_bankmachine6_state) 1'd1: begin if ((soc_litedramcore_bankmachine6_twtpcon_ready & soc_litedramcore_bankmachine6_trascon_ready)) begin if (soc_litedramcore_bankmachine6_cmd_ready) begin - bankmachine6_next_state <= 3'd5; + litedramcore_bankmachine6_next_state <= 3'd5; end end end 2'd2: begin if ((soc_litedramcore_bankmachine6_twtpcon_ready & soc_litedramcore_bankmachine6_trascon_ready)) begin - bankmachine6_next_state <= 3'd5; + litedramcore_bankmachine6_next_state <= 3'd5; end end 2'd3: begin if (soc_litedramcore_bankmachine6_trccon_ready) begin if (soc_litedramcore_bankmachine6_cmd_ready) begin - bankmachine6_next_state <= 3'd7; + litedramcore_bankmachine6_next_state <= 3'd7; end end end 3'd4: begin if ((~soc_litedramcore_bankmachine6_refresh_req)) begin - bankmachine6_next_state <= 1'd0; + litedramcore_bankmachine6_next_state <= 1'd0; end end 3'd5: begin - bankmachine6_next_state <= 3'd6; + litedramcore_bankmachine6_next_state <= 3'd6; end 3'd6: begin - bankmachine6_next_state <= 2'd3; + litedramcore_bankmachine6_next_state <= 2'd3; end 3'd7: begin - bankmachine6_next_state <= 4'd8; + litedramcore_bankmachine6_next_state <= 4'd8; end 4'd8: begin - bankmachine6_next_state <= 1'd0; + litedramcore_bankmachine6_next_state <= 1'd0; end default: begin if (soc_litedramcore_bankmachine6_refresh_req) begin - bankmachine6_next_state <= 3'd4; + litedramcore_bankmachine6_next_state <= 3'd4; end else begin if (soc_litedramcore_bankmachine6_cmd_buffer_source_valid) begin if (soc_litedramcore_bankmachine6_row_opened) begin if (soc_litedramcore_bankmachine6_row_hit) begin if ((soc_litedramcore_bankmachine6_cmd_ready & soc_litedramcore_bankmachine6_auto_precharge)) begin - bankmachine6_next_state <= 2'd2; + litedramcore_bankmachine6_next_state <= 2'd2; end end else begin - bankmachine6_next_state <= 1'd1; + litedramcore_bankmachine6_next_state <= 1'd1; end end else begin - bankmachine6_next_state <= 2'd3; + litedramcore_bankmachine6_next_state <= 2'd3; end end end end endcase end +always @(*) begin + soc_litedramcore_bankmachine6_row_open <= 1'd0; + case (litedramcore_bankmachine6_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (soc_litedramcore_bankmachine6_trccon_ready) begin + soc_litedramcore_bankmachine6_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + soc_litedramcore_bankmachine6_row_close <= 1'd0; + case (litedramcore_bankmachine6_state) + 1'd1: begin + soc_litedramcore_bankmachine6_row_close <= 1'd1; + end + 2'd2: begin + soc_litedramcore_bankmachine6_row_close <= 1'd1; + end + 2'd3: begin + end + 3'd4: begin + soc_litedramcore_bankmachine6_row_close <= 1'd1; + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end always @(*) begin soc_litedramcore_bankmachine6_cmd_payload_cas <= 1'd0; - case (bankmachine6_state) + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -8067,7 +8536,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine6_cmd_payload_ras <= 1'd0; - case (bankmachine6_state) + case (litedramcore_bankmachine6_state) 1'd1: begin if ((soc_litedramcore_bankmachine6_twtpcon_ready & soc_litedramcore_bankmachine6_trascon_ready)) begin soc_litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; @@ -8096,7 +8565,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine6_cmd_payload_we <= 1'd0; - case (bankmachine6_state) + case (litedramcore_bankmachine6_state) 1'd1: begin if ((soc_litedramcore_bankmachine6_twtpcon_ready & soc_litedramcore_bankmachine6_trascon_ready)) begin soc_litedramcore_bankmachine6_cmd_payload_we <= 1'd1; @@ -8137,7 +8606,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0; - case (bankmachine6_state) + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -8163,7 +8632,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0; - case (bankmachine6_state) + case (litedramcore_bankmachine6_state) 1'd1: begin if ((soc_litedramcore_bankmachine6_twtpcon_ready & soc_litedramcore_bankmachine6_trascon_ready)) begin soc_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; @@ -8193,7 +8662,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0; - case (bankmachine6_state) + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -8231,7 +8700,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0; - case (bankmachine6_state) + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -8269,7 +8738,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine6_req_wdata_ready <= 1'd0; - case (bankmachine6_state) + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -8307,7 +8776,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine6_req_rdata_valid <= 1'd0; - case (bankmachine6_state) + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -8345,7 +8814,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine6_refresh_gnt <= 1'd0; - case (bankmachine6_state) + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -8371,84 +8840,20 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine6_cmd_valid <= 1'd0; - case (bankmachine6_state) - 1'd1: begin - if ((soc_litedramcore_bankmachine6_twtpcon_ready & soc_litedramcore_bankmachine6_trascon_ready)) begin - soc_litedramcore_bankmachine6_cmd_valid <= 1'd1; - end - end - 2'd2: begin - end - 2'd3: begin - if (soc_litedramcore_bankmachine6_trccon_ready) begin - soc_litedramcore_bankmachine6_cmd_valid <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - if (soc_litedramcore_bankmachine6_refresh_req) begin - end else begin - if (soc_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (soc_litedramcore_bankmachine6_row_opened) begin - if (soc_litedramcore_bankmachine6_row_hit) begin - soc_litedramcore_bankmachine6_cmd_valid <= 1'd1; - end else begin - end - end else begin - end - end - end - end - endcase -end -always @(*) begin - soc_litedramcore_bankmachine6_row_open <= 1'd0; - case (bankmachine6_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (soc_litedramcore_bankmachine6_trccon_ready) begin - soc_litedramcore_bankmachine6_row_open <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - soc_litedramcore_bankmachine6_row_close <= 1'd0; - case (bankmachine6_state) + case (litedramcore_bankmachine6_state) 1'd1: begin - soc_litedramcore_bankmachine6_row_close <= 1'd1; + if ((soc_litedramcore_bankmachine6_twtpcon_ready & soc_litedramcore_bankmachine6_trascon_ready)) begin + soc_litedramcore_bankmachine6_cmd_valid <= 1'd1; + end end 2'd2: begin - soc_litedramcore_bankmachine6_row_close <= 1'd1; end 2'd3: begin + if (soc_litedramcore_bankmachine6_trccon_ready) begin + soc_litedramcore_bankmachine6_cmd_valid <= 1'd1; + end end 3'd4: begin - soc_litedramcore_bankmachine6_row_close <= 1'd1; end 3'd5: begin end @@ -8459,6 +8864,18 @@ always @(*) begin 4'd8: begin end default: begin + if (soc_litedramcore_bankmachine6_refresh_req) begin + end else begin + if (soc_litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (soc_litedramcore_bankmachine6_row_opened) begin + if (soc_litedramcore_bankmachine6_row_hit) begin + soc_litedramcore_bankmachine6_cmd_valid <= 1'd1; + end else begin + end + end else begin + end + end + end end endcase end @@ -8529,69 +8946,121 @@ assign soc_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = ( assign soc_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (soc_litedramcore_bankmachine7_cmd_buffer_lookahead_level != 1'd0); assign soc_litedramcore_bankmachine7_cmd_buffer_sink_ready = ((~soc_litedramcore_bankmachine7_cmd_buffer_source_valid) | soc_litedramcore_bankmachine7_cmd_buffer_source_ready); always @(*) begin - bankmachine7_next_state <= 4'd0; - bankmachine7_next_state <= bankmachine7_state; - case (bankmachine7_state) + litedramcore_bankmachine7_next_state <= 4'd0; + litedramcore_bankmachine7_next_state <= litedramcore_bankmachine7_state; + case (litedramcore_bankmachine7_state) 1'd1: begin if ((soc_litedramcore_bankmachine7_twtpcon_ready & soc_litedramcore_bankmachine7_trascon_ready)) begin if (soc_litedramcore_bankmachine7_cmd_ready) begin - bankmachine7_next_state <= 3'd5; + litedramcore_bankmachine7_next_state <= 3'd5; end end end 2'd2: begin if ((soc_litedramcore_bankmachine7_twtpcon_ready & soc_litedramcore_bankmachine7_trascon_ready)) begin - bankmachine7_next_state <= 3'd5; + litedramcore_bankmachine7_next_state <= 3'd5; end end 2'd3: begin if (soc_litedramcore_bankmachine7_trccon_ready) begin if (soc_litedramcore_bankmachine7_cmd_ready) begin - bankmachine7_next_state <= 3'd7; + litedramcore_bankmachine7_next_state <= 3'd7; end end end 3'd4: begin if ((~soc_litedramcore_bankmachine7_refresh_req)) begin - bankmachine7_next_state <= 1'd0; + litedramcore_bankmachine7_next_state <= 1'd0; end end 3'd5: begin - bankmachine7_next_state <= 3'd6; + litedramcore_bankmachine7_next_state <= 3'd6; end 3'd6: begin - bankmachine7_next_state <= 2'd3; + litedramcore_bankmachine7_next_state <= 2'd3; end 3'd7: begin - bankmachine7_next_state <= 4'd8; + litedramcore_bankmachine7_next_state <= 4'd8; end 4'd8: begin - bankmachine7_next_state <= 1'd0; + litedramcore_bankmachine7_next_state <= 1'd0; end default: begin if (soc_litedramcore_bankmachine7_refresh_req) begin - bankmachine7_next_state <= 3'd4; + litedramcore_bankmachine7_next_state <= 3'd4; end else begin if (soc_litedramcore_bankmachine7_cmd_buffer_source_valid) begin if (soc_litedramcore_bankmachine7_row_opened) begin if (soc_litedramcore_bankmachine7_row_hit) begin if ((soc_litedramcore_bankmachine7_cmd_ready & soc_litedramcore_bankmachine7_auto_precharge)) begin - bankmachine7_next_state <= 2'd2; + litedramcore_bankmachine7_next_state <= 2'd2; end end else begin - bankmachine7_next_state <= 1'd1; + litedramcore_bankmachine7_next_state <= 1'd1; end end else begin - bankmachine7_next_state <= 2'd3; + litedramcore_bankmachine7_next_state <= 2'd3; end end end end endcase end +always @(*) begin + soc_litedramcore_bankmachine7_row_open <= 1'd0; + case (litedramcore_bankmachine7_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + if (soc_litedramcore_bankmachine7_trccon_ready) begin + soc_litedramcore_bankmachine7_row_open <= 1'd1; + end + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + soc_litedramcore_bankmachine7_row_close <= 1'd0; + case (litedramcore_bankmachine7_state) + 1'd1: begin + soc_litedramcore_bankmachine7_row_close <= 1'd1; + end + 2'd2: begin + soc_litedramcore_bankmachine7_row_close <= 1'd1; + end + 2'd3: begin + end + 3'd4: begin + soc_litedramcore_bankmachine7_row_close <= 1'd1; + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end always @(*) begin soc_litedramcore_bankmachine7_cmd_payload_cas <= 1'd0; - case (bankmachine7_state) + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8626,7 +9095,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine7_cmd_payload_ras <= 1'd0; - case (bankmachine7_state) + case (litedramcore_bankmachine7_state) 1'd1: begin if ((soc_litedramcore_bankmachine7_twtpcon_ready & soc_litedramcore_bankmachine7_trascon_ready)) begin soc_litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; @@ -8655,7 +9124,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine7_cmd_payload_we <= 1'd0; - case (bankmachine7_state) + case (litedramcore_bankmachine7_state) 1'd1: begin if ((soc_litedramcore_bankmachine7_twtpcon_ready & soc_litedramcore_bankmachine7_trascon_ready)) begin soc_litedramcore_bankmachine7_cmd_payload_we <= 1'd1; @@ -8696,7 +9165,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0; - case (bankmachine7_state) + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8722,7 +9191,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0; - case (bankmachine7_state) + case (litedramcore_bankmachine7_state) 1'd1: begin if ((soc_litedramcore_bankmachine7_twtpcon_ready & soc_litedramcore_bankmachine7_trascon_ready)) begin soc_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; @@ -8752,7 +9221,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0; - case (bankmachine7_state) + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8790,7 +9259,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0; - case (bankmachine7_state) + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8828,7 +9297,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine7_req_wdata_ready <= 1'd0; - case (bankmachine7_state) + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8866,7 +9335,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine7_req_rdata_valid <= 1'd0; - case (bankmachine7_state) + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8904,7 +9373,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine7_refresh_gnt <= 1'd0; - case (bankmachine7_state) + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8930,7 +9399,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_bankmachine7_cmd_valid <= 1'd0; - case (bankmachine7_state) + case (litedramcore_bankmachine7_state) 1'd1: begin if ((soc_litedramcore_bankmachine7_twtpcon_ready & soc_litedramcore_bankmachine7_trascon_ready)) begin soc_litedramcore_bankmachine7_cmd_valid <= 1'd1; @@ -8969,58 +9438,6 @@ always @(*) begin end endcase end -always @(*) begin - soc_litedramcore_bankmachine7_row_open <= 1'd0; - case (bankmachine7_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - if (soc_litedramcore_bankmachine7_trccon_ready) begin - soc_litedramcore_bankmachine7_row_open <= 1'd1; - end - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - soc_litedramcore_bankmachine7_row_close <= 1'd0; - case (bankmachine7_state) - 1'd1: begin - soc_litedramcore_bankmachine7_row_close <= 1'd1; - end - 2'd2: begin - soc_litedramcore_bankmachine7_row_close <= 1'd1; - end - 2'd3: begin - end - 3'd4: begin - soc_litedramcore_bankmachine7_row_close <= 1'd1; - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end assign soc_litedramcore_trrdcon_valid = ((soc_litedramcore_choose_cmd_cmd_valid & soc_litedramcore_choose_cmd_cmd_ready) & ((soc_litedramcore_choose_cmd_cmd_payload_ras & (~soc_litedramcore_choose_cmd_cmd_payload_cas)) & (~soc_litedramcore_choose_cmd_cmd_payload_we))); assign soc_litedramcore_tfawcon_valid = ((soc_litedramcore_choose_cmd_cmd_valid & soc_litedramcore_choose_cmd_cmd_ready) & ((soc_litedramcore_choose_cmd_cmd_payload_ras & (~soc_litedramcore_choose_cmd_cmd_payload_cas)) & (~soc_litedramcore_choose_cmd_cmd_payload_we))); assign soc_litedramcore_ras_allowed = (soc_litedramcore_trrdcon_ready & soc_litedramcore_tfawcon_ready); @@ -9209,65 +9626,102 @@ assign soc_litedramcore_dfi_p3_cke = {1{soc_litedramcore_steerer6}}; assign soc_litedramcore_dfi_p3_odt = {1{soc_litedramcore_steerer7}}; assign soc_litedramcore_tfawcon_count = ((((soc_litedramcore_tfawcon_window[0] + soc_litedramcore_tfawcon_window[1]) + soc_litedramcore_tfawcon_window[2]) + soc_litedramcore_tfawcon_window[3]) + soc_litedramcore_tfawcon_window[4]); always @(*) begin - multiplexer_next_state <= 4'd0; - multiplexer_next_state <= multiplexer_state; - case (multiplexer_state) + litedramcore_multiplexer_next_state <= 4'd0; + litedramcore_multiplexer_next_state <= litedramcore_multiplexer_state; + case (litedramcore_multiplexer_state) 1'd1: begin if (soc_litedramcore_read_available) begin if (((~soc_litedramcore_write_available) | soc_litedramcore_max_time1)) begin - multiplexer_next_state <= 2'd3; + litedramcore_multiplexer_next_state <= 2'd3; end end if (soc_litedramcore_go_to_refresh) begin - multiplexer_next_state <= 2'd2; + litedramcore_multiplexer_next_state <= 2'd2; end end 2'd2: begin if (soc_litedramcore_cmd_last) begin - multiplexer_next_state <= 1'd0; + litedramcore_multiplexer_next_state <= 1'd0; end end 2'd3: begin if (soc_litedramcore_twtrcon_ready) begin - multiplexer_next_state <= 1'd0; + litedramcore_multiplexer_next_state <= 1'd0; end end 3'd4: begin - multiplexer_next_state <= 3'd5; + litedramcore_multiplexer_next_state <= 3'd5; end 3'd5: begin - multiplexer_next_state <= 3'd6; + litedramcore_multiplexer_next_state <= 3'd6; end 3'd6: begin - multiplexer_next_state <= 3'd7; + litedramcore_multiplexer_next_state <= 3'd7; end 3'd7: begin - multiplexer_next_state <= 4'd8; + litedramcore_multiplexer_next_state <= 4'd8; end 4'd8: begin - multiplexer_next_state <= 4'd9; + litedramcore_multiplexer_next_state <= 4'd9; end 4'd9: begin - multiplexer_next_state <= 4'd10; + litedramcore_multiplexer_next_state <= 4'd10; end 4'd10: begin - multiplexer_next_state <= 1'd1; + litedramcore_multiplexer_next_state <= 1'd1; end default: begin if (soc_litedramcore_write_available) begin if (((~soc_litedramcore_read_available) | soc_litedramcore_max_time0)) begin - multiplexer_next_state <= 3'd4; + litedramcore_multiplexer_next_state <= 3'd4; end end if (soc_litedramcore_go_to_refresh) begin - multiplexer_next_state <= 2'd2; + litedramcore_multiplexer_next_state <= 2'd2; + end + end + endcase +end +always @(*) begin + soc_litedramcore_choose_req_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) + 1'd1: begin + if (1'd0) begin + soc_litedramcore_choose_req_cmd_ready <= (soc_litedramcore_cas_allowed & ((~((soc_litedramcore_choose_req_cmd_payload_ras & (~soc_litedramcore_choose_req_cmd_payload_cas)) & (~soc_litedramcore_choose_req_cmd_payload_we))) | soc_litedramcore_ras_allowed)); + end else begin + soc_litedramcore_choose_req_cmd_ready <= soc_litedramcore_cas_allowed; + end + end + 2'd2: begin + end + 2'd3: begin + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + 4'd9: begin + end + 4'd10: begin + end + default: begin + if (1'd0) begin + soc_litedramcore_choose_req_cmd_ready <= (soc_litedramcore_cas_allowed & ((~((soc_litedramcore_choose_req_cmd_payload_ras & (~soc_litedramcore_choose_req_cmd_payload_cas)) & (~soc_litedramcore_choose_req_cmd_payload_we))) | soc_litedramcore_ras_allowed)); + end else begin + soc_litedramcore_choose_req_cmd_ready <= soc_litedramcore_cas_allowed; end end endcase end always @(*) begin soc_litedramcore_en1 <= 1'd0; - case (multiplexer_state) + case (litedramcore_multiplexer_state) 1'd1: begin soc_litedramcore_en1 <= 1'd1; end @@ -9295,7 +9749,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_steerer_sel0 <= 2'd0; - case (multiplexer_state) + case (litedramcore_multiplexer_state) 1'd1: begin soc_litedramcore_steerer_sel0 <= 1'd0; if (1'd0) begin @@ -9337,7 +9791,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_steerer_sel1 <= 2'd0; - case (multiplexer_state) + case (litedramcore_multiplexer_state) 1'd1: begin soc_litedramcore_steerer_sel1 <= 1'd0; if (1'd0) begin @@ -9378,7 +9832,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_steerer_sel2 <= 2'd0; - case (multiplexer_state) + case (litedramcore_multiplexer_state) 1'd1: begin soc_litedramcore_steerer_sel2 <= 1'd0; if (1'd0) begin @@ -9419,7 +9873,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_choose_cmd_want_activates <= 1'd0; - case (multiplexer_state) + case (litedramcore_multiplexer_state) 1'd1: begin if (1'd0) begin end else begin @@ -9454,7 +9908,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_steerer_sel3 <= 2'd0; - case (multiplexer_state) + case (litedramcore_multiplexer_state) 1'd1: begin soc_litedramcore_steerer_sel3 <= 1'd0; if (1'd1) begin @@ -9495,7 +9949,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_en0 <= 1'd0; - case (multiplexer_state) + case (litedramcore_multiplexer_state) 1'd1: begin end 2'd2: begin @@ -9523,7 +9977,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_cmd_ready <= 1'd0; - case (multiplexer_state) + case (litedramcore_multiplexer_state) 1'd1: begin end 2'd2: begin @@ -9551,7 +10005,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_choose_cmd_cmd_ready <= 1'd0; - case (multiplexer_state) + case (litedramcore_multiplexer_state) 1'd1: begin if (1'd0) begin end else begin @@ -9586,7 +10040,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_choose_req_want_reads <= 1'd0; - case (multiplexer_state) + case (litedramcore_multiplexer_state) 1'd1: begin end 2'd2: begin @@ -9614,7 +10068,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_choose_req_want_writes <= 1'd0; - case (multiplexer_state) + case (litedramcore_multiplexer_state) 1'd1: begin soc_litedramcore_choose_req_want_writes <= 1'd1; end @@ -9640,89 +10094,52 @@ always @(*) begin end endcase end -always @(*) begin - soc_litedramcore_choose_req_cmd_ready <= 1'd0; - case (multiplexer_state) - 1'd1: begin - if (1'd0) begin - soc_litedramcore_choose_req_cmd_ready <= (soc_litedramcore_cas_allowed & ((~((soc_litedramcore_choose_req_cmd_payload_ras & (~soc_litedramcore_choose_req_cmd_payload_cas)) & (~soc_litedramcore_choose_req_cmd_payload_we))) | soc_litedramcore_ras_allowed)); - end else begin - soc_litedramcore_choose_req_cmd_ready <= soc_litedramcore_cas_allowed; - end - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - 4'd9: begin - end - 4'd10: begin - end - default: begin - if (1'd0) begin - soc_litedramcore_choose_req_cmd_ready <= (soc_litedramcore_cas_allowed & ((~((soc_litedramcore_choose_req_cmd_payload_ras & (~soc_litedramcore_choose_req_cmd_payload_cas)) & (~soc_litedramcore_choose_req_cmd_payload_we))) | soc_litedramcore_ras_allowed)); - end else begin - soc_litedramcore_choose_req_cmd_ready <= soc_litedramcore_cas_allowed; - end - end - endcase -end -assign roundrobin0_request = {(((soc_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((locked0 | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid)}; -assign roundrobin0_ce = ((~soc_litedramcore_interface_bank0_valid) & (~soc_litedramcore_interface_bank0_lock)); +assign litedramcore_roundrobin0_request = {(((soc_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid)}; +assign litedramcore_roundrobin0_ce = ((~soc_litedramcore_interface_bank0_valid) & (~soc_litedramcore_interface_bank0_lock)); assign soc_litedramcore_interface_bank0_addr = rhs_array_muxed12; assign soc_litedramcore_interface_bank0_we = rhs_array_muxed13; assign soc_litedramcore_interface_bank0_valid = rhs_array_muxed14; -assign roundrobin1_request = {(((soc_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((locked1 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid)}; -assign roundrobin1_ce = ((~soc_litedramcore_interface_bank1_valid) & (~soc_litedramcore_interface_bank1_lock)); +assign litedramcore_roundrobin1_request = {(((soc_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid)}; +assign litedramcore_roundrobin1_ce = ((~soc_litedramcore_interface_bank1_valid) & (~soc_litedramcore_interface_bank1_lock)); assign soc_litedramcore_interface_bank1_addr = rhs_array_muxed15; assign soc_litedramcore_interface_bank1_we = rhs_array_muxed16; assign soc_litedramcore_interface_bank1_valid = rhs_array_muxed17; -assign roundrobin2_request = {(((soc_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((locked2 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid)}; -assign roundrobin2_ce = ((~soc_litedramcore_interface_bank2_valid) & (~soc_litedramcore_interface_bank2_lock)); +assign litedramcore_roundrobin2_request = {(((soc_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid)}; +assign litedramcore_roundrobin2_ce = ((~soc_litedramcore_interface_bank2_valid) & (~soc_litedramcore_interface_bank2_lock)); assign soc_litedramcore_interface_bank2_addr = rhs_array_muxed18; assign soc_litedramcore_interface_bank2_we = rhs_array_muxed19; assign soc_litedramcore_interface_bank2_valid = rhs_array_muxed20; -assign roundrobin3_request = {(((soc_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((locked3 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid)}; -assign roundrobin3_ce = ((~soc_litedramcore_interface_bank3_valid) & (~soc_litedramcore_interface_bank3_lock)); +assign litedramcore_roundrobin3_request = {(((soc_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid)}; +assign litedramcore_roundrobin3_ce = ((~soc_litedramcore_interface_bank3_valid) & (~soc_litedramcore_interface_bank3_lock)); assign soc_litedramcore_interface_bank3_addr = rhs_array_muxed21; assign soc_litedramcore_interface_bank3_we = rhs_array_muxed22; assign soc_litedramcore_interface_bank3_valid = rhs_array_muxed23; -assign roundrobin4_request = {(((soc_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((locked4 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid)}; -assign roundrobin4_ce = ((~soc_litedramcore_interface_bank4_valid) & (~soc_litedramcore_interface_bank4_lock)); +assign litedramcore_roundrobin4_request = {(((soc_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid)}; +assign litedramcore_roundrobin4_ce = ((~soc_litedramcore_interface_bank4_valid) & (~soc_litedramcore_interface_bank4_lock)); assign soc_litedramcore_interface_bank4_addr = rhs_array_muxed24; assign soc_litedramcore_interface_bank4_we = rhs_array_muxed25; assign soc_litedramcore_interface_bank4_valid = rhs_array_muxed26; -assign roundrobin5_request = {(((soc_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((locked5 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid)}; -assign roundrobin5_ce = ((~soc_litedramcore_interface_bank5_valid) & (~soc_litedramcore_interface_bank5_lock)); +assign litedramcore_roundrobin5_request = {(((soc_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid)}; +assign litedramcore_roundrobin5_ce = ((~soc_litedramcore_interface_bank5_valid) & (~soc_litedramcore_interface_bank5_lock)); assign soc_litedramcore_interface_bank5_addr = rhs_array_muxed27; assign soc_litedramcore_interface_bank5_we = rhs_array_muxed28; assign soc_litedramcore_interface_bank5_valid = rhs_array_muxed29; -assign roundrobin6_request = {(((soc_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((locked6 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid)}; -assign roundrobin6_ce = ((~soc_litedramcore_interface_bank6_valid) & (~soc_litedramcore_interface_bank6_lock)); +assign litedramcore_roundrobin6_request = {(((soc_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid)}; +assign litedramcore_roundrobin6_ce = ((~soc_litedramcore_interface_bank6_valid) & (~soc_litedramcore_interface_bank6_lock)); assign soc_litedramcore_interface_bank6_addr = rhs_array_muxed30; assign soc_litedramcore_interface_bank6_we = rhs_array_muxed31; assign soc_litedramcore_interface_bank6_valid = rhs_array_muxed32; -assign roundrobin7_request = {(((soc_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((locked7 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))))) & soc_user_port_cmd_valid)}; -assign roundrobin7_ce = ((~soc_litedramcore_interface_bank7_valid) & (~soc_litedramcore_interface_bank7_lock)); +assign litedramcore_roundrobin7_request = {(((soc_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))))) & soc_user_port_cmd_valid)}; +assign litedramcore_roundrobin7_ce = ((~soc_litedramcore_interface_bank7_valid) & (~soc_litedramcore_interface_bank7_lock)); assign soc_litedramcore_interface_bank7_addr = rhs_array_muxed33; assign soc_litedramcore_interface_bank7_we = rhs_array_muxed34; assign soc_litedramcore_interface_bank7_valid = rhs_array_muxed35; -assign soc_user_port_cmd_ready = ((((((((1'd0 | (((roundrobin0_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((locked0 | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & soc_litedramcore_interface_bank0_ready)) | (((roundrobin1_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((locked1 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & soc_litedramcore_interface_bank1_ready)) | (((roundrobin2_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((locked2 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & soc_litedramcore_interface_bank2_ready)) | (((roundrobin3_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((locked3 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & soc_litedramcore_interface_bank3_ready)) | (((roundrobin4_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((locked4 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & soc_litedramcore_interface_bank4_ready)) | (((roundrobin5_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((locked5 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & soc_litedramcore_interface_bank5_ready)) | (((roundrobin6_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((locked6 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & soc_litedramcore_interface_bank6_ready)) | (((roundrobin7_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((locked7 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0)))))) & soc_litedramcore_interface_bank7_ready)); -assign soc_user_port_wdata_ready = new_master_wdata_ready1; -assign soc_user_port_rdata_valid = new_master_rdata_valid8; +assign soc_user_port_cmd_ready = ((((((((1'd0 | (((litedramcore_roundrobin0_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & soc_litedramcore_interface_bank0_ready)) | (((litedramcore_roundrobin1_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & soc_litedramcore_interface_bank1_ready)) | (((litedramcore_roundrobin2_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & soc_litedramcore_interface_bank2_ready)) | (((litedramcore_roundrobin3_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & soc_litedramcore_interface_bank3_ready)) | (((litedramcore_roundrobin4_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & soc_litedramcore_interface_bank4_ready)) | (((litedramcore_roundrobin5_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & soc_litedramcore_interface_bank5_ready)) | (((litedramcore_roundrobin6_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & soc_litedramcore_interface_bank6_ready)) | (((litedramcore_roundrobin7_grant == 1'd0) & ((soc_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0)))))) & soc_litedramcore_interface_bank7_ready)); +assign soc_user_port_wdata_ready = litedramcore_new_master_wdata_ready1; +assign soc_user_port_rdata_valid = litedramcore_new_master_rdata_valid8; always @(*) begin soc_litedramcore_interface_wdata <= 128'd0; - case ({new_master_wdata_ready1}) + case ({litedramcore_new_master_wdata_ready1}) 1'd1: begin soc_litedramcore_interface_wdata <= soc_user_port_wdata_payload_data; end @@ -9733,7 +10150,7 @@ always @(*) begin end always @(*) begin soc_litedramcore_interface_wdata_we <= 16'd0; - case ({new_master_wdata_ready1}) + case ({litedramcore_new_master_wdata_ready1}) 1'd1: begin soc_litedramcore_interface_wdata_we <= soc_user_port_wdata_payload_we; end @@ -9743,136 +10160,136 @@ always @(*) begin endcase end assign soc_user_port_rdata_payload_data = soc_litedramcore_interface_rdata; -assign roundrobin0_grant = 1'd0; -assign roundrobin1_grant = 1'd0; -assign roundrobin2_grant = 1'd0; -assign roundrobin3_grant = 1'd0; -assign roundrobin4_grant = 1'd0; -assign roundrobin5_grant = 1'd0; -assign roundrobin6_grant = 1'd0; -assign roundrobin7_grant = 1'd0; +assign litedramcore_roundrobin0_grant = 1'd0; +assign litedramcore_roundrobin1_grant = 1'd0; +assign litedramcore_roundrobin2_grant = 1'd0; +assign litedramcore_roundrobin3_grant = 1'd0; +assign litedramcore_roundrobin4_grant = 1'd0; +assign litedramcore_roundrobin5_grant = 1'd0; +assign litedramcore_roundrobin6_grant = 1'd0; +assign litedramcore_roundrobin7_grant = 1'd0; always @(*) begin - next_state <= 2'd0; - next_state <= state; - case (state) + litedramcore_next_state <= 2'd0; + litedramcore_next_state <= litedramcore_state; + case (litedramcore_state) 1'd1: begin - next_state <= 2'd2; + litedramcore_next_state <= 2'd2; end 2'd2: begin - next_state <= 1'd0; + litedramcore_next_state <= 1'd0; end default: begin if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin - next_state <= 1'd1; + litedramcore_next_state <= 1'd1; end end endcase end always @(*) begin - litedramcore_adr_next_value1 <= 14'd0; - case (state) + litedramcore_dat_w_next_value0 <= 32'd0; + case (litedramcore_state) 1'd1: begin - litedramcore_adr_next_value1 <= 1'd0; end 2'd2: begin end default: begin - if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin - litedramcore_adr_next_value1 <= litedramcore_wishbone_adr; - end + litedramcore_dat_w_next_value0 <= litedramcore_wishbone_dat_w; end endcase end always @(*) begin - litedramcore_adr_next_value_ce1 <= 1'd0; - case (state) + litedramcore_dat_w_next_value_ce0 <= 1'd0; + case (litedramcore_state) 1'd1: begin - litedramcore_adr_next_value_ce1 <= 1'd1; end 2'd2: begin end default: begin - if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin - litedramcore_adr_next_value_ce1 <= 1'd1; - end + litedramcore_dat_w_next_value_ce0 <= 1'd1; end endcase end always @(*) begin - litedramcore_wishbone_dat_r <= 32'd0; - case (state) + litedramcore_wishbone_ack <= 1'd0; + case (litedramcore_state) 1'd1: begin end 2'd2: begin - litedramcore_wishbone_dat_r <= litedramcore_dat_r; + litedramcore_wishbone_ack <= 1'd1; end default: begin end endcase end always @(*) begin - litedramcore_we_next_value2 <= 1'd0; - case (state) + litedramcore_adr_next_value1 <= 14'd0; + case (litedramcore_state) 1'd1: begin - litedramcore_we_next_value2 <= 1'd0; + litedramcore_adr_next_value1 <= 1'd0; end 2'd2: begin end default: begin if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin - litedramcore_we_next_value2 <= (litedramcore_wishbone_we & (litedramcore_wishbone_sel != 1'd0)); + litedramcore_adr_next_value1 <= litedramcore_wishbone_adr; end end endcase end always @(*) begin - litedramcore_we_next_value_ce2 <= 1'd0; - case (state) + litedramcore_adr_next_value_ce1 <= 1'd0; + case (litedramcore_state) 1'd1: begin - litedramcore_we_next_value_ce2 <= 1'd1; + litedramcore_adr_next_value_ce1 <= 1'd1; end 2'd2: begin end default: begin if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin - litedramcore_we_next_value_ce2 <= 1'd1; + litedramcore_adr_next_value_ce1 <= 1'd1; end end endcase end always @(*) begin - litedramcore_wishbone_ack <= 1'd0; - case (state) + litedramcore_we_next_value2 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_we_next_value2 <= 1'd0; end 2'd2: begin - litedramcore_wishbone_ack <= 1'd1; end default: begin + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_we_next_value2 <= (litedramcore_wishbone_we & (litedramcore_wishbone_sel != 1'd0)); + end end endcase end always @(*) begin - litedramcore_dat_w_next_value0 <= 32'd0; - case (state) + litedramcore_we_next_value_ce2 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_we_next_value_ce2 <= 1'd1; end 2'd2: begin end default: begin - litedramcore_dat_w_next_value0 <= litedramcore_wishbone_dat_w; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_we_next_value_ce2 <= 1'd1; + end end endcase end always @(*) begin - litedramcore_dat_w_next_value_ce0 <= 1'd0; - case (state) + litedramcore_wishbone_dat_r <= 32'd0; + case (litedramcore_state) 1'd1: begin end 2'd2: begin + litedramcore_wishbone_dat_r <= litedramcore_dat_r; end default: begin - litedramcore_dat_w_next_value_ce0 <= 1'd1; end endcase end @@ -10023,15 +10440,15 @@ always @(*) begin end assign soc_litedramcore_phaseinjector1_command_issue_r = interface1_bank_bus_dat_w[0]; always @(*) begin - soc_litedramcore_phaseinjector1_command_issue_we <= 1'd0; + soc_litedramcore_phaseinjector1_command_issue_re <= 1'd0; if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd8))) begin - soc_litedramcore_phaseinjector1_command_issue_we <= (~interface1_bank_bus_we); + soc_litedramcore_phaseinjector1_command_issue_re <= interface1_bank_bus_we; end end always @(*) begin - soc_litedramcore_phaseinjector1_command_issue_re <= 1'd0; + soc_litedramcore_phaseinjector1_command_issue_we <= 1'd0; if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd8))) begin - soc_litedramcore_phaseinjector1_command_issue_re <= interface1_bank_bus_we; + soc_litedramcore_phaseinjector1_command_issue_we <= (~interface1_bank_bus_we); end end assign csrbank1_dfii_pi1_address0_r = interface1_bank_bus_dat_w[13:0]; @@ -10179,15 +10596,15 @@ always @(*) begin end assign soc_litedramcore_phaseinjector3_command_issue_r = interface1_bank_bus_dat_w[0]; always @(*) begin - soc_litedramcore_phaseinjector3_command_issue_re <= 1'd0; + soc_litedramcore_phaseinjector3_command_issue_we <= 1'd0; if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 5'd20))) begin - soc_litedramcore_phaseinjector3_command_issue_re <= interface1_bank_bus_we; + soc_litedramcore_phaseinjector3_command_issue_we <= (~interface1_bank_bus_we); end end always @(*) begin - soc_litedramcore_phaseinjector3_command_issue_we <= 1'd0; + soc_litedramcore_phaseinjector3_command_issue_re <= 1'd0; if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 5'd20))) begin - soc_litedramcore_phaseinjector3_command_issue_we <= (~interface1_bank_bus_we); + soc_litedramcore_phaseinjector3_command_issue_re <= interface1_bank_bus_we; end end assign csrbank1_dfii_pi3_address0_r = interface1_bank_bus_dat_w[13:0]; @@ -10247,24 +10664,48 @@ assign soc_litedramcore_cke = soc_litedramcore_storage[1]; assign soc_litedramcore_odt = soc_litedramcore_storage[2]; assign soc_litedramcore_reset_n = soc_litedramcore_storage[3]; assign csrbank1_dfii_control0_w = soc_litedramcore_storage[3:0]; +assign soc_litedramcore_phaseinjector0_csrfield_cs = soc_litedramcore_phaseinjector0_command_storage[0]; +assign soc_litedramcore_phaseinjector0_csrfield_we = soc_litedramcore_phaseinjector0_command_storage[1]; +assign soc_litedramcore_phaseinjector0_csrfield_cas = soc_litedramcore_phaseinjector0_command_storage[2]; +assign soc_litedramcore_phaseinjector0_csrfield_ras = soc_litedramcore_phaseinjector0_command_storage[3]; +assign soc_litedramcore_phaseinjector0_csrfield_wren = soc_litedramcore_phaseinjector0_command_storage[4]; +assign soc_litedramcore_phaseinjector0_csrfield_rden = soc_litedramcore_phaseinjector0_command_storage[5]; assign csrbank1_dfii_pi0_command0_w = soc_litedramcore_phaseinjector0_command_storage[5:0]; assign csrbank1_dfii_pi0_address0_w = soc_litedramcore_phaseinjector0_address_storage[13:0]; assign csrbank1_dfii_pi0_baddress0_w = soc_litedramcore_phaseinjector0_baddress_storage[2:0]; assign csrbank1_dfii_pi0_wrdata0_w = soc_litedramcore_phaseinjector0_wrdata_storage[31:0]; assign csrbank1_dfii_pi0_rddata_w = soc_litedramcore_phaseinjector0_rddata_status[31:0]; assign soc_litedramcore_phaseinjector0_rddata_we = csrbank1_dfii_pi0_rddata_we; +assign soc_litedramcore_phaseinjector1_csrfield_cs = soc_litedramcore_phaseinjector1_command_storage[0]; +assign soc_litedramcore_phaseinjector1_csrfield_we = soc_litedramcore_phaseinjector1_command_storage[1]; +assign soc_litedramcore_phaseinjector1_csrfield_cas = soc_litedramcore_phaseinjector1_command_storage[2]; +assign soc_litedramcore_phaseinjector1_csrfield_ras = soc_litedramcore_phaseinjector1_command_storage[3]; +assign soc_litedramcore_phaseinjector1_csrfield_wren = soc_litedramcore_phaseinjector1_command_storage[4]; +assign soc_litedramcore_phaseinjector1_csrfield_rden = soc_litedramcore_phaseinjector1_command_storage[5]; assign csrbank1_dfii_pi1_command0_w = soc_litedramcore_phaseinjector1_command_storage[5:0]; assign csrbank1_dfii_pi1_address0_w = soc_litedramcore_phaseinjector1_address_storage[13:0]; assign csrbank1_dfii_pi1_baddress0_w = soc_litedramcore_phaseinjector1_baddress_storage[2:0]; assign csrbank1_dfii_pi1_wrdata0_w = soc_litedramcore_phaseinjector1_wrdata_storage[31:0]; assign csrbank1_dfii_pi1_rddata_w = soc_litedramcore_phaseinjector1_rddata_status[31:0]; assign soc_litedramcore_phaseinjector1_rddata_we = csrbank1_dfii_pi1_rddata_we; +assign soc_litedramcore_phaseinjector2_csrfield_cs = soc_litedramcore_phaseinjector2_command_storage[0]; +assign soc_litedramcore_phaseinjector2_csrfield_we = soc_litedramcore_phaseinjector2_command_storage[1]; +assign soc_litedramcore_phaseinjector2_csrfield_cas = soc_litedramcore_phaseinjector2_command_storage[2]; +assign soc_litedramcore_phaseinjector2_csrfield_ras = soc_litedramcore_phaseinjector2_command_storage[3]; +assign soc_litedramcore_phaseinjector2_csrfield_wren = soc_litedramcore_phaseinjector2_command_storage[4]; +assign soc_litedramcore_phaseinjector2_csrfield_rden = soc_litedramcore_phaseinjector2_command_storage[5]; assign csrbank1_dfii_pi2_command0_w = soc_litedramcore_phaseinjector2_command_storage[5:0]; assign csrbank1_dfii_pi2_address0_w = soc_litedramcore_phaseinjector2_address_storage[13:0]; assign csrbank1_dfii_pi2_baddress0_w = soc_litedramcore_phaseinjector2_baddress_storage[2:0]; assign csrbank1_dfii_pi2_wrdata0_w = soc_litedramcore_phaseinjector2_wrdata_storage[31:0]; assign csrbank1_dfii_pi2_rddata_w = soc_litedramcore_phaseinjector2_rddata_status[31:0]; assign soc_litedramcore_phaseinjector2_rddata_we = csrbank1_dfii_pi2_rddata_we; +assign soc_litedramcore_phaseinjector3_csrfield_cs = soc_litedramcore_phaseinjector3_command_storage[0]; +assign soc_litedramcore_phaseinjector3_csrfield_we = soc_litedramcore_phaseinjector3_command_storage[1]; +assign soc_litedramcore_phaseinjector3_csrfield_cas = soc_litedramcore_phaseinjector3_command_storage[2]; +assign soc_litedramcore_phaseinjector3_csrfield_ras = soc_litedramcore_phaseinjector3_command_storage[3]; +assign soc_litedramcore_phaseinjector3_csrfield_wren = soc_litedramcore_phaseinjector3_command_storage[4]; +assign soc_litedramcore_phaseinjector3_csrfield_rden = soc_litedramcore_phaseinjector3_command_storage[5]; assign csrbank1_dfii_pi3_command0_w = soc_litedramcore_phaseinjector3_command_storage[5:0]; assign csrbank1_dfii_pi3_address0_w = soc_litedramcore_phaseinjector3_address_storage[13:0]; assign csrbank1_dfii_pi3_baddress0_w = soc_litedramcore_phaseinjector3_baddress_storage[2:0]; @@ -10822,7 +11263,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed12 <= 21'd0; - case (roundrobin0_grant) + case (litedramcore_roundrobin0_grant) default: begin rhs_array_muxed12 <= {soc_user_port_cmd_payload_addr[23:10], soc_user_port_cmd_payload_addr[6:0]}; end @@ -10830,7 +11271,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed13 <= 1'd0; - case (roundrobin0_grant) + case (litedramcore_roundrobin0_grant) default: begin rhs_array_muxed13 <= soc_user_port_cmd_payload_we; end @@ -10838,15 +11279,15 @@ always @(*) begin end always @(*) begin rhs_array_muxed14 <= 1'd0; - case (roundrobin0_grant) + case (litedramcore_roundrobin0_grant) default: begin - rhs_array_muxed14 <= (((soc_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((locked0 | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid); + rhs_array_muxed14 <= (((soc_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid); end endcase end always @(*) begin rhs_array_muxed15 <= 21'd0; - case (roundrobin1_grant) + case (litedramcore_roundrobin1_grant) default: begin rhs_array_muxed15 <= {soc_user_port_cmd_payload_addr[23:10], soc_user_port_cmd_payload_addr[6:0]}; end @@ -10854,7 +11295,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed16 <= 1'd0; - case (roundrobin1_grant) + case (litedramcore_roundrobin1_grant) default: begin rhs_array_muxed16 <= soc_user_port_cmd_payload_we; end @@ -10862,15 +11303,15 @@ always @(*) begin end always @(*) begin rhs_array_muxed17 <= 1'd0; - case (roundrobin1_grant) + case (litedramcore_roundrobin1_grant) default: begin - rhs_array_muxed17 <= (((soc_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((locked1 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid); + rhs_array_muxed17 <= (((soc_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid); end endcase end always @(*) begin rhs_array_muxed18 <= 21'd0; - case (roundrobin2_grant) + case (litedramcore_roundrobin2_grant) default: begin rhs_array_muxed18 <= {soc_user_port_cmd_payload_addr[23:10], soc_user_port_cmd_payload_addr[6:0]}; end @@ -10878,7 +11319,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed19 <= 1'd0; - case (roundrobin2_grant) + case (litedramcore_roundrobin2_grant) default: begin rhs_array_muxed19 <= soc_user_port_cmd_payload_we; end @@ -10886,15 +11327,15 @@ always @(*) begin end always @(*) begin rhs_array_muxed20 <= 1'd0; - case (roundrobin2_grant) + case (litedramcore_roundrobin2_grant) default: begin - rhs_array_muxed20 <= (((soc_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((locked2 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid); + rhs_array_muxed20 <= (((soc_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid); end endcase end always @(*) begin rhs_array_muxed21 <= 21'd0; - case (roundrobin3_grant) + case (litedramcore_roundrobin3_grant) default: begin rhs_array_muxed21 <= {soc_user_port_cmd_payload_addr[23:10], soc_user_port_cmd_payload_addr[6:0]}; end @@ -10902,7 +11343,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed22 <= 1'd0; - case (roundrobin3_grant) + case (litedramcore_roundrobin3_grant) default: begin rhs_array_muxed22 <= soc_user_port_cmd_payload_we; end @@ -10910,15 +11351,15 @@ always @(*) begin end always @(*) begin rhs_array_muxed23 <= 1'd0; - case (roundrobin3_grant) + case (litedramcore_roundrobin3_grant) default: begin - rhs_array_muxed23 <= (((soc_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((locked3 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid); + rhs_array_muxed23 <= (((soc_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid); end endcase end always @(*) begin rhs_array_muxed24 <= 21'd0; - case (roundrobin4_grant) + case (litedramcore_roundrobin4_grant) default: begin rhs_array_muxed24 <= {soc_user_port_cmd_payload_addr[23:10], soc_user_port_cmd_payload_addr[6:0]}; end @@ -10926,7 +11367,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed25 <= 1'd0; - case (roundrobin4_grant) + case (litedramcore_roundrobin4_grant) default: begin rhs_array_muxed25 <= soc_user_port_cmd_payload_we; end @@ -10934,15 +11375,15 @@ always @(*) begin end always @(*) begin rhs_array_muxed26 <= 1'd0; - case (roundrobin4_grant) + case (litedramcore_roundrobin4_grant) default: begin - rhs_array_muxed26 <= (((soc_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((locked4 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid); + rhs_array_muxed26 <= (((soc_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid); end endcase end always @(*) begin rhs_array_muxed27 <= 21'd0; - case (roundrobin5_grant) + case (litedramcore_roundrobin5_grant) default: begin rhs_array_muxed27 <= {soc_user_port_cmd_payload_addr[23:10], soc_user_port_cmd_payload_addr[6:0]}; end @@ -10950,7 +11391,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed28 <= 1'd0; - case (roundrobin5_grant) + case (litedramcore_roundrobin5_grant) default: begin rhs_array_muxed28 <= soc_user_port_cmd_payload_we; end @@ -10958,15 +11399,15 @@ always @(*) begin end always @(*) begin rhs_array_muxed29 <= 1'd0; - case (roundrobin5_grant) + case (litedramcore_roundrobin5_grant) default: begin - rhs_array_muxed29 <= (((soc_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((locked5 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid); + rhs_array_muxed29 <= (((soc_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid); end endcase end always @(*) begin rhs_array_muxed30 <= 21'd0; - case (roundrobin6_grant) + case (litedramcore_roundrobin6_grant) default: begin rhs_array_muxed30 <= {soc_user_port_cmd_payload_addr[23:10], soc_user_port_cmd_payload_addr[6:0]}; end @@ -10974,7 +11415,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed31 <= 1'd0; - case (roundrobin6_grant) + case (litedramcore_roundrobin6_grant) default: begin rhs_array_muxed31 <= soc_user_port_cmd_payload_we; end @@ -10982,15 +11423,15 @@ always @(*) begin end always @(*) begin rhs_array_muxed32 <= 1'd0; - case (roundrobin6_grant) + case (litedramcore_roundrobin6_grant) default: begin - rhs_array_muxed32 <= (((soc_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((locked6 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid); + rhs_array_muxed32 <= (((soc_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & soc_user_port_cmd_valid); end endcase end always @(*) begin rhs_array_muxed33 <= 21'd0; - case (roundrobin7_grant) + case (litedramcore_roundrobin7_grant) default: begin rhs_array_muxed33 <= {soc_user_port_cmd_payload_addr[23:10], soc_user_port_cmd_payload_addr[6:0]}; end @@ -10998,7 +11439,7 @@ always @(*) begin end always @(*) begin rhs_array_muxed34 <= 1'd0; - case (roundrobin7_grant) + case (litedramcore_roundrobin7_grant) default: begin rhs_array_muxed34 <= soc_user_port_cmd_payload_we; end @@ -11006,9 +11447,9 @@ always @(*) begin end always @(*) begin rhs_array_muxed35 <= 1'd0; - case (roundrobin7_grant) + case (litedramcore_roundrobin7_grant) default: begin - rhs_array_muxed35 <= (((soc_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((locked7 | (soc_litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))))) & soc_user_port_cmd_valid); + rhs_array_muxed35 <= (((soc_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (soc_litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (soc_litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (soc_litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (soc_litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (soc_litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (soc_litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (soc_litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))))) & soc_user_port_cmd_valid); end endcase end @@ -11595,17 +12036,17 @@ always @(posedge sys_clk) begin soc_ddrphy_bankmodel7_row <= soc_ddrphy_bankmodel7_activate_row; end end - if (soc_litedramcore_inti_p0_rddata_valid) begin - soc_litedramcore_phaseinjector0_rddata_status <= soc_litedramcore_inti_p0_rddata; + if (soc_litedramcore_csr_dfi_p0_rddata_valid) begin + soc_litedramcore_phaseinjector0_rddata_status <= soc_litedramcore_csr_dfi_p0_rddata; end - if (soc_litedramcore_inti_p1_rddata_valid) begin - soc_litedramcore_phaseinjector1_rddata_status <= soc_litedramcore_inti_p1_rddata; + if (soc_litedramcore_csr_dfi_p1_rddata_valid) begin + soc_litedramcore_phaseinjector1_rddata_status <= soc_litedramcore_csr_dfi_p1_rddata; end - if (soc_litedramcore_inti_p2_rddata_valid) begin - soc_litedramcore_phaseinjector2_rddata_status <= soc_litedramcore_inti_p2_rddata; + if (soc_litedramcore_csr_dfi_p2_rddata_valid) begin + soc_litedramcore_phaseinjector2_rddata_status <= soc_litedramcore_csr_dfi_p2_rddata; end - if (soc_litedramcore_inti_p3_rddata_valid) begin - soc_litedramcore_phaseinjector3_rddata_status <= soc_litedramcore_inti_p3_rddata; + if (soc_litedramcore_csr_dfi_p3_rddata_valid) begin + soc_litedramcore_phaseinjector3_rddata_status <= soc_litedramcore_csr_dfi_p3_rddata; end if ((soc_litedramcore_timer_wait & (~soc_litedramcore_timer_done0))) begin soc_litedramcore_timer_count1 <= (soc_litedramcore_timer_count1 - 1'd1); @@ -11707,7 +12148,7 @@ always @(posedge sys_clk) begin end end end - refresher_state <= refresher_next_state; + litedramcore_refresher_state <= litedramcore_refresher_next_state; if (soc_litedramcore_bankmachine0_row_close) begin soc_litedramcore_bankmachine0_row_opened <= 1'd0; end else begin @@ -11783,7 +12224,7 @@ always @(posedge sys_clk) begin end end end - bankmachine0_state <= bankmachine0_next_state; + litedramcore_bankmachine0_state <= litedramcore_bankmachine0_next_state; if (soc_litedramcore_bankmachine1_row_close) begin soc_litedramcore_bankmachine1_row_opened <= 1'd0; end else begin @@ -11859,7 +12300,7 @@ always @(posedge sys_clk) begin end end end - bankmachine1_state <= bankmachine1_next_state; + litedramcore_bankmachine1_state <= litedramcore_bankmachine1_next_state; if (soc_litedramcore_bankmachine2_row_close) begin soc_litedramcore_bankmachine2_row_opened <= 1'd0; end else begin @@ -11935,7 +12376,7 @@ always @(posedge sys_clk) begin end end end - bankmachine2_state <= bankmachine2_next_state; + litedramcore_bankmachine2_state <= litedramcore_bankmachine2_next_state; if (soc_litedramcore_bankmachine3_row_close) begin soc_litedramcore_bankmachine3_row_opened <= 1'd0; end else begin @@ -12011,7 +12452,7 @@ always @(posedge sys_clk) begin end end end - bankmachine3_state <= bankmachine3_next_state; + litedramcore_bankmachine3_state <= litedramcore_bankmachine3_next_state; if (soc_litedramcore_bankmachine4_row_close) begin soc_litedramcore_bankmachine4_row_opened <= 1'd0; end else begin @@ -12087,7 +12528,7 @@ always @(posedge sys_clk) begin end end end - bankmachine4_state <= bankmachine4_next_state; + litedramcore_bankmachine4_state <= litedramcore_bankmachine4_next_state; if (soc_litedramcore_bankmachine5_row_close) begin soc_litedramcore_bankmachine5_row_opened <= 1'd0; end else begin @@ -12163,7 +12604,7 @@ always @(posedge sys_clk) begin end end end - bankmachine5_state <= bankmachine5_next_state; + litedramcore_bankmachine5_state <= litedramcore_bankmachine5_next_state; if (soc_litedramcore_bankmachine6_row_close) begin soc_litedramcore_bankmachine6_row_opened <= 1'd0; end else begin @@ -12239,7 +12680,7 @@ always @(posedge sys_clk) begin end end end - bankmachine6_state <= bankmachine6_next_state; + litedramcore_bankmachine6_state <= litedramcore_bankmachine6_next_state; if (soc_litedramcore_bankmachine7_row_close) begin soc_litedramcore_bankmachine7_row_opened <= 1'd0; end else begin @@ -12315,7 +12756,7 @@ always @(posedge sys_clk) begin end end end - bankmachine7_state <= bankmachine7_next_state; + litedramcore_bankmachine7_state <= litedramcore_bankmachine7_next_state; if ((~soc_litedramcore_en0)) begin soc_litedramcore_time0 <= 5'd31; end else begin @@ -12887,19 +13328,19 @@ always @(posedge sys_clk) begin end end end - multiplexer_state <= multiplexer_next_state; - new_master_wdata_ready0 <= ((((((((1'd0 | ((roundrobin0_grant == 1'd0) & soc_litedramcore_interface_bank0_wdata_ready)) | ((roundrobin1_grant == 1'd0) & soc_litedramcore_interface_bank1_wdata_ready)) | ((roundrobin2_grant == 1'd0) & soc_litedramcore_interface_bank2_wdata_ready)) | ((roundrobin3_grant == 1'd0) & soc_litedramcore_interface_bank3_wdata_ready)) | ((roundrobin4_grant == 1'd0) & soc_litedramcore_interface_bank4_wdata_ready)) | ((roundrobin5_grant == 1'd0) & soc_litedramcore_interface_bank5_wdata_ready)) | ((roundrobin6_grant == 1'd0) & soc_litedramcore_interface_bank6_wdata_ready)) | ((roundrobin7_grant == 1'd0) & soc_litedramcore_interface_bank7_wdata_ready)); - new_master_wdata_ready1 <= new_master_wdata_ready0; - new_master_rdata_valid0 <= ((((((((1'd0 | ((roundrobin0_grant == 1'd0) & soc_litedramcore_interface_bank0_rdata_valid)) | ((roundrobin1_grant == 1'd0) & soc_litedramcore_interface_bank1_rdata_valid)) | ((roundrobin2_grant == 1'd0) & soc_litedramcore_interface_bank2_rdata_valid)) | ((roundrobin3_grant == 1'd0) & soc_litedramcore_interface_bank3_rdata_valid)) | ((roundrobin4_grant == 1'd0) & soc_litedramcore_interface_bank4_rdata_valid)) | ((roundrobin5_grant == 1'd0) & soc_litedramcore_interface_bank5_rdata_valid)) | ((roundrobin6_grant == 1'd0) & soc_litedramcore_interface_bank6_rdata_valid)) | ((roundrobin7_grant == 1'd0) & soc_litedramcore_interface_bank7_rdata_valid)); - new_master_rdata_valid1 <= new_master_rdata_valid0; - new_master_rdata_valid2 <= new_master_rdata_valid1; - new_master_rdata_valid3 <= new_master_rdata_valid2; - new_master_rdata_valid4 <= new_master_rdata_valid3; - new_master_rdata_valid5 <= new_master_rdata_valid4; - new_master_rdata_valid6 <= new_master_rdata_valid5; - new_master_rdata_valid7 <= new_master_rdata_valid6; - new_master_rdata_valid8 <= new_master_rdata_valid7; - state <= next_state; + litedramcore_multiplexer_state <= litedramcore_multiplexer_next_state; + litedramcore_new_master_wdata_ready0 <= ((((((((1'd0 | ((litedramcore_roundrobin0_grant == 1'd0) & soc_litedramcore_interface_bank0_wdata_ready)) | ((litedramcore_roundrobin1_grant == 1'd0) & soc_litedramcore_interface_bank1_wdata_ready)) | ((litedramcore_roundrobin2_grant == 1'd0) & soc_litedramcore_interface_bank2_wdata_ready)) | ((litedramcore_roundrobin3_grant == 1'd0) & soc_litedramcore_interface_bank3_wdata_ready)) | ((litedramcore_roundrobin4_grant == 1'd0) & soc_litedramcore_interface_bank4_wdata_ready)) | ((litedramcore_roundrobin5_grant == 1'd0) & soc_litedramcore_interface_bank5_wdata_ready)) | ((litedramcore_roundrobin6_grant == 1'd0) & soc_litedramcore_interface_bank6_wdata_ready)) | ((litedramcore_roundrobin7_grant == 1'd0) & soc_litedramcore_interface_bank7_wdata_ready)); + litedramcore_new_master_wdata_ready1 <= litedramcore_new_master_wdata_ready0; + litedramcore_new_master_rdata_valid0 <= ((((((((1'd0 | ((litedramcore_roundrobin0_grant == 1'd0) & soc_litedramcore_interface_bank0_rdata_valid)) | ((litedramcore_roundrobin1_grant == 1'd0) & soc_litedramcore_interface_bank1_rdata_valid)) | ((litedramcore_roundrobin2_grant == 1'd0) & soc_litedramcore_interface_bank2_rdata_valid)) | ((litedramcore_roundrobin3_grant == 1'd0) & soc_litedramcore_interface_bank3_rdata_valid)) | ((litedramcore_roundrobin4_grant == 1'd0) & soc_litedramcore_interface_bank4_rdata_valid)) | ((litedramcore_roundrobin5_grant == 1'd0) & soc_litedramcore_interface_bank5_rdata_valid)) | ((litedramcore_roundrobin6_grant == 1'd0) & soc_litedramcore_interface_bank6_rdata_valid)) | ((litedramcore_roundrobin7_grant == 1'd0) & soc_litedramcore_interface_bank7_rdata_valid)); + litedramcore_new_master_rdata_valid1 <= litedramcore_new_master_rdata_valid0; + litedramcore_new_master_rdata_valid2 <= litedramcore_new_master_rdata_valid1; + litedramcore_new_master_rdata_valid3 <= litedramcore_new_master_rdata_valid2; + litedramcore_new_master_rdata_valid4 <= litedramcore_new_master_rdata_valid3; + litedramcore_new_master_rdata_valid5 <= litedramcore_new_master_rdata_valid4; + litedramcore_new_master_rdata_valid6 <= litedramcore_new_master_rdata_valid5; + litedramcore_new_master_rdata_valid7 <= litedramcore_new_master_rdata_valid6; + litedramcore_new_master_rdata_valid8 <= litedramcore_new_master_rdata_valid7; + litedramcore_state <= litedramcore_next_state; if (litedramcore_dat_w_next_value_ce0) begin litedramcore_dat_w <= litedramcore_dat_w_next_value0; end @@ -13333,29 +13774,29 @@ always @(posedge sys_clk) begin soc_init_done_re <= 1'd0; soc_init_error_storage <= 1'd0; soc_init_error_re <= 1'd0; - refresher_state <= 2'd0; - bankmachine0_state <= 4'd0; - bankmachine1_state <= 4'd0; - bankmachine2_state <= 4'd0; - bankmachine3_state <= 4'd0; - bankmachine4_state <= 4'd0; - bankmachine5_state <= 4'd0; - bankmachine6_state <= 4'd0; - bankmachine7_state <= 4'd0; - multiplexer_state <= 4'd0; - new_master_wdata_ready0 <= 1'd0; - new_master_wdata_ready1 <= 1'd0; - new_master_rdata_valid0 <= 1'd0; - new_master_rdata_valid1 <= 1'd0; - new_master_rdata_valid2 <= 1'd0; - new_master_rdata_valid3 <= 1'd0; - new_master_rdata_valid4 <= 1'd0; - new_master_rdata_valid5 <= 1'd0; - new_master_rdata_valid6 <= 1'd0; - new_master_rdata_valid7 <= 1'd0; - new_master_rdata_valid8 <= 1'd0; litedramcore_we <= 1'd0; - state <= 2'd0; + litedramcore_refresher_state <= 2'd0; + litedramcore_bankmachine0_state <= 4'd0; + litedramcore_bankmachine1_state <= 4'd0; + litedramcore_bankmachine2_state <= 4'd0; + litedramcore_bankmachine3_state <= 4'd0; + litedramcore_bankmachine4_state <= 4'd0; + litedramcore_bankmachine5_state <= 4'd0; + litedramcore_bankmachine6_state <= 4'd0; + litedramcore_bankmachine7_state <= 4'd0; + litedramcore_multiplexer_state <= 4'd0; + litedramcore_new_master_wdata_ready0 <= 1'd0; + litedramcore_new_master_wdata_ready1 <= 1'd0; + litedramcore_new_master_rdata_valid0 <= 1'd0; + litedramcore_new_master_rdata_valid1 <= 1'd0; + litedramcore_new_master_rdata_valid2 <= 1'd0; + litedramcore_new_master_rdata_valid3 <= 1'd0; + litedramcore_new_master_rdata_valid4 <= 1'd0; + litedramcore_new_master_rdata_valid5 <= 1'd0; + litedramcore_new_master_rdata_valid6 <= 1'd0; + litedramcore_new_master_rdata_valid7 <= 1'd0; + litedramcore_new_master_rdata_valid8 <= 1'd0; + litedramcore_state <= 2'd0; end end @@ -13895,5 +14336,5 @@ assign soc_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage endmodule // ----------------------------------------------------------------------------- -// Auto-Generated by LiteX on 2022-01-14 08:32:16. +// Auto-Generated by LiteX on 2022-08-04 21:07:04. //------------------------------------------------------------------------------ diff --git a/litedram/generated/wukong-v2/litedram_core.init b/litedram/generated/wukong-v2/litedram_core.init index 1b6e88e..9006b18 100644 --- a/litedram/generated/wukong-v2/litedram_core.init +++ b/litedram/generated/wukong-v2/litedram_core.init @@ -7,7 +7,7 @@ a64b5a7d14004a39 6421ff00782107c6 3d80000060215f00 798c07c6618c0000 -618c10e0658cff00 +618c10d8658cff00 4e8004217d8903a6 4e8004207c6903a6 0000000000000000 @@ -519,213 +519,215 @@ a64b5a7d14004a39 0000000000000000 3c4c000100000000 7c0802a63842adc4 -fbe1fff8fbc1fff0 -f821ff51f8010010 -f88100d83bc10020 +f8010010fbe1fff8 +f88100d8f821ff51 38800080f8a100e0 f8c100e87c651b78 -38c100d87fc3f378 +38c100d838610020 f90100f8f8e100f0 f9410108f9210100 -6000000048002159 -7fc3f3787c7f1b78 -6000000048001b7d +6000000048002135 +386100207c7f1b78 +6000000048001b4d 7fe3fb78382100b0 -00000000480027d4 -0000028001000000 +000000004800283c +0000018001000000 000000004e800020 0000000000000000 4c00012c7c0007ac 000000004e800020 0000000000000000 -3842ad203c4c0001 -7d8000267c0802a6 -9181000848002711 -48001b79f821fed1 +3842ad283c4c0001 +7d6000267c0802a6 +9161000848002775 +48001b49f821fed1 3c62ffff60000000 -4bffff3938637a90 +4bffff4138637af0 788400203c80c000 7c8026ea7c0004ac 3fe0c0003c62ffff -63ff000838637ab0 -3c62ffff4bffff15 -38637ad07bff0020 -7c0004ac4bffff05 +63ff000838637b10 +3c62ffff4bffff1d +38637b307bff0020 +7c0004ac4bffff0d 73e900017fe0feea 3c62ffff41820010 -4bfffee938637ae8 -4e00000073e90002 +4bfffef138637b48 +4d80000073e90002 3c62ffff41820010 -4bfffed138637af0 -4d80000073e90004 +4bfffed938637b50 +4e00000073e90004 3c62ffff41820010 -4bfffeb938637af8 +4bfffec138637b58 4d00000073e90008 3c62ffff41820010 -4bfffea138637b00 +4bfffea938637b60 4182001073e90010 -38637b103c62ffff -73ff01004bfffe8d +38637b703c62ffff +73ff01004bfffe95 3c62ffff41820010 -4bfffe7938637b20 -3b7b7b283f62ffff -4bfffe697f63db78 -3c80c000418e0028 +4bfffe8138637b80 +3b7b7b883f62ffff +4bfffe717f63db78 +3c80c00041920028 7884002060840010 7c8026ea7c0004ac 7884b5823c62ffff -4bfffe4138637b30 -3c80c0004192004c +4bfffe4938637b90 +3c80c000418e004c 7884002060840018 7c8026ea7c0004ac 788460223c62ffff -4bfffe1938637b48 +4bfffe2138637ba8 608400303c80c000 7c0004ac78840020 3c62ffff7c8026ea -38637b607884b282 -3d20c0004bfffdf5 +38637bc07884b282 +3d20c0004bfffdfd 7929002061290020 7d204eea7c0004ac 792906003c80000f 3c62ffff60844240 -38637b787c892392 -418a025c4bfffdc5 -639c00383f80c000 -7c0004ac7b9c0020 -3d40c0007f80e6ea +38637bd87c892392 +418a02604bfffdcd +63de00383fc0c000 +7c0004ac7bde0020 +3d40c0007fc0f6ea 614a600439200002 7c0004ac794a0020 3fe0c0007d2057aa 63ff60003920ff9f 7c0004ac7bff0020 7c0004ac7d20ffaa -7c0004ac7fc0feaa 7c0004ac7fa0feaa -4bfffd1d7fe0feaa +7c0004ac7f80feaa +4bfffd257fe0feaa 57e6063e3c62ffff -57c4063e57a5063e -57f8063e38637b98 -7fc9eb784bfffd45 -7d29fb7857b9063e -5529063e57da063e +57a4063e5785063e +57f8063e38637bf8 +7fa9e3784bfffd4d +7d29fb78579a063e +5529063e57b9063e 418201682c090000 -7fdef8387fdee838 -2c1e00ff57de063e -2c1a000141820154 -2c19000240820360 -73bd00bf41820010 -408201302c1d0020 +7fbdf8387fbde038 +2c1d00ff57bd063e +2c19000141820154 +2c1a000240820184 +739c00bf41820010 +408201302c1c0020 57ff063e3bffffe8 41810120281f0001 392000353fe0c000 7bff002063ff6000 7d20ffaa7c0004ac -3b4000023fc0c000 -7bde002063de6004 -7f40f7aa7c0004ac +3b4000023fa0c000 +7bbd002063bd6004 +7f40efaa7c0004ac 7d20ffaa7c0004ac -7fa0feaa7c0004ac -3c62ffff4bfffc61 -38637bb857a4063e -73a900024bfffc95 +7f80feaa7c0004ac +3c62ffff4bfffc69 +38637c185784063e +738900024bfffc9d 3c62ffff40820090 -4bfffc8138637bd8 -7f40f7aa7c0004ac +4bfffc8938637c38 +7f40efaa7c0004ac 7c0004ac39200006 -4bfffc257d20ffaa -7f40f7aa7c0004ac +4bfffc2d7d20ffaa +7f40efaa7c0004ac 7c0004ac39200001 392000007d20ffaa 7d20ffaa7c0004ac -7c0004ac63bd0002 -7c0004ac7fa0ffaa -4bfffbed7d20f7aa +7c0004ac639c0002 +7c0004ac7f80ffaa +4bfffbf57d20efaa 3b4000053b000002 7c0004ac7ff9fb78 -7c0004ac7f00f7aa +7c0004ac7f00efaa 7c0004ac7f40cfaa -4bfffbc57fa0feaa -4082ffe073bd0001 -38637bf03c62ffff -3d40c0004bfffbf5 +4bfffbcd7f80feaa +4082ffe0739c0001 +38637c503c62ffff +3d40c0004bfffbfd 794a0020614a6008 7d20562a7c0004ac 652920005529021e 7c0004ac61291f6b 7f63db787d20572a -3c62ffff4bfffbc5 -38637c007b840020 -4bfffbb17f9ae378 -7f63db783be00001 -419200244bfffba5 -3c62ffff3ca2ffff -38637c3038a57c20 -4bfffb897ca42b78 -6000000048000c55 -3c62ffff418e0024 -4bfffb7138637c60 -4800013c38600000 -3b4000003be00000 -73ff00014bffffb4 -3c62ffff418200a4 -4bfffb4938637c78 -38a000403c9af000 +3c62ffff4bfffbcd +38637c607bc40020 +4bfffbb97fdaf378 +4bfffbb17f63db78 +419200d0408e0094 +38637c803c62ffff +386000004bfffb9d +2c190020480001a0 +2c1a00ba4082ffbc +2c1800184082ffb4 +3c62ffff4082ffac +4bfffb7138637c48 +7f63db784bffff68 +408e00684bfffb65 +3c62ffff4092ffb8 +4bfffb5138637d90 +38a000003c80ff00 +60a5a00060846000 +3c60400078840020 +6000000048001865 +38637db03c62ffff +4bfffb9d4bfffb25 +3c82ffff4bffff84 +38847c983c62ffff +4bfffb0938637ca8 +6000000048000c3d +3c82ffff4bffff54 +38847c983c62ffff +4bfffae938637ca8 +6000000048000c1d +3c62ffff4bffff80 +4bfffad138637cc8 +38a000403c9ef000 3861007078840020 -6000000048001889 +60000000480017ed 3d400002e9210070 614a464c3c62ffff -794a83e438637c90 +794a83e438637ce0 614a457f79290600 408200247c295000 2c09000189210075 a121008240820010 -418200802c090015 -38637cb03c62ffff -892100774bfffae5 +418200442c090015 +38637d003c62ffff +892100774bfffa6d 8901007489410076 3c62ffff88e10073 88a1007188c10072 -38637d1088810070 +38637d6088810070 89210075f9210060 -3c62ffff4bfffab5 -4bfffaa938637d40 -38a000003c80ff00 -60a5a00060846000 -3c60400078840020 -60000000480017e1 -38637d603c62ffff -4bfffafd4bfffa7d -ebe100904bffff08 -3ba000003f02ffff -3b187cc83b2100b0 -a12100a87ffafa14 +4bfffee04bfffa3d +3f22ffffe9210090 +3b397d183ba00000 +a12100a87fde4a14 418000347c1d4840 3c62ffff80810088 -4bfffa4138637cf0 -e86100884bfffac1 -4182ff802c23ffff -8181000838210130 -4800222c7d838120 -38a000383c9ff000 -7f23cb7878840020 -6000000048001761 +4bfffa0938637d40 +e86100884bfffa81 +4182fea02c23ffff +8161000838210130 +480022507d638120 +38a000383c9ef000 +386100b078840020 +6000000048001705 2c090001812100b0 eb6100d040820048 -ebc100b8eb8100c0 -7f03c3787ba40020 +ebe100b8eb8100c0 +7f23cb787ba40020 7b6500207f86e378 -4bfff9d93fdef000 -7b6500207c9af214 +4bfff9a13ffff000 +7b6500207c9fd214 7f83e37878840020 -6000000048001719 -7fff4a14a12100a6 +60000000480016bd +7fde4a14a12100a6 4bffff583bbd0001 -4082fde02c1a0020 -4082fdd82c1900ba -4082fdd02c180018 -38637be83c62ffff -4bfffd8c4bfff98d 0300000000000000 3d20c80000000880 7929002061291004 @@ -735,7 +737,7 @@ ebc100b8eb8100c0 7d20572a7c0004ac 000000004e800020 0000000000000000 -3842a6f83c4c0001 +3842a6e83c4c0001 4182006828030002 4182003028030003 4082007c28030001 @@ -757,7 +759,7 @@ ebc100b8eb8100c0 4bffff287c8307b4 0000000000000000 3d20c80000000000 -6129081039400001 +6129080439400001 792900207d431830 7c604f2a7c0004ac 610808143d00c800 @@ -767,7 +769,7 @@ ebc100b8eb8100c0 000000004e800020 0000000000000000 394000013d20c800 -7d43183061290810 +7d43183061290804 7c0004ac79290020 3d00c8007c604f2a 7908002061080818 @@ -776,7 +778,7 @@ ebc100b8eb8100c0 4e8000207d404f2a 0000000000000000 3d20c80000000000 -6129081039400001 +6129080439400001 792900207d431830 7c604f2a7c0004ac 6108081c3d00c800 @@ -820,204 +822,215 @@ ebc100b8eb8100c0 4bfffff060000000 0000000000000000 3c4c000100000000 -7c0802a63842a454 -f821ffa148001e59 -60a500033ca08020 -394000007c7e1b78 -78a5002038c1001f -3b81002039000004 -7ce652147d0903a6 -7888f86239200004 -7c8400d0788407e0 -7c8428383929ffff -7d0443787c884278 -4200ffe09d070001 -282a0010394a0004 -3d40c8004082ffc0 -794a0020614a100c -7d20572a7c0004ac -614a10103d40c800 +7c0802a63842a444 +60e700033ce08020 +78e7002039200000 +f821ffa148001e99 +3941001f7c7d1b78 +7d0a4a143bc10020 +7d4903a639400004 +788407e0788af862 +7c8438387c8400d0 +7d4453787c8a5278 +4200ffe49d480001 +2829001039290004 +3d40c8004082ffc4 +614a100c39200000 7c0004ac794a0020 -386000097d20572a -3860000f4bfffc85 -3d20c8004bffff29 -612910143cc0c800 -7f8ae37860c61074 -78c6002079290020 -38eaffff38a00004 -3be000047ca903a6 +3d40c8007d20572a +794a0020614a1010 +7d20572a7c0004ac +4bfffc8938600009 +4bffff2d3860000f +3cc0c8003d20c800 +60c6107461291014 +792900207fcaf378 +38a0000478c60020 +7ca903a638eaffff 8ca7000139000000 -7905400c3bffffff -4200fff07ca82b78 -7ca04f2a7c0004ac -394a000439290018 -4082ffc47c293000 -63bd08303fa0c800 -7c0004ac7bbd0020 -5463063e7c60ee2a -7c0004ac4bfffe41 -5463063e7c60ee2a -7c0004ac4bfffdc1 -388000177c60ee2a -3fa0c8005463063e -63bd082c4bfffc21 -4bfffe7d3860000f -7c0004ac7bbd0020 -5463063e7c60ee2a -7c0004ac4bfffdf9 -5463063e7c60ee2a -7c0004ac4bfffd79 -388000257c60ee2a -4bfffbdd5463063e -4bfffe3d3860000f -6129100c3d20c800 -7c0004ac79290020 -3d20c8007fe04f2a -7929002061291010 -7fe04f2a7c0004ac -23de00013860000b -3860000f4bfffb5d -3d00c8004bfffe01 -610810183c80c800 -3ca033333d605555 -608410783c000f0f -7908002038c00000 -616b555538610030 -60000f0f60a53333 -7c0004ac78840020 -394100307d20462a -392000047d20552c -7d2903a639400000 -552907fe7d265214 -408200547c09f000 -7d3c50ae7cea18ae -5527063e7d293a78 -7d2958387929fe62 -7d2728387d293850 -7d2928385529f0be -54e9e13e7ce74a14 -7d2900387d293a14 -7d293a145527c23e -7d293a145527843e -7fff4a14552906be -4200ff9c394a0001 -3b9c000439080018 -38c600047c282000 -382100604082ff6c -48001c0c7be30020 -0100000000000000 -3c4c000100000480 -7c0802a63842a19c -f821ff7148001b99 -7c7f1b783ba00000 -3880002a4bfffb35 -4bfffd297fe3fb78 -3b9d000138800054 -7fe3fb787c7e1b78 -7c63f2144bfffd15 -4182001c2c030000 -418200742c1c0020 -7f9de3787fe3fb78 -4bffffc04bfffb41 -7fe3fb787fbeeb78 -4bfffb2d3b7d0001 -3880002a3b80ffff -4bfffcd17fe3fb78 -7c7a1b7838800054 -4bfffcc17fe3fb78 -2c0300007c63d214 -2c1cffff41820010 -7f7cdb7840820008 +7ca82b787905400c +7c0004ac4200fff4 +392900187ca04f2a +7c293000394a0004 +3fe0c8004082ffcc +7bff002063ff0830 +7c60fe2a7c0004ac +4bfffe4d5463063e +7c60fe2a7c0004ac +4bfffdcd5463063e +7fe0fe2a7c0004ac +57e3063e38800017 +4bfffc2d3fe0c800 +3860000f63ff082c +7bff00204bfffe89 +7c60fe2a7c0004ac +4bfffe055463063e +7c60fe2a7c0004ac +4bfffd855463063e +7fe0fe2a7c0004ac +57e3063e38800025 +3860000f4bfffbe9 +3d40c8004bfffe49 +614a100c39200000 +7c0004ac794a0020 +3d40c8007d20572a +794a0020614a1010 +7d20572a7c0004ac +3be100303860000b +3860000f4bfffb65 +3ce0c8004bfffe09 +60e710183d60c800 +3c6033333c005555 +616b10783d800f0f +78e7002038800000 +211d000138a00000 +6063333360005555 +796b0020618c0f0f +7d203e2a7c0004ac +792900203ba00004 +38c100347fa903a6 +9d26ffff39400004 +7929c202394affff +392000044200fff4 +7d2452147d2903a6 +7c094000552907fe +7ccaf8ae40820054 +7d2932787d3e50ae +7929fe625526063e +7d2930507d290038 +5529f0be7d261838 +7cc64a147d291838 +7d29321454c9e13e +5526c23e7d296038 +5526843e7d293214 +552906be7d293214 +394a00017ca54a14 +38e700184200ff9c +7c2758003bde0004 +4082ff5438840004 +78a3002038210060 +0000000048001c48 +0000038001000000 +3842a1783c4c0001 +48001bcd7c0802a6 +7c7f1b78f821ff61 +4bfffb213b800000 +7fe3fb783880002a +388000544bfffd15 +7c7e1b783bbc0001 +4bfffd017fe3fb78 +2c0300007c63f214 +2c1d00204182001c +7fe3fb7841820090 +4bfffb2d7fbceb78 +7f9de3784bffffc0 +3b5c00047fe3fb78 +7fe3fb784bfffb19 +4bfffb0d7f5bd378 +3bc0ffff7fe3fb78 +7fe3fb784bfffb01 +3880002a4bfffaf9 +4bfffca17fe3fb78 +7c791b7838800054 +4bfffc917fe3fb78 +2c0300007c63ca14 +2c1effff41820010 +7f7edb7840820008 2c1b001f3b7b0001 7fe3fb784181001c -4bffffb84bfffae1 -3bc0ffff3ba00020 -2c1d001e4bffff9c -39200000395d0002 -213d001e41810008 -7d2952142c1cffff -7d3c4b7840820008 -7fbee2142c1effff -7fbd01947fbd0e70 -4082003857bd06fe -38637d783c62ffff -600000004bfff2cd -3bc000007fe3fb78 -386000644bfffa2d -7c1df0004bfffbe9 -3821009040820034 -7cbee05048001ab8 -7ca50e703c62ffff -7fa407b47ca50194 -7ca507b438637d88 -600000004bfff285 -7fe3fb784bffffb8 -4bfffa2d3bde0001 -4bfffb9d38600064 -000000004bffffb4 -0000068001000000 -3842a0103c4c0001 -612910003d20c800 -7c0004ac79290020 -280a000e7d404e2a -7c0802a64d820020 +4bffffb84bfffab1 +3ba0ffff3b800020 +2c1effff4bffff80 +2c1a001f4082001c +418100083bc00000 +3b9c000523da001f +2c1dffff7fdee214 +3c62ffff4082001c +4bfff2a138637dc8 +382100a060000000 +7cbdf05048001b00 +7ca50e707c9df214 +789cfee27ca50194 +7ca507b43c62ffff +38637dd87f84e378 +4bfff2693bc00008 +7fe3fb7860000000 +4bfff9d93ba00000 +4bfffb9538600064 +4082003c7c1ce800 +7fe3fb783880002a +388000544bfffbbd +7fe3fb787c7d1b78 +7c63ea144bfffbad +4182ff882c030000 +2c1e00003bdeffff +4bffff784082ffb4 +3bbd00017fe3fb78 +386000644bfff9d1 +4bffffac4bfffb41 +0100000000000000 +3c4c000100000780 +3d20c80038429fa4 +7929002061291000 +7d404e2a7c0004ac +4d820020280a000e +3940000e7c0802a6 f821ffa1f8010010 -7c0004ac3940000e -3c62ffff7d404f2a -4bfff21138637da0 -3821006060000000 -7c0803a6e8010010 -000000004e800020 -0000008001000000 -38429fa83c4c0001 -612910003d20c800 -7c0004ac79290020 -280a00017d404e2a -7c0802a64d820020 +7d404f2a7c0004ac +38637df03c62ffff +600000004bfff1a5 +e801001038210060 +4e8000207c0803a6 +0100000000000000 +3c4c000100000080 +3d20c80038429f3c +7929002061291000 +7d404e2a7c0004ac +4d820020280a0001 +394000017c0802a6 f821ffa1f8010010 -7c0004ac39400001 -3c62ffff7d404f2a -4bfff1a938637dc8 -3821006060000000 -7c0803a6e8010010 -000000004e800020 -0000008001000000 -38429f403c4c0001 -4800190d7c0802a6 -3f80c800f821ff01 -3ea2ffff3f00c800 +7d404f2a7c0004ac +38637e183c62ffff +600000004bfff13d +e801001038210060 +4e8000207c0803a6 +0100000000000000 +3c4c000100000080 +7c0802a638429ed4 +39297e403d22ffff +f821ff01480018f5 +3f00c8003f80c800 3e62ffff3e82ffff -639c08103f22ffff +639c08043f22ffff 3e42ffff63180820 3b4000013ba00000 -3ab57df03ae00000 -3a737e083a947e00 -7b9c00203b397b28 -3a527e107b180020 +3ae00000f9210060 +3a737e583a947e50 +7b9c00203b397b88 +3a527e607b180020 7fb0eb787ba307e0 -7f56e8304bfff925 +7f56e8304bfff8c5 3a2000003be00000 7fbe07b439e00000 -7fc4f3787de507b4 -39c000207ea3ab78 -600000004bfff0f5 -3b6000007fc3f378 -3880002a4bfff855 -4bfffa497fc3f378 -39ceffff38800054 -7fc3f378f8610060 -e92100604bfffa35 -7c6400347c634a14 -5484d97e20630080 -7c8407b454896026 -7e83a3787d291a14 -4bfff0997f7b4a14 +7de507b4e8610060 +39c000207fc4f378 +4bfff0813b600000 +7fc3f37860000000 +3880002a4bfff7f5 +4bfff9e97fc3f378 +7c751b7838800054 +4bfff9d97fc3f378 +7c6400347c63aa14 +7e83a37821230080 +548a60265484d97e +7d2952147c8407b4 +4bfff0317f7b4a14 7fc3f37860000000 -2c0e00004bfff849 -7e639b784082ffa4 -600000004bfff07d -4bfffc997fc3f378 -4bfff0697f23cb78 +35ceffff4bfff7f1 +7e639b784082ffac +600000004bfff015 +4bfffc557fc3f378 +4bfff0017f23cb78 7c11d84060000000 7dff7b784080000c 2c0f00077f71db78 @@ -1025,55 +1038,55 @@ e92100604bfffa35 7c0004ac7ec0e72a 7c0004ac7f40c72a 39ef00017ee0e72a -3ba000014bffff28 -7fe507b44bffff00 +3ba000014bffff30 +7fe507b44bffff08 7e4393787fc4f378 -4bfff0117bff0020 +4bffefa97bff0020 7a0307e060000000 -393f00014bfff80d +393f00014bfff7b5 420000287d2903a6 -4bfffc197fc3f378 -4bffefe97f23cb78 -2c1d000160000000 -382101004082ffb4 -7c0004ac480017b8 +4bfffbd57fc3f378 +4bffef817f23cb78 +2c1d000060000000 +382101004182ffb4 +7c0004ac480017ac 7c0004ac7ec0e72a 7c0004ac7f40c72a 4bffffc07ee0e72a 0100000000000000 3c4c000100001280 -7c0802a638429d64 +7c0802a638429cfc f821ffa1f8010010 -386000004bfffd49 -386000004bfff6fd -386000014bfff78d -386000014bfff6ed -3c62ffff4bfff77d -4bffef6938637e28 -4bfffde560000000 -382100604bfffd79 +386000004bfffd4d +386000004bfff6a5 +386000014bfff735 +386000014bfff695 +3c62ffff4bfff725 +4bffef0138637e78 +4bfffde960000000 +382100604bfffd7d e801001038600001 4e8000207c0803a6 0100000000000000 3c4c000100000080 -7c0802a638429cf4 -f821ff51480016e5 +7c0802a638429c8c 6129082c3d20c800 -792900203b000002 +480016cd79290020 +3b000002f821ff51 7f004f2a7c0004ac 3b2000033d20c800 7929002061290830 7f204f2a7c0004ac 3c62ffff3fc0c800 -38637e383c804000 -4bffeee163de0800 +38637e883c804000 +4bffee7963de0800 3b80000160000000 -7bde00204bfffc89 +7bde00204bfffc8d 7f80f72a7c0004ac 3be00000386003e8 -7c0004ac4bfff7f1 +7c0004ac4bfff799 386003e87fe0f72a -4bfff7dd3f60c800 +4bfff7853f60c800 7c0004ac7b7b0020 3f40c8007fe0df2a 7b5a0020635a0004 @@ -1087,45 +1100,84 @@ f821ff51480016e5 7af7002062f71000 7d20bf2a7c0004ac 6063c35038600000 -7c0004ac4bfff771 +7c0004ac4bfff719 7c0004ac7fe0ef2a 3920000e7fe0f72a 7d20bf2a7c0004ac -4bfff74d38602710 +4bfff6f538602710 7c0004ac39200200 7c0004ac7d20ef2a 3860000f7f00f72a -7c0004ac4bfff485 +7c0004ac4bfff42d 7c0004ac7fe0ef2a 3860000f7f20f72a -392000064bfff46d +392000064bfff415 7d20ef2a7c0004ac 7f80f72a7c0004ac -4bfff4513860000f +4bfff3f93860000f 7c0004ac39200930 7c0004ac7d20ef2a 3860000f7fe0f72a -386000c84bfff435 -392004004bfff6d9 +386000c84bfff3dd +392004004bfff681 7d20ef2a7c0004ac 7fe0f72a7c0004ac -4bfff41138600003 -4bfff6b5386000c8 -4bfffb954bfffddd +4bfff3b938600003 +4bfff65d386000c8 +4bfffb994bfffddd 3c6040003c800020 -6000000048000779 +60000000480006e9 408200242c030000 7c0004ac7c691b78 7c0004ac7f80d72a 382100b07f80df2a -480015487d2307b4 +4800153c7d2307b4 38a0000038c00000 3c6040003c800020 -600000004800055d +6000000048000471 7f80df2a7c0004ac 4bffffd039200001 0100000000000000 -2c24000000000980 +3c4c000100000980 +7c0802a638429a5c +f8010010282303ff +41810028f821ffa1 +3c62ffff7c641b78 +4bffec7938637ea8 +3821006060000000 +7c0803a6e8010010 +3d2000104e800020 +408000287c234840 +39200066786505a0 +7864b2827ca54b92 +38637eb03c62ffff +600000004bffec3d +3d2040004bffffc4 +7c23484078646502 +7863b28240800024 +7d29185078895564 +3c62ffff38a00066 +38637ec07ca92b92 +786317824bffffc8 +7865556439200066 +7c641b787ca52050 +7ca54b923c62ffff +4bffffa438637ed0 +0100000000000000 +3c4c000100000080 +7c0802a63842998c +7cc42a14fbe1fff8 +7c8523787cbf2b78 +3c62ffff7c641b78 +38637ee078c60020 +f821ff91f8010010 +600000004bffeb9d +4bfffef97fe3fb78 +38637ef03c62ffff +600000004bffeb85 +4800141038210070 +0100000000000000 +2c24000000000180 7869f84241820024 7c6300d0786307e0 5463028054630794 @@ -1133,54 +1185,15 @@ f821ff51480016e5 386300014e800020 000000004bfffff4 0000000000000000 -38429a883c4c0001 -f80100107c0802a6 -282303fff821ffa1 -7c641b7841810028 -38637e583c62ffff -600000004bffeca5 -e801001038210060 -4e8000207c0803a6 -7c2348403d200010 -786505a040800028 -7ca54b9239200066 -3c62ffff7864b282 -4bffec6938637e60 -4bffffc460000000 -786465023d204000 -408000247c234840 -788955647863b282 -38a000667d291850 -7ca92b923c62ffff -4bffffc838637e70 -3920006678631782 -7ca5205078655564 -3c62ffff7c641b78 -38637e807ca54b92 -000000004bffffa4 -0000008001000000 -384299b83c4c0001 -fbe1fff87c0802a6 -f821ff91f8010010 -7cbf2b787cc42a14 -7c641b787c852378 -78c600203c62ffff -4bffebc938637e90 -7fe3fb7860000000 -3c62ffff4bfffef9 -4bffebb138637ea0 -3821007060000000 -00000000480013e0 -0000018001000000 -384299503c4c0001 -480013557c0802a6 -3d40aaaaf821ffc1 -7c7f1b7878840764 +384298e83c4c0001 +788407647c0802a6 +7c691b783d40aaaa +48001339614aaaaa 7884f0827f832214 -7c7d1b7839040001 -7c691b787d0903a6 -42000080614aaaaa -600000004bffebc9 +39040001f821ffc1 +7d0903a67c7f1b78 +420000807c7d1b78 +600000004bffeb59 3d00aaaa7d3fe050 7feafb787929f082 3bc0000039290001 @@ -1190,12 +1203,12 @@ f821ff91f8010010 392900017feafb78 7d2903a661085555 7fffe05042000058 -600000004bffeb79 +600000004bffeb09 3d2055557bfff082 61295555395f0001 420000407d4903a6 7fc307b438210040 -91490000480012f8 +91490000480012ec 4bffff7839290004 7c094000812a0000 3bde000141820008 @@ -1206,608 +1219,607 @@ f821ff91f8010010 3bbd00043bde0001 000000004bffffac 0000048001000000 -384298403c4c0001 -480012497c0802a6 -39200001f821ffc1 -2fa50000789ff022 -7c7e1b78391f0001 -394000007d0903a6 -420000387cbd2b78 -4bffeabd7bff0020 -391f000160000000 -7d0903a62fbd0000 -3860000039200001 -4200004039400000 -7c6307b438210040 -419e00284800123c -792907e07928fc62 -7129d0087d2900d0 -792813a87d294278 -394a00017d5e412e -392900014bffffa4 -419e00384bffffec -792907e07928fc62 -7129d0087d2900d0 -792813a87d294278 -5508043e7d1e402e -418200087c085000 -394a000138630001 -392900014bffff8c -000000004bffffdc -0000038001000000 -384297583c4c0001 -7d8000267c0802a6 -918100084800113d -2e260000f821ff41 +384297d83c4c0001 +7c0802a67d600026 +2e26000091610008 +f821ff4148001211 7cba2b787c7f1b78 789cf0827cde3378 -81260004419200c4 +81260004419200c0 2c09000082e60000 -3ec2ffff40820048 +3f02ffff40820044 3b6000013ba00000 -7bf800207ff9fb78 -7c3ce8403ad67ea8 -3c62ffff4082009c -38637ea87b851028 -4bfffd357b240020 -38637b283c62ffff -600000004bffe91d -600000004bffe989 -7ffbfb782d970000 -3ac000013ba00000 -7bf500203b200000 -7fb8eb787c3de040 -2c17000040820084 -3c62ffff41820028 -38637eb87b051028 -4bfffcdd7be40020 -38637b283c62ffff -600000004bffe8c5 -7f2307b4382100c0 -7d81812081810008 -3ae00001480010ac -7b6300204bffff4c -4bfffb917f44d378 -7c7f492e7ba91764 -7c7b1b7873a97fff -7ba5102840820014 -7ec3b3787f04c378 -3bbd00014bfffc81 -7f44d3784bffff2c -4bfffb597ac30020 -7c651b78809b0000 -7c0320407c761b78 -3b3900014182003c -e99e000841920034 -418200282c2c0000 -e8de00107d8903a6 -f841001878840020 -4e8004217b630020 -2c030000e8410018 -73097fff4082ff58 -418e00184082001c +3b187ef87bf90020 +4082009c7c3ce840 +7b8510283c62ffff +7be4002038637ef8 +3c62ffff4bfffde5 +4bffe9a138637b88 +4bffea0560000000 +2d97000060000000 +3ba000007ffbfb78 +3b2000003ac00001 +7c3de0407bf50020 +408200847fb8eb78 +418200282c170000 7b0510283c62ffff -38637eb87ea4ab78 -3bbd00014bfffc01 -4bfffef43b7b0004 -0300000000000000 -3c4c000100000b80 -7c0802a638429594 -918100087d908026 -f821ff7148000f89 -7c7e1b787cdd3378 -7c9f23782e3d0000 -3c62ffff7c641b78 -7cbc2b7838637ec8 -600000004bffe79d -38637ee03c62ffff -3c62ffff4092000c -4bffe78138637ef0 -7fe3fb7860000000 -4bfffaad7bfde8c2 -38637f003c62ffff -600000004bffe765 -408200742c3c0000 -38fd00017d5602a6 -7ce903a67fc9f378 -420000843900ffff -3f8005f57d3602a6 -639ce100794a0020 -7f9fe1d279290020 -3c62ffff7d295050 -7f9c4b9238637f08 -600000004bffe715 -4bfffa457f83e378 +7be4002038637f08 +3c62ffff4bfffd8d +4bffe94938637b88 +382100c060000000 +816100087f2307b4 +4800118c7d618120 +4bffff503ae00001 +7f44d3787b630020 +7ba917644bfffdb5 +73a97fff7c7f492e +408200147c7b1b78 +7f24cb787ba51028 +4bfffd317f03c378 +4bffff2c3bbd0001 +7ac300207f44d378 +809b00004bfffd7d +7c761b787c651b78 +4182003c7c032040 +419200343b390001 +2c2c0000e99e0008 +7d8903a641820028 +78840020e8de0010 +7b630020f8410018 +e84100184e800421 +4082ff582c030000 +4082001c73187fff +3c62ffff418e0018 +7ea4ab787ba51028 +4bfffcb138637f08 +3b7b00043bbd0001 +000000004bfffef4 +00000b8003000000 +384296183c4c0001 +7c0802a67d708026 +4800106991610008 +7cdb3378f821ff71 +2e3b00003ba4ffe0 +7c9e23787c7f1b78 +7c641b787fa3ea14 38637f183c62ffff -600000004bffe6fd -38637b283c62ffff -600000004bffe6ed -600000004bffe759 -409200287f7602a6 -7d2903a6393d0001 -e93e000042400030 -4bfffff43bde0008 -39290008f9090000 -7fbaeb784bffff74 -3b80000039400000 -4082006c7c1ae000 +4bffe8197cbc2b78 +3c62ffff60000000 +4092000c38637f30 +38637f403c62ffff +600000004bffe7fd +4bfffb597fc3f378 +38637f503c62ffff +600000004bffe7e5 +408200a82c3c0000 +38df00207cf602a6 +7c26284038bd0020 +7929d9427d3fe850 +3900ffff7feafb78 +4081000839290001 +2c29000139200001 +3929fffff90a0000 +f90a0010f90a0008 +394a0020f90a0018 +7d3602a64082ffe4 +78ea00203f8005f5 +79290020639ce100 +7d2950507f9ee1d2 +38637f583c62ffff +4bffe7617f9c4b92 +7f83e37860000000 +3c62ffff4bfffabd +4bffe74938637f68 +3c62ffff60000000 +4bffe73938637b88 +4bffe79d60000000 +7f9602a660000000 +7d3fe85040920048 +3bbd0020395f0020 +7c2ae8407929d942 +4081000839290001 +2c29000139200001 +3929ffffe95f0000 +e95f0010e95f0008 +3bff0020e95f0018 +4800001c4082ffe4 +394000007bdbe8c2 +3ba000007f7adb78 +4082006c7c1dd000 3d4005f57d3602a6 -614ae1007b7b0020 -7fff51d279290020 -3c62ffff7d29d850 -7fff4b9238637f20 +614ae1007b9c0020 +7fde51d279290020 +3c62ffff7d29e050 +7fde4b9238637f70 +600000004bffe69d +4bfff9f97fc3f378 +38637f683c62ffff +600000004bffe685 +38637b883c62ffff 600000004bffe675 -4bfff9a57fe3fb78 -38637f183c62ffff -600000004bffe65d -38637b283c62ffff -600000004bffe64d -8181000838210090 -48000e4c7d908120 -794300207f8407b4 -3b9c00014bfff925 -7c6a1b787d23eb96 -7d2918507d29e9d6 -7d3e482a79291f48 +8161000838210090 +48000ed07d708120 +794300207fa407b4 +3bbd00014bfffaed +7c6a1b787d23db96 +7d2918507d29d9d6 +7d3f482a79291f48 000000004bffff68 0000068003000000 -384293b83c4c0001 -48000db57c0802a6 -3b400200f821ff71 -7c7e1b7828240200 -418100087c9f2378 -283f80007c9a2378 -4081000c7ffbfb78 -577b04203b60ffff -7fc4f3783c62ffff -4bffe5b138637f30 -7fe3fb7860000000 -3c62ffff4bfff8e1 -4bffe59938637f00 -7f44d37860000000 -4bfff9fd7fc3f378 -7f64db7838a00000 -7fc3f3787c7c1b78 -38c000004bfffaf9 +384293e03c4c0001 +282402007c0802a6 +f821ff8148000e3d +7c9f23787c7e1b78 +418100083b800200 +3c62ffff7c9c2378 +38637f807fc4f378 +600000004bffe5ed +4bfff9497fe3fb78 +38637f503c62ffff +600000004bffe5d5 +7fc3f3787f84e378 +38c000004bfffaa1 7fe4fb7838a00001 7fc3f3787c7d1b78 -7d3de2144bfffbc9 -7c7e1b787d291a14 -4182006c2c090000 -7b45f8823c62ffff -38637f407f84e378 -600000004bffe535 -7b65f0823c62ffff -38637f587fa4eb78 -600000004bffe51d +7d23ea144bfffb99 +2c0900007c7e1b78 +3c62ffff41820080 +7fa4eb787b85f882 +4bffe58938637f90 +283f800060000000 +4081000c7fe5fb78 +54a5042038a0ffff +78a5f0823c62ffff +38637fa838800000 +600000004bffe55d 7be5f0823c62ffff -38637f707fc4f378 -600000004bffe505 -38637f883c62ffff -600000004bffe4f5 -3821009038600000 -48000cf47c6307b4 -38637f983c62ffff -600000004bffe4d5 +38637fc07fc4f378 +600000004bffe545 +38637fd83c62ffff +600000004bffe535 +3821008038600000 +48000d987c6307b4 +38637fe83c62ffff +600000004bffe515 4bffffe038600001 0100000000000000 -3c4c000100000680 -6000000038429274 -6000000089228018 -2c09000039428010 -e92a00004182002c +3c4c000100000480 +60000000384292b4 +6000000089228068 +2c09000039428060 +e92a000041820030 7c0004ac39290014 712900207d204eaa -e92a00004182ffec -7c604faa7c0004ac -e92a00004e800020 -7c0004ac39290010 -712900087d204eea -5469063e4082ffec -7c0004ace94a0000 +600000004182ffec +7c0004ace9228060 +4e8000207c604faa +39290010e92a0000 +7d204eea7c0004ac +4082ffec71290008 +600000005469063e +7c0004ace9428060 4e8000207d2057ea 0000000000000000 3c4c000100000000 -7c0802a6384291f4 -fbe1fff8fbc1fff0 -f821ffd1f8010010 -8fdf00013be3ffff +7c0802a63842922c +fbc1fff0fbe1fff8 +f80100103be3ffff +8fdf0001f821ffd1 408200102c1e0000 3860000038210030 -2c1e000a48000c3c +2c1e000a48000cd0 3860000d4082000c -7fc307b44bffff45 -4bffffd04bffff3d +7fc307b44bffff3d +4bffffd04bffff35 0100000000000000 3c4c000100000280 -3d40c00038429194 -794a0020614a0020 -7d4056ea7c0004ac -794a06003d20c000 -7929002061290008 +3d20c000384291cc +7929002061290020 7d204eea7c0004ac -4182001871290020 -612900403d20c000 -7c0004ac79290020 -7929f8047d204eea -79290fc33d00c000 -7908002061082000 -f902801060000000 -610820003d00001c -418200847d4a4392 +792906003d40c000 +794a0020614a0008 +7d4056ea7c0004ac +3d40c000714a0020 +794a0020614a2000 +6000000040820040 +39400000f9428060 +9942806860000000 +614a20003d40001c +3d40c0007d295392 +794a0020614a2018 +7c0004ac3929ffff +4e8000207d2057ea +610800403d00c000 +7c0004ac79080020 +790807e37d0046ea +f942806060000000 +614a20003d40001c +4182ffa07d495392 3920000160000000 -3d00c00099228018 +3d00c00099228068 3920ff806108200c 7c0004ac79080020 -e92280107d2047aa +e92280607d2047aa 7d404faa7c0004ac -794ac202e9228010 +794ac202e9228060 7c0004ac39290004 -e92280107d404faa +e92280607d404faa 3929000c39400003 7d404faa7c0004ac -39290010e9228010 +39290010e9228060 7d404faa7c0004ac -39400007e9228010 +39400007e9228060 7c0004ac39290008 4e8000207d404faa -394affff60000000 -3d20c00099228018 -7929002061292018 -7d404fea7c0004ac -000000004e800020 0000000000000000 -3940000078a9e8c2 -7d2903a639290001 -78a9072442000028 -7d434a147ca92850 -7c844a1439050001 -392000007d0903a6 -4e80002042000018 -7d23512a7d24502a -4bffffcc394a0008 -7d0a49ae7d0448ae -4bffffdc39290001 -0000000000000000 -7c691b7800000000 -7d4918ae38600000 -4d8200202c0a0000 -4bfffff038630001 -0000000000000000 -2c24000000000000 -3881fff040820008 -f864000028050024 -4d81002038600000 -6108ffff3d00fffe -6108d9ff790883e4 -89490000e9240000 -40810028280a0020 -4182003c2c250000 -418200382c050010 -4800008838600000 -f924000039290001 -7d0a56344bffffd0 -4182ffec714a0001 -4082ffdc2c250000 -4bffffd438a0000a -2c0a003038a0000a -894900014082ffc8 -4082ffbc2c0a0078 -38a0001039290002 -4bffffacf9240000 -54e7063e38eaffd0 -4181003c28070009 -7d2a07343929ffd0 -4c8000207c0a2800 -7c6519d239080001 -f90400007d290734 -e90400007c691a14 -714900ff89480000 -4e8000204082ffc0 -54e7063e38eaff9f -4181000c28070019 -4bffffb83929ffa9 -554a063e394affbf -4d810020280a0019 -4bffffa03929ffc9 -0000000000000000 -3923ff9f00000000 -4181000828090019 -7c6307b43863ffe0 -000000004e800020 +78a9e8c200000000 +3929000139400000 +420000287d2903a6 +78a5076078a90724 +7d434a1439050001 +7c844a147d0903a6 +4200001839200000 +7d24502a4e800020 +394a00087d23512a +7d0448ae4bffffcc +392900017d0a49ae +000000004bffffdc 0000000000000000 -38428e903c4c0001 -480008897c0802a6 -7c7e1b78f821ffa1 -7ca32b787c9c2378 -38a0000a38800000 -eb3e00007cfd3b78 +386000007c691b78 +2c0a00007d4918ae +386300014d820020 +000000004bfffff0 +0000000000000000 +408200082c240000 +280500243881fff0 +38600000f8640000 +3d00fffe4d810020 +790883e46108ffff +e92400006108d9ff +280a002089490000 +2c25000040810040 +2c05001041820054 +2c0a003040820064 +894900014082006c +408200602c0a0078 +f924000039290002 +3929000148000054 +4bffffb8f9240000 +714a00017d0a5634 +2c2500004182ffec +38a0000a4082002c +2c0a00304800001c +4082001038a0000a +2c0a007889490001 +386000004182ffb8 +2c05001048000048 +38a000104082fff4 +38eaffd04bffffec +2807000954e7063e +3929ffd04181003c +7c0a28007d2a0734 +390800014c800020 +7d2907347c6519d2 +7c691a14f9040000 +89480000e9040000 +4082ffc0714900ff +38eaff9f4e800020 +2807001954e7063e +3929ffa94181000c +394affbf4bffffb8 +280a0019554a063e +3929ffc94d810020 +000000004bffffa0 +0000000000000000 +280900193923ff9f +3863ffe041810008 +4e8000207c6307b4 +0000000000000000 +3c4c000100000000 +7c0802a638428e94 +f821ffa1480008e9 +7cfd3b787c7e1b78 +7c9c23787ca32b78 +3880000038a0000a +7cdf3378eb3e0000 7d3a4b787d1b4378 -4bfffe797cdf3378 -2b9d001060000000 -7c681b7839200000 -408200242c3f0000 -408200082c090000 -7d29d21439200001 -418100547c034800 -4800087038210060 +600000004bfffe59 +394000002b9d0010 +4082005c2c3f0000 +408200082c0a0000 +7d4ad21439400001 +4081003c7c035000 +7d2948f87d235050 +3929000179290020 +e93e00007d2903a6 +7c2ae0407d594850 +9b69000040800018 +39290001e93e0000 +4200ffe0f93e0000 +4800089c38210060 7bffe102409e0010 -4bffffcc39290001 +4bffff94394a0001 4bfffff47fffeb92 -2c2a00019b690000 -e93e0000394affff -f93e000039290001 -e93e00004182ffc8 -7c28e0407d194850 -4bffffb44180ffd8 -2c0900007d294050 -794a00203949ffff -40810010394a0001 -7c0940003d008000 -394000014082ffcc -000000004bffffc4 -0000078001000000 -38428d983c4c0001 -480007997c0802a6 -3bc00000f821ffb1 -7c9c23787c7f1b78 -7cbd2b78eb630000 -4bfffd697fa3eb78 -7c3e184060000000 -e93f000040800014 -7c2ae0407d5b4850 -382100504180000c -7d5df0ae480007a4 -994900003bde0001 -39290001e93f0000 -4bffffbcf93f0000 0100000000000000 -3c4c000100000580 -7c0802a638428d1c -918100087d908026 -f821ffa148000711 -2b8600103be00000 -3d22ffff7c7c1b78 -7cbe2b78e9297fa8 -7caa2b787cdd3378 -3d22fffff9210020 -f9210028e9297fb0 -408200342c2a0000 -408200082c1f0000 -7fff07b43be00001 -7c3f20402e270000 -408100303b7fffff -8181000838210060 -480006f47d908120 -794ae102409e0010 -4bffffbc3bff0001 -4bfffff47d4aeb92 -7f5eeb927f5ed378 -7d29f0507d3ae9d2 -886900207d214a14 -5463063e41920010 -600000004bfffdad -e93c00007c3df040 -3b7bffff7c69d9ae -e93c00004081ffc8 -f93c00007d29fa14 -000000004bffff90 -0000068003000000 -38428c283c4c0001 -480005f57c0802a6 -3be00000f821fee1 -f86100607c691b79 -41820060f9210068 -418200582c240000 -3e62ffff39210040 -3ae4ffff3e22ffff -3b010020f9210070 -3a4000203ac00000 -3ba100603a737fc8 -e94100683a317fc0 -ebc1006089250000 -712a00ff7feaf050 -7c3fb8404182000c -3920000041800018 -38210120993e0000 -480005c47fe307b4 -390500012c0a0025 -38e0000040820548 -e901007089250000 -7cea3b787cb02b78 -7d2741ae8c650001 -5469063e39070001 -418200b02c090064 -4181002c28090078 -4181002c28090068 -418200982c090058 -4181008828090058 -418200882c090025 -418200802c09004f -4bffffa438e70001 -548b063e3883ff97 -4181ffec280b000f -396b75043d62ffff -7c8b22aa788415a8 -7c8903a67c845a14 -000000484e800420 +3c4c000100000780 +7c0802a638428dc4 +f821ffb148000821 +7c7f1b78eb630000 +7cbd2b787c9c2378 +7fa3eb783bc00000 +600000004bfffd71 +408000147c3e1840 +7d5b4850e93f0000 +4180000c7c2ae040 +4800082c38210050 +3bde00017d5df0ae +e93f000099490000 +f93f000039290001 +000000004bffffbc +0000058001000000 +38428d483c4c0001 +3d22ffff7c0802a6 +2b860010e9297ff8 +7caa2b787d708026 +4800078991610008 +7c7c1b78f821ffa1 +7cdd33787cbe2b78 +f92100203be00000 +e922800060000000 +2c2a0000f9210028 +2c1f000040820034 +3be0000140820008 +2e2700007fff07b4 +3b7fffff7c3f2040 +3821006040810030 +7d70812081610008 +409e00104800077c +3bff0001794ae102 +7d4aeb924bffffbc +7f5ed3784bfffff4 +7d3ae9d27f5eeb92 +7d214a147d29f050 +4192001088690020 +4bfffdd55463063e +7c3df04060000000 +7c69d9aee93c0000 +4081ffc83b7bffff +7d29fa14e93c0000 +4bffff90f93c0000 +0300000000000000 +3c4c000100000680 +7c0802a638428c54 +f821ff014800067d +f86100607c7d1b79 +4182001438600000 +3bc4ffff2c240000 +4082013c3b610040 +7c6307b438210100 +2c0a00254800069c +4082062039050001 +7cbc2b7838e00000 +7ce93b7889450000 +889c000138a50001 +394700017d47d9ae +2c0800645488063e +28080078418201cc +280800684181002c +2c0800584181002c +2808005841820130 +2c08002541810088 +2c08004f418200c0 +38e7000141820118 +3904ff974bffffa4 +280b000f550b063e +3d62ffff4181ffec +790815a8396b7488 +7d085a147d0b42aa +4e8004207d0903a6 +ffffffcc00000164 ffffffccffffffcc ffffffccffffffcc -00000048ffffffcc -ffffffcc00000048 -00000048ffffffcc -00000048ffffffcc +000000cc0000006c ffffffccffffffcc -2c09006300000048 -394a00024bffff84 -7d0807b42c090025 -7d1842147d4a07b4 -986800207d585214 -408200189aca0020 -993e0000395e0001 -38b00002f9410060 -892100414bfffeb4 -7fffb850eb860000 -3aa0002039e60008 -3929ffd23b210041 -4082000c712900fd -3b2100423aa00030 -3b4000043a800000 -56b5063e3b600000 -480001687ddc00d0 -38b900012c06004f -38e8ffa8418201dc -2804002254e4063e -3c82ffff418103ac -78e715a838847600 -7ce722147ce43aaa -4e8004207ce903a6 -0000038c00000150 -0000038c0000038c -0000038c0000038c -0000038c0000038c -0000038c0000038c -000002580000038c -0000038c0000008c -0000038c0000038c -0000008c00000370 -0000038c0000038c -0000038c0000035c -000001ac0000038c -0000038c000001fc -000002980000038c -0000008c0000038c -0000038c0000038c -0000038c00000154 -2c06007500000368 -7d4152147b6a0020 -7f8ae3789aca0020 -5747183841820038 -38e7ffff39000001 -7f8840397d083836 -3940002d41820020 -9949ffff39290001 -7b491e68f9210060 -7dca50387d53482a -7d465378e8810060 -f941007838e0000a -392000007f05c378 -7fa3eb787ea8ab78 -7c84f8507c9e2050 -e88100604bfffa79 -7a8707e0e9410078 -7c9e205038c0000a -7c84f8507d455378 -4bfffbc97fa3eb78 -891900003b390001 -710600ffe9210060 -7d5e485041820010 -4181fe847c3f5040 -4bfffe307de67b78 -7b6900203a800001 -7d214a1438e00010 -7ea8ab787c8af850 -9ac900207f05c378 -7fa3eb787b491e68 -392000007d73482a -7d665b787f8b5838 -4bfff9edf9610078 -7a8707e0e8810060 -7c9e205038c00010 -7d655b78e9610078 -7b6900204bffff74 -7d214a1438e00008 -7ea8ab787c8af850 -9ac900207f05c378 -7fa3eb787b491e68 -392000007d73482a -7d665b787f8b5838 -4bfff995f9610078 -7a8707e0e8810060 -7c9e205038c00008 -7b6900204bffffa8 +ffffffcc000000b8 +ffffffcc00000048 +00000150ffffffcc +4bffff842c080063 +7d4a07b439010020 +390000757d485214 +990a002039290002 +7d2907b439410020 +3901002048000094 +7d4852147d4a07b4 +4bffffdc3900006f +991f0000393f0001 +38bc0002f9210060 +ebe1006089250000 +7c7df850712a00ff +7c23f0404182000c +392000004180febc +4bfffea4993f0000 +7d4a07b439010020 +390000737d485214 +390100204bffff90 +7d4852147d4a07b4 +4bffff7c39000070 +7d4a07b438e10020 +392900027d475214 +990a00207d2907b4 +7d2a4a147cea3b78 +7f23f05039400000 +994900203a460008 +3ac100423a600030 +3929ffd289210041 +eb060000712900fd +5669063e40820458 +3a80000060000000 +f92100683aa00004 +3a2000003ae00000 +480001a43a028018 +7d4a07b439010020 +390000787d485214 +390100204bfffef8 +7d4852147d4a07b4 +7d2907b439290002 +7d0a4378988a0020 +2c08004f4bffff7c +418201dc38f60001 +5546063e3949ffa8 +418103b828060022 +38c676443cc2ffff +7d4652aa794a15a8 +7d4903a67d4a3214 +000001584e800420 +0000039800000398 +0000039800000398 +0000039800000398 +0000039800000398 +0000039800000398 +0000008c00000268 +0000039800000398 +0000037c00000398 +000003980000008c +0000036400000398 +0000039800000398 +00000204000001ac +0000039800000398 +00000398000002ac +000003980000008c +0000015c00000398 +000003bc00000398 +7ae900202c080075 +394000007d214a14 +994900207f1ac378 +56aa183841820044 +394affff39200001 +7f0948397d295036 +3920002d4182002c +3942801860000000 +992e00007f5800d0 +f9210060392e0001 +7d2a482a7aa91e68 +e88100607f5a4838 +7f46d37838e0000a +3920000038a10020 +386100605668063e +7c84c8507c9f2050 +e88100604bfffa25 +38c0000a7a8707e0 +7c9f20507f45d378 +386100607c84c850 +3ad600014bfffb51 +e9c1006089360000 +41820010712800ff +7c39d0407f5f7050 +7e4693784181fe7c +3a8000014bfffd7c +e90100687ae90020 7d214a1438e00010 -7c8af8507f86e378 -9ac9002039000020 -392000027f05c378 -4bfff9557fa3eb78 -7e258b78e8810060 -7c9e20507fa3eb78 -4bfffa357c84f850 +38a100207c9ac850 +9a29002038610060 +7dd0482a7aa91e68 +7f0e703839200000 +4bfff9a17dc67378 7a8707e0e8810060 -7f85e37838c00010 -4bfffec87c9e2050 -390000207b690020 -38e0000a7d214a14 -7f05c37838c00001 -7c8af8509ac90020 -7fa3eb7839200000 -e92100604bfff8f9 -392900019b890000 -4bfffe94f9210060 -38a0000a7b680020 -f9410080f9210088 -388000007d014214 -9ac800207f03c378 -600000004bfff76d -7f83e378f8610078 -600000004bfff735 -e9410080e9010078 -7c281840e9210088 -e88100604181003c -7fa3eb787f85e378 -7c84f8507c9e2050 -4bfffe2c4bfff979 -392900019a490000 -7c29184039000001 -f921006040820034 -7ce9f0504bffffcc -7ce7fa147c634050 -2c2700007d4af850 -390000007c691a14 -40820008394a0001 -2c2a000139400001 -4082ffb4394affff -4082ffc071080001 -893900014bffff8c -4182004c2c09006c -4bfffdbc3b400008 -3b40000289390001 -4082fdac2c090068 -3b4000017cb92b78 -3928ffd04bfffda0 -280900095529063e -7b6900204181fd90 -7d214a143b7b0001 -4bfffd7c99090020 -4bffffb47cb92b78 -3bde0001993e0000 -fbc100607d054378 -000000004bfffa6c -0000128001000000 -f9e1ff78f9c1ff70 -fa21ff88fa01ff80 -fa61ff98fa41ff90 -faa1ffa8fa81ffa0 -fae1ffb8fac1ffb0 -fb21ffc8fb01ffc0 -fb61ffd8fb41ffd0 -fba1ffe8fb81ffe0 -fbe1fff8fbc1fff0 -4e800020f8010010 -e9e1ff78e9c1ff70 -ea21ff88ea01ff80 -ea61ff98ea41ff90 -eaa1ffa8ea81ffa0 -eae1ffb8eac1ffb0 -eb21ffc8eb01ffc0 -eb61ffd8eb41ffd0 -e8010010eb81ffe0 -7c0803a6eba1ffe8 -ebe1fff8ebc1fff0 -ebc1fff04e800020 -ebe1fff8e8010010 -4e8000207c0803a6 +7c9f205038c00010 +4bffff7c7dc57378 +394000007ae90020 +38e000087d214a14 +5668063e7c9ac850 +6000000099490020 +394280187aa91e68 +3861006038a10020 +392000007dca482a +7dc673787f0e7038 +e88100604bfff945 +38c000087a8707e0 +4bffffa47c9f2050 +394000007ae90020 +38e000107d214a14 +390000207f06c378 +38a1002099490020 +7c9ac85039200002 +4bfff90138610060 +60000000e8810060 +38a2801038610060 +7c84c8507c9f2050 +e88100604bfff9b5 +38c000107a8707e0 +7c9f20507f05c378 +7ae900204bfffec0 +7d214a1439400000 +38e0000a39000020 +9949002038c00001 +3920000038a10020 +386100607c9ac850 +e92100604bfff89d +392900019b090000 +4bfffe88f9210060 +394000007ae90020 +38a0000a7d214a14 +3861002038800000 +4bfff6f599490020 +7c6f1b7860000000 +4bfff6bd7f03c378 +7c2f184060000000 +7d0ef85040810064 +7d08ca147f5ac850 +2c2800007c637850 +394000007c6e1a14 +3b5a000138e00020 +3b40000140820008 +3b5affff2c3a0001 +714a000140820014 +f9c1006041820024 +98ee00004800001c +3940000139ce0001 +4082ffd47c237040 +e8810060f8610060 +386100607f05c378 +7c84c8507c9f2050 +4bfffdd04bfff8a5 +3aa0000889360001 +4082fdc02c09006c +4bfffdb87cf63b78 +3aa0000289360001 +4082fda82c090068 +3aa000017cf63b78 +3949ffd04bfffd9c +280a0009554a063e +7aea00204181fd8c +7d4152143af70001 +4bfffd78992a0020 +4bfffd703aa00008 +3ac100413a600020 +993f00004bfffba4 +7d0543783bff0001 +4bfffaf4fbe10060 +0100000000000000 +f9c1ff7000001280 +fa01ff80f9e1ff78 +fa41ff90fa21ff88 +fa81ffa0fa61ff98 +fac1ffb0faa1ffa8 +fb01ffc0fae1ffb8 +fb41ffd0fb21ffc8 +fb81ffe0fb61ffd8 +fbc1fff0fba1ffe8 +f8010010fbe1fff8 +e9c1ff704e800020 +ea01ff80e9e1ff78 +ea41ff90ea21ff88 +ea81ffa0ea61ff98 +eac1ffb0eaa1ffa8 +eb01ffc0eae1ffb8 +eb41ffd0eb21ffc8 +eb81ffe0eb61ffd8 +eba1ffe8e8010010 +ebc1fff07c0803a6 +4e800020ebe1fff8 +e8010010ebc1fff0 +7c0803a6ebe1fff8 +600000004e800020 6d6f636c65570a0a 63694d206f742065 2120747461776f72 @@ -1858,17 +1870,15 @@ ebe1fff8e8010010 203a46464f204853 7479622078257830 00000000000a7365 -2d2d2d2d2d2d2d2d -0000000000000000 -4d4152446574694c -6620746c69756220 -6567694d206d6f72 -646e61207325206e -2520586574694c20 -0000000000000a73 20676e69746f6f42 415242206d6f7266 0000000a2e2e2e4d +3135636632333936 +0000000000000000 +4d4152446574694c +6620746c69756220 +6574694c206d6f72 +0000000a73252058 6620676e69797254 0a2e2e2e6873616c 0000000000000000 diff --git a/litedram/generated/wukong-v2/litedram_core.v b/litedram/generated/wukong-v2/litedram_core.v index 233a914..d4db3de 100644 --- a/litedram/generated/wukong-v2/litedram_core.v +++ b/litedram/generated/wukong-v2/litedram_core.v @@ -8,8 +8,8 @@ // // Filename : litedram_core.v // Device : -// LiteX sha1 : -------- -// Date : 2022-01-14 08:32:15 +// LiteX sha1 : 6932fc51 +// Date : 2022-08-04 21:07:01 //------------------------------------------------------------------------------ @@ -69,4263 +69,4682 @@ module litedram_core ( // Signals //------------------------------------------------------------------------------ -reg main_rst = 1'd0; +reg rst_1 = 1'd0; wire sys_clk; wire sys_rst; wire sys4x_clk; wire sys4x_dqs_clk; wire iodelay_clk; wire iodelay_rst; -wire main_reset; -reg main_power_down = 1'd0; -wire main_locked; -wire main_clkin; -wire main_clkout0; -wire main_clkout_buf0; -wire main_clkout1; -wire main_clkout_buf1; -wire main_clkout2; -wire main_clkout_buf2; -wire main_clkout3; -wire main_clkout_buf3; -reg [3:0] main_reset_counter = 4'd15; -reg main_ic_reset = 1'd1; -reg main_a7ddrphy_rst_storage = 1'd0; -reg main_a7ddrphy_rst_re = 1'd0; -reg [4:0] main_a7ddrphy_half_sys8x_taps_storage = 5'd8; -reg main_a7ddrphy_half_sys8x_taps_re = 1'd0; -reg main_a7ddrphy_wlevel_en_storage = 1'd0; -reg main_a7ddrphy_wlevel_en_re = 1'd0; -reg main_a7ddrphy_wlevel_strobe_re = 1'd0; -wire main_a7ddrphy_wlevel_strobe_r; -reg main_a7ddrphy_wlevel_strobe_we = 1'd0; -reg main_a7ddrphy_wlevel_strobe_w = 1'd0; -reg [1:0] main_a7ddrphy_dly_sel_storage = 2'd0; -reg main_a7ddrphy_dly_sel_re = 1'd0; -reg main_a7ddrphy_rdly_dq_rst_re = 1'd0; -wire main_a7ddrphy_rdly_dq_rst_r; -reg main_a7ddrphy_rdly_dq_rst_we = 1'd0; -reg main_a7ddrphy_rdly_dq_rst_w = 1'd0; -reg main_a7ddrphy_rdly_dq_inc_re = 1'd0; -wire main_a7ddrphy_rdly_dq_inc_r; -reg main_a7ddrphy_rdly_dq_inc_we = 1'd0; -reg main_a7ddrphy_rdly_dq_inc_w = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_rst_re = 1'd0; -wire main_a7ddrphy_rdly_dq_bitslip_rst_r; -reg main_a7ddrphy_rdly_dq_bitslip_rst_we = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_re = 1'd0; -wire main_a7ddrphy_rdly_dq_bitslip_r; -reg main_a7ddrphy_rdly_dq_bitslip_we = 1'd0; -reg main_a7ddrphy_rdly_dq_bitslip_w = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_rst_re = 1'd0; -wire main_a7ddrphy_wdly_dq_bitslip_rst_r; -reg main_a7ddrphy_wdly_dq_bitslip_rst_we = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_rst_w = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_re = 1'd0; -wire main_a7ddrphy_wdly_dq_bitslip_r; -reg main_a7ddrphy_wdly_dq_bitslip_we = 1'd0; -reg main_a7ddrphy_wdly_dq_bitslip_w = 1'd0; -reg [1:0] main_a7ddrphy_rdphase_storage = 2'd2; -reg main_a7ddrphy_rdphase_re = 1'd0; -reg [1:0] main_a7ddrphy_wrphase_storage = 2'd3; -reg main_a7ddrphy_wrphase_re = 1'd0; -wire [13:0] main_a7ddrphy_dfi_p0_address; -wire [2:0] main_a7ddrphy_dfi_p0_bank; -wire main_a7ddrphy_dfi_p0_cas_n; -wire main_a7ddrphy_dfi_p0_cs_n; -wire main_a7ddrphy_dfi_p0_ras_n; -wire main_a7ddrphy_dfi_p0_we_n; -wire main_a7ddrphy_dfi_p0_cke; -wire main_a7ddrphy_dfi_p0_odt; -wire main_a7ddrphy_dfi_p0_reset_n; -wire main_a7ddrphy_dfi_p0_act_n; -wire [31:0] main_a7ddrphy_dfi_p0_wrdata; -wire main_a7ddrphy_dfi_p0_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p0_wrdata_mask; -wire main_a7ddrphy_dfi_p0_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p0_rddata = 32'd0; -wire main_a7ddrphy_dfi_p0_rddata_valid; -wire [13:0] main_a7ddrphy_dfi_p1_address; -wire [2:0] main_a7ddrphy_dfi_p1_bank; -wire main_a7ddrphy_dfi_p1_cas_n; -wire main_a7ddrphy_dfi_p1_cs_n; -wire main_a7ddrphy_dfi_p1_ras_n; -wire main_a7ddrphy_dfi_p1_we_n; -wire main_a7ddrphy_dfi_p1_cke; -wire main_a7ddrphy_dfi_p1_odt; -wire main_a7ddrphy_dfi_p1_reset_n; -wire main_a7ddrphy_dfi_p1_act_n; -wire [31:0] main_a7ddrphy_dfi_p1_wrdata; -wire main_a7ddrphy_dfi_p1_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p1_wrdata_mask; -wire main_a7ddrphy_dfi_p1_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p1_rddata = 32'd0; -wire main_a7ddrphy_dfi_p1_rddata_valid; -wire [13:0] main_a7ddrphy_dfi_p2_address; -wire [2:0] main_a7ddrphy_dfi_p2_bank; -wire main_a7ddrphy_dfi_p2_cas_n; -wire main_a7ddrphy_dfi_p2_cs_n; -wire main_a7ddrphy_dfi_p2_ras_n; -wire main_a7ddrphy_dfi_p2_we_n; -wire main_a7ddrphy_dfi_p2_cke; -wire main_a7ddrphy_dfi_p2_odt; -wire main_a7ddrphy_dfi_p2_reset_n; -wire main_a7ddrphy_dfi_p2_act_n; -wire [31:0] main_a7ddrphy_dfi_p2_wrdata; -wire main_a7ddrphy_dfi_p2_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p2_wrdata_mask; -wire main_a7ddrphy_dfi_p2_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p2_rddata = 32'd0; -wire main_a7ddrphy_dfi_p2_rddata_valid; -wire [13:0] main_a7ddrphy_dfi_p3_address; -wire [2:0] main_a7ddrphy_dfi_p3_bank; -wire main_a7ddrphy_dfi_p3_cas_n; -wire main_a7ddrphy_dfi_p3_cs_n; -wire main_a7ddrphy_dfi_p3_ras_n; -wire main_a7ddrphy_dfi_p3_we_n; -wire main_a7ddrphy_dfi_p3_cke; -wire main_a7ddrphy_dfi_p3_odt; -wire main_a7ddrphy_dfi_p3_reset_n; -wire main_a7ddrphy_dfi_p3_act_n; -wire [31:0] main_a7ddrphy_dfi_p3_wrdata; -wire main_a7ddrphy_dfi_p3_wrdata_en; -wire [3:0] main_a7ddrphy_dfi_p3_wrdata_mask; -wire main_a7ddrphy_dfi_p3_rddata_en; -reg [31:0] main_a7ddrphy_dfi_p3_rddata = 32'd0; -wire main_a7ddrphy_dfi_p3_rddata_valid; -wire main_a7ddrphy_sd_clk_se_nodelay; -reg main_a7ddrphy_dqs_oe = 1'd0; -wire main_a7ddrphy_dqs_preamble; -wire main_a7ddrphy_dqs_postamble; -wire main_a7ddrphy_dqs_oe_delay_tappeddelayline; -reg main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; -reg main_a7ddrphy_dqspattern0 = 1'd0; -reg main_a7ddrphy_dqspattern1 = 1'd0; -reg [7:0] main_a7ddrphy_dqspattern_o0 = 8'd0; -reg [7:0] main_a7ddrphy_dqspattern_o1 = 8'd0; -wire main_a7ddrphy_dqs_o_no_delay0; -wire main_a7ddrphy_dqs_t0; -reg [7:0] main_a7ddrphy_bitslip00 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r0 = 16'd0; -wire main_a7ddrphy0; -wire main_a7ddrphy_dqs_o_no_delay1; -wire main_a7ddrphy_dqs_t1; -reg [7:0] main_a7ddrphy_bitslip10 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r0 = 16'd0; -wire main_a7ddrphy1; -reg [7:0] main_a7ddrphy_bitslip01 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r1 = 16'd0; -reg [7:0] main_a7ddrphy_bitslip11 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r1 = 16'd0; -wire main_a7ddrphy_dq_oe; -wire main_a7ddrphy_dq_oe_delay_tappeddelayline; -reg main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; -wire main_a7ddrphy_dq_o_nodelay0; -wire main_a7ddrphy_dq_i_nodelay0; -wire main_a7ddrphy_dq_i_delayed0; -wire main_a7ddrphy_dq_t0; -reg [7:0] main_a7ddrphy_bitslip02 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value2 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r2 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip03; -reg [7:0] main_a7ddrphy_bitslip04 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip0_value3 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip0_r3 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay1; -wire main_a7ddrphy_dq_i_nodelay1; -wire main_a7ddrphy_dq_i_delayed1; -wire main_a7ddrphy_dq_t1; -reg [7:0] main_a7ddrphy_bitslip12 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value2 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r2 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip13; -reg [7:0] main_a7ddrphy_bitslip14 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip1_value3 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip1_r3 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay2; -wire main_a7ddrphy_dq_i_nodelay2; -wire main_a7ddrphy_dq_i_delayed2; -wire main_a7ddrphy_dq_t2; -reg [7:0] main_a7ddrphy_bitslip20 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip2_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip2_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip21; -reg [7:0] main_a7ddrphy_bitslip22 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip2_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip2_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay3; -wire main_a7ddrphy_dq_i_nodelay3; -wire main_a7ddrphy_dq_i_delayed3; -wire main_a7ddrphy_dq_t3; -reg [7:0] main_a7ddrphy_bitslip30 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip3_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip3_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip31; -reg [7:0] main_a7ddrphy_bitslip32 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip3_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip3_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay4; -wire main_a7ddrphy_dq_i_nodelay4; -wire main_a7ddrphy_dq_i_delayed4; -wire main_a7ddrphy_dq_t4; -reg [7:0] main_a7ddrphy_bitslip40 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip4_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip4_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip41; -reg [7:0] main_a7ddrphy_bitslip42 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip4_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip4_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay5; -wire main_a7ddrphy_dq_i_nodelay5; -wire main_a7ddrphy_dq_i_delayed5; -wire main_a7ddrphy_dq_t5; -reg [7:0] main_a7ddrphy_bitslip50 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip5_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip5_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip51; -reg [7:0] main_a7ddrphy_bitslip52 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip5_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip5_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay6; -wire main_a7ddrphy_dq_i_nodelay6; -wire main_a7ddrphy_dq_i_delayed6; -wire main_a7ddrphy_dq_t6; -reg [7:0] main_a7ddrphy_bitslip60 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip6_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip6_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip61; -reg [7:0] main_a7ddrphy_bitslip62 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip6_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip6_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay7; -wire main_a7ddrphy_dq_i_nodelay7; -wire main_a7ddrphy_dq_i_delayed7; -wire main_a7ddrphy_dq_t7; -reg [7:0] main_a7ddrphy_bitslip70 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip7_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip7_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip71; -reg [7:0] main_a7ddrphy_bitslip72 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip7_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip7_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay8; -wire main_a7ddrphy_dq_i_nodelay8; -wire main_a7ddrphy_dq_i_delayed8; -wire main_a7ddrphy_dq_t8; -reg [7:0] main_a7ddrphy_bitslip80 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip8_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip8_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip81; -reg [7:0] main_a7ddrphy_bitslip82 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip8_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip8_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay9; -wire main_a7ddrphy_dq_i_nodelay9; -wire main_a7ddrphy_dq_i_delayed9; -wire main_a7ddrphy_dq_t9; -reg [7:0] main_a7ddrphy_bitslip90 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip9_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip9_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip91; -reg [7:0] main_a7ddrphy_bitslip92 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip9_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip9_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay10; -wire main_a7ddrphy_dq_i_nodelay10; -wire main_a7ddrphy_dq_i_delayed10; -wire main_a7ddrphy_dq_t10; -reg [7:0] main_a7ddrphy_bitslip100 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip10_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip10_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip101; -reg [7:0] main_a7ddrphy_bitslip102 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip10_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip10_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay11; -wire main_a7ddrphy_dq_i_nodelay11; -wire main_a7ddrphy_dq_i_delayed11; -wire main_a7ddrphy_dq_t11; -reg [7:0] main_a7ddrphy_bitslip110 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip11_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip11_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip111; -reg [7:0] main_a7ddrphy_bitslip112 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip11_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip11_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay12; -wire main_a7ddrphy_dq_i_nodelay12; -wire main_a7ddrphy_dq_i_delayed12; -wire main_a7ddrphy_dq_t12; -reg [7:0] main_a7ddrphy_bitslip120 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip12_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip12_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip121; -reg [7:0] main_a7ddrphy_bitslip122 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip12_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip12_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay13; -wire main_a7ddrphy_dq_i_nodelay13; -wire main_a7ddrphy_dq_i_delayed13; -wire main_a7ddrphy_dq_t13; -reg [7:0] main_a7ddrphy_bitslip130 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip13_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip13_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip131; -reg [7:0] main_a7ddrphy_bitslip132 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip13_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip13_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay14; -wire main_a7ddrphy_dq_i_nodelay14; -wire main_a7ddrphy_dq_i_delayed14; -wire main_a7ddrphy_dq_t14; -reg [7:0] main_a7ddrphy_bitslip140 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip14_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip14_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip141; -reg [7:0] main_a7ddrphy_bitslip142 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip14_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip14_r1 = 16'd0; -wire main_a7ddrphy_dq_o_nodelay15; -wire main_a7ddrphy_dq_i_nodelay15; -wire main_a7ddrphy_dq_i_delayed15; -wire main_a7ddrphy_dq_t15; -reg [7:0] main_a7ddrphy_bitslip150 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip15_value0 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip15_r0 = 16'd0; -wire [7:0] main_a7ddrphy_bitslip151; -reg [7:0] main_a7ddrphy_bitslip152 = 8'd0; -reg [2:0] main_a7ddrphy_bitslip15_value1 = 3'd7; -reg [15:0] main_a7ddrphy_bitslip15_r1 = 16'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline1 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline2 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline3 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline4 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline5 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline6 = 1'd0; -reg main_a7ddrphy_rddata_en_tappeddelayline7 = 1'd0; -reg main_a7ddrphy_wrdata_en_tappeddelayline0 = 1'd0; -reg main_a7ddrphy_wrdata_en_tappeddelayline1 = 1'd0; -reg main_a7ddrphy_wrdata_en_tappeddelayline2 = 1'd0; -wire [13:0] main_litedramcore_inti_p0_address; -wire [2:0] main_litedramcore_inti_p0_bank; -reg main_litedramcore_inti_p0_cas_n = 1'd1; -reg main_litedramcore_inti_p0_cs_n = 1'd1; -reg main_litedramcore_inti_p0_ras_n = 1'd1; -reg main_litedramcore_inti_p0_we_n = 1'd1; -wire main_litedramcore_inti_p0_cke; -wire main_litedramcore_inti_p0_odt; -wire main_litedramcore_inti_p0_reset_n; -reg main_litedramcore_inti_p0_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p0_wrdata; -wire main_litedramcore_inti_p0_wrdata_en; -wire [3:0] main_litedramcore_inti_p0_wrdata_mask; -wire main_litedramcore_inti_p0_rddata_en; -reg [31:0] main_litedramcore_inti_p0_rddata = 32'd0; -reg main_litedramcore_inti_p0_rddata_valid = 1'd0; -wire [13:0] main_litedramcore_inti_p1_address; -wire [2:0] main_litedramcore_inti_p1_bank; -reg main_litedramcore_inti_p1_cas_n = 1'd1; -reg main_litedramcore_inti_p1_cs_n = 1'd1; -reg main_litedramcore_inti_p1_ras_n = 1'd1; -reg main_litedramcore_inti_p1_we_n = 1'd1; -wire main_litedramcore_inti_p1_cke; -wire main_litedramcore_inti_p1_odt; -wire main_litedramcore_inti_p1_reset_n; -reg main_litedramcore_inti_p1_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p1_wrdata; -wire main_litedramcore_inti_p1_wrdata_en; -wire [3:0] main_litedramcore_inti_p1_wrdata_mask; -wire main_litedramcore_inti_p1_rddata_en; -reg [31:0] main_litedramcore_inti_p1_rddata = 32'd0; -reg main_litedramcore_inti_p1_rddata_valid = 1'd0; -wire [13:0] main_litedramcore_inti_p2_address; -wire [2:0] main_litedramcore_inti_p2_bank; -reg main_litedramcore_inti_p2_cas_n = 1'd1; -reg main_litedramcore_inti_p2_cs_n = 1'd1; -reg main_litedramcore_inti_p2_ras_n = 1'd1; -reg main_litedramcore_inti_p2_we_n = 1'd1; -wire main_litedramcore_inti_p2_cke; -wire main_litedramcore_inti_p2_odt; -wire main_litedramcore_inti_p2_reset_n; -reg main_litedramcore_inti_p2_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p2_wrdata; -wire main_litedramcore_inti_p2_wrdata_en; -wire [3:0] main_litedramcore_inti_p2_wrdata_mask; -wire main_litedramcore_inti_p2_rddata_en; -reg [31:0] main_litedramcore_inti_p2_rddata = 32'd0; -reg main_litedramcore_inti_p2_rddata_valid = 1'd0; -wire [13:0] main_litedramcore_inti_p3_address; -wire [2:0] main_litedramcore_inti_p3_bank; -reg main_litedramcore_inti_p3_cas_n = 1'd1; -reg main_litedramcore_inti_p3_cs_n = 1'd1; -reg main_litedramcore_inti_p3_ras_n = 1'd1; -reg main_litedramcore_inti_p3_we_n = 1'd1; -wire main_litedramcore_inti_p3_cke; -wire main_litedramcore_inti_p3_odt; -wire main_litedramcore_inti_p3_reset_n; -reg main_litedramcore_inti_p3_act_n = 1'd1; -wire [31:0] main_litedramcore_inti_p3_wrdata; -wire main_litedramcore_inti_p3_wrdata_en; -wire [3:0] main_litedramcore_inti_p3_wrdata_mask; -wire main_litedramcore_inti_p3_rddata_en; -reg [31:0] main_litedramcore_inti_p3_rddata = 32'd0; -reg main_litedramcore_inti_p3_rddata_valid = 1'd0; -wire [13:0] main_litedramcore_slave_p0_address; -wire [2:0] main_litedramcore_slave_p0_bank; -wire main_litedramcore_slave_p0_cas_n; -wire main_litedramcore_slave_p0_cs_n; -wire main_litedramcore_slave_p0_ras_n; -wire main_litedramcore_slave_p0_we_n; -wire main_litedramcore_slave_p0_cke; -wire main_litedramcore_slave_p0_odt; -wire main_litedramcore_slave_p0_reset_n; -wire main_litedramcore_slave_p0_act_n; -wire [31:0] main_litedramcore_slave_p0_wrdata; -wire main_litedramcore_slave_p0_wrdata_en; -wire [3:0] main_litedramcore_slave_p0_wrdata_mask; -wire main_litedramcore_slave_p0_rddata_en; -reg [31:0] main_litedramcore_slave_p0_rddata = 32'd0; -reg main_litedramcore_slave_p0_rddata_valid = 1'd0; -wire [13:0] main_litedramcore_slave_p1_address; -wire [2:0] main_litedramcore_slave_p1_bank; -wire main_litedramcore_slave_p1_cas_n; -wire main_litedramcore_slave_p1_cs_n; -wire main_litedramcore_slave_p1_ras_n; -wire main_litedramcore_slave_p1_we_n; -wire main_litedramcore_slave_p1_cke; -wire main_litedramcore_slave_p1_odt; -wire main_litedramcore_slave_p1_reset_n; -wire main_litedramcore_slave_p1_act_n; -wire [31:0] main_litedramcore_slave_p1_wrdata; -wire main_litedramcore_slave_p1_wrdata_en; -wire [3:0] main_litedramcore_slave_p1_wrdata_mask; -wire main_litedramcore_slave_p1_rddata_en; -reg [31:0] main_litedramcore_slave_p1_rddata = 32'd0; -reg main_litedramcore_slave_p1_rddata_valid = 1'd0; -wire [13:0] main_litedramcore_slave_p2_address; -wire [2:0] main_litedramcore_slave_p2_bank; -wire main_litedramcore_slave_p2_cas_n; -wire main_litedramcore_slave_p2_cs_n; -wire main_litedramcore_slave_p2_ras_n; -wire main_litedramcore_slave_p2_we_n; -wire main_litedramcore_slave_p2_cke; -wire main_litedramcore_slave_p2_odt; -wire main_litedramcore_slave_p2_reset_n; -wire main_litedramcore_slave_p2_act_n; -wire [31:0] main_litedramcore_slave_p2_wrdata; -wire main_litedramcore_slave_p2_wrdata_en; -wire [3:0] main_litedramcore_slave_p2_wrdata_mask; -wire main_litedramcore_slave_p2_rddata_en; -reg [31:0] main_litedramcore_slave_p2_rddata = 32'd0; -reg main_litedramcore_slave_p2_rddata_valid = 1'd0; -wire [13:0] main_litedramcore_slave_p3_address; -wire [2:0] main_litedramcore_slave_p3_bank; -wire main_litedramcore_slave_p3_cas_n; -wire main_litedramcore_slave_p3_cs_n; -wire main_litedramcore_slave_p3_ras_n; -wire main_litedramcore_slave_p3_we_n; -wire main_litedramcore_slave_p3_cke; -wire main_litedramcore_slave_p3_odt; -wire main_litedramcore_slave_p3_reset_n; -wire main_litedramcore_slave_p3_act_n; -wire [31:0] main_litedramcore_slave_p3_wrdata; -wire main_litedramcore_slave_p3_wrdata_en; -wire [3:0] main_litedramcore_slave_p3_wrdata_mask; -wire main_litedramcore_slave_p3_rddata_en; -reg [31:0] main_litedramcore_slave_p3_rddata = 32'd0; -reg main_litedramcore_slave_p3_rddata_valid = 1'd0; -reg [13:0] main_litedramcore_master_p0_address = 14'd0; -reg [2:0] main_litedramcore_master_p0_bank = 3'd0; -reg main_litedramcore_master_p0_cas_n = 1'd1; -reg main_litedramcore_master_p0_cs_n = 1'd1; -reg main_litedramcore_master_p0_ras_n = 1'd1; -reg main_litedramcore_master_p0_we_n = 1'd1; -reg main_litedramcore_master_p0_cke = 1'd0; -reg main_litedramcore_master_p0_odt = 1'd0; -reg main_litedramcore_master_p0_reset_n = 1'd0; -reg main_litedramcore_master_p0_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p0_wrdata = 32'd0; -reg main_litedramcore_master_p0_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p0_wrdata_mask = 4'd0; -reg main_litedramcore_master_p0_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p0_rddata; -wire main_litedramcore_master_p0_rddata_valid; -reg [13:0] main_litedramcore_master_p1_address = 14'd0; -reg [2:0] main_litedramcore_master_p1_bank = 3'd0; -reg main_litedramcore_master_p1_cas_n = 1'd1; -reg main_litedramcore_master_p1_cs_n = 1'd1; -reg main_litedramcore_master_p1_ras_n = 1'd1; -reg main_litedramcore_master_p1_we_n = 1'd1; -reg main_litedramcore_master_p1_cke = 1'd0; -reg main_litedramcore_master_p1_odt = 1'd0; -reg main_litedramcore_master_p1_reset_n = 1'd0; -reg main_litedramcore_master_p1_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p1_wrdata = 32'd0; -reg main_litedramcore_master_p1_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p1_wrdata_mask = 4'd0; -reg main_litedramcore_master_p1_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p1_rddata; -wire main_litedramcore_master_p1_rddata_valid; -reg [13:0] main_litedramcore_master_p2_address = 14'd0; -reg [2:0] main_litedramcore_master_p2_bank = 3'd0; -reg main_litedramcore_master_p2_cas_n = 1'd1; -reg main_litedramcore_master_p2_cs_n = 1'd1; -reg main_litedramcore_master_p2_ras_n = 1'd1; -reg main_litedramcore_master_p2_we_n = 1'd1; -reg main_litedramcore_master_p2_cke = 1'd0; -reg main_litedramcore_master_p2_odt = 1'd0; -reg main_litedramcore_master_p2_reset_n = 1'd0; -reg main_litedramcore_master_p2_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p2_wrdata = 32'd0; -reg main_litedramcore_master_p2_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p2_wrdata_mask = 4'd0; -reg main_litedramcore_master_p2_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p2_rddata; -wire main_litedramcore_master_p2_rddata_valid; -reg [13:0] main_litedramcore_master_p3_address = 14'd0; -reg [2:0] main_litedramcore_master_p3_bank = 3'd0; -reg main_litedramcore_master_p3_cas_n = 1'd1; -reg main_litedramcore_master_p3_cs_n = 1'd1; -reg main_litedramcore_master_p3_ras_n = 1'd1; -reg main_litedramcore_master_p3_we_n = 1'd1; -reg main_litedramcore_master_p3_cke = 1'd0; -reg main_litedramcore_master_p3_odt = 1'd0; -reg main_litedramcore_master_p3_reset_n = 1'd0; -reg main_litedramcore_master_p3_act_n = 1'd1; -reg [31:0] main_litedramcore_master_p3_wrdata = 32'd0; -reg main_litedramcore_master_p3_wrdata_en = 1'd0; -reg [3:0] main_litedramcore_master_p3_wrdata_mask = 4'd0; -reg main_litedramcore_master_p3_rddata_en = 1'd0; -wire [31:0] main_litedramcore_master_p3_rddata; -wire main_litedramcore_master_p3_rddata_valid; -wire main_litedramcore_sel; -wire main_litedramcore_cke; -wire main_litedramcore_odt; -wire main_litedramcore_reset_n; -reg [3:0] main_litedramcore_storage = 4'd1; -reg main_litedramcore_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector0_command_storage = 6'd0; -reg main_litedramcore_phaseinjector0_command_re = 1'd0; -reg main_litedramcore_phaseinjector0_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector0_command_issue_r; -reg main_litedramcore_phaseinjector0_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector0_command_issue_w = 1'd0; -reg [13:0] main_litedramcore_phaseinjector0_address_storage = 14'd0; -reg main_litedramcore_phaseinjector0_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector0_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector0_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector0_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector0_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector0_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector0_rddata_we; -reg main_litedramcore_phaseinjector0_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector1_command_storage = 6'd0; -reg main_litedramcore_phaseinjector1_command_re = 1'd0; -reg main_litedramcore_phaseinjector1_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector1_command_issue_r; -reg main_litedramcore_phaseinjector1_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector1_command_issue_w = 1'd0; -reg [13:0] main_litedramcore_phaseinjector1_address_storage = 14'd0; -reg main_litedramcore_phaseinjector1_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector1_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector1_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector1_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector1_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector1_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector1_rddata_we; -reg main_litedramcore_phaseinjector1_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector2_command_storage = 6'd0; -reg main_litedramcore_phaseinjector2_command_re = 1'd0; -reg main_litedramcore_phaseinjector2_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector2_command_issue_r; -reg main_litedramcore_phaseinjector2_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector2_command_issue_w = 1'd0; -reg [13:0] main_litedramcore_phaseinjector2_address_storage = 14'd0; -reg main_litedramcore_phaseinjector2_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector2_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector2_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector2_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector2_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector2_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector2_rddata_we; -reg main_litedramcore_phaseinjector2_rddata_re = 1'd0; -reg [5:0] main_litedramcore_phaseinjector3_command_storage = 6'd0; -reg main_litedramcore_phaseinjector3_command_re = 1'd0; -reg main_litedramcore_phaseinjector3_command_issue_re = 1'd0; -wire main_litedramcore_phaseinjector3_command_issue_r; -reg main_litedramcore_phaseinjector3_command_issue_we = 1'd0; -reg main_litedramcore_phaseinjector3_command_issue_w = 1'd0; -reg [13:0] main_litedramcore_phaseinjector3_address_storage = 14'd0; -reg main_litedramcore_phaseinjector3_address_re = 1'd0; -reg [2:0] main_litedramcore_phaseinjector3_baddress_storage = 3'd0; -reg main_litedramcore_phaseinjector3_baddress_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector3_wrdata_storage = 32'd0; -reg main_litedramcore_phaseinjector3_wrdata_re = 1'd0; -reg [31:0] main_litedramcore_phaseinjector3_rddata_status = 32'd0; -wire main_litedramcore_phaseinjector3_rddata_we; -reg main_litedramcore_phaseinjector3_rddata_re = 1'd0; -wire main_litedramcore_interface_bank0_valid; -wire main_litedramcore_interface_bank0_ready; -wire main_litedramcore_interface_bank0_we; -wire [20:0] main_litedramcore_interface_bank0_addr; -wire main_litedramcore_interface_bank0_lock; -wire main_litedramcore_interface_bank0_wdata_ready; -wire main_litedramcore_interface_bank0_rdata_valid; -wire main_litedramcore_interface_bank1_valid; -wire main_litedramcore_interface_bank1_ready; -wire main_litedramcore_interface_bank1_we; -wire [20:0] main_litedramcore_interface_bank1_addr; -wire main_litedramcore_interface_bank1_lock; -wire main_litedramcore_interface_bank1_wdata_ready; -wire main_litedramcore_interface_bank1_rdata_valid; -wire main_litedramcore_interface_bank2_valid; -wire main_litedramcore_interface_bank2_ready; -wire main_litedramcore_interface_bank2_we; -wire [20:0] main_litedramcore_interface_bank2_addr; -wire main_litedramcore_interface_bank2_lock; -wire main_litedramcore_interface_bank2_wdata_ready; -wire main_litedramcore_interface_bank2_rdata_valid; -wire main_litedramcore_interface_bank3_valid; -wire main_litedramcore_interface_bank3_ready; -wire main_litedramcore_interface_bank3_we; -wire [20:0] main_litedramcore_interface_bank3_addr; -wire main_litedramcore_interface_bank3_lock; -wire main_litedramcore_interface_bank3_wdata_ready; -wire main_litedramcore_interface_bank3_rdata_valid; -wire main_litedramcore_interface_bank4_valid; -wire main_litedramcore_interface_bank4_ready; -wire main_litedramcore_interface_bank4_we; -wire [20:0] main_litedramcore_interface_bank4_addr; -wire main_litedramcore_interface_bank4_lock; -wire main_litedramcore_interface_bank4_wdata_ready; -wire main_litedramcore_interface_bank4_rdata_valid; -wire main_litedramcore_interface_bank5_valid; -wire main_litedramcore_interface_bank5_ready; -wire main_litedramcore_interface_bank5_we; -wire [20:0] main_litedramcore_interface_bank5_addr; -wire main_litedramcore_interface_bank5_lock; -wire main_litedramcore_interface_bank5_wdata_ready; -wire main_litedramcore_interface_bank5_rdata_valid; -wire main_litedramcore_interface_bank6_valid; -wire main_litedramcore_interface_bank6_ready; -wire main_litedramcore_interface_bank6_we; -wire [20:0] main_litedramcore_interface_bank6_addr; -wire main_litedramcore_interface_bank6_lock; -wire main_litedramcore_interface_bank6_wdata_ready; -wire main_litedramcore_interface_bank6_rdata_valid; -wire main_litedramcore_interface_bank7_valid; -wire main_litedramcore_interface_bank7_ready; -wire main_litedramcore_interface_bank7_we; -wire [20:0] main_litedramcore_interface_bank7_addr; -wire main_litedramcore_interface_bank7_lock; -wire main_litedramcore_interface_bank7_wdata_ready; -wire main_litedramcore_interface_bank7_rdata_valid; -reg [127:0] main_litedramcore_interface_wdata = 128'd0; -reg [15:0] main_litedramcore_interface_wdata_we = 16'd0; -wire [127:0] main_litedramcore_interface_rdata; -reg [13:0] main_litedramcore_dfi_p0_address = 14'd0; -reg [2:0] main_litedramcore_dfi_p0_bank = 3'd0; -reg main_litedramcore_dfi_p0_cas_n = 1'd1; -reg main_litedramcore_dfi_p0_cs_n = 1'd1; -reg main_litedramcore_dfi_p0_ras_n = 1'd1; -reg main_litedramcore_dfi_p0_we_n = 1'd1; -wire main_litedramcore_dfi_p0_cke; -wire main_litedramcore_dfi_p0_odt; -wire main_litedramcore_dfi_p0_reset_n; -reg main_litedramcore_dfi_p0_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p0_wrdata; -reg main_litedramcore_dfi_p0_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p0_wrdata_mask; -reg main_litedramcore_dfi_p0_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p0_rddata; -wire main_litedramcore_dfi_p0_rddata_valid; -reg [13:0] main_litedramcore_dfi_p1_address = 14'd0; -reg [2:0] main_litedramcore_dfi_p1_bank = 3'd0; -reg main_litedramcore_dfi_p1_cas_n = 1'd1; -reg main_litedramcore_dfi_p1_cs_n = 1'd1; -reg main_litedramcore_dfi_p1_ras_n = 1'd1; -reg main_litedramcore_dfi_p1_we_n = 1'd1; -wire main_litedramcore_dfi_p1_cke; -wire main_litedramcore_dfi_p1_odt; -wire main_litedramcore_dfi_p1_reset_n; -reg main_litedramcore_dfi_p1_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p1_wrdata; -reg main_litedramcore_dfi_p1_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p1_wrdata_mask; -reg main_litedramcore_dfi_p1_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p1_rddata; -wire main_litedramcore_dfi_p1_rddata_valid; -reg [13:0] main_litedramcore_dfi_p2_address = 14'd0; -reg [2:0] main_litedramcore_dfi_p2_bank = 3'd0; -reg main_litedramcore_dfi_p2_cas_n = 1'd1; -reg main_litedramcore_dfi_p2_cs_n = 1'd1; -reg main_litedramcore_dfi_p2_ras_n = 1'd1; -reg main_litedramcore_dfi_p2_we_n = 1'd1; -wire main_litedramcore_dfi_p2_cke; -wire main_litedramcore_dfi_p2_odt; -wire main_litedramcore_dfi_p2_reset_n; -reg main_litedramcore_dfi_p2_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p2_wrdata; -reg main_litedramcore_dfi_p2_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p2_wrdata_mask; -reg main_litedramcore_dfi_p2_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p2_rddata; -wire main_litedramcore_dfi_p2_rddata_valid; -reg [13:0] main_litedramcore_dfi_p3_address = 14'd0; -reg [2:0] main_litedramcore_dfi_p3_bank = 3'd0; -reg main_litedramcore_dfi_p3_cas_n = 1'd1; -reg main_litedramcore_dfi_p3_cs_n = 1'd1; -reg main_litedramcore_dfi_p3_ras_n = 1'd1; -reg main_litedramcore_dfi_p3_we_n = 1'd1; -wire main_litedramcore_dfi_p3_cke; -wire main_litedramcore_dfi_p3_odt; -wire main_litedramcore_dfi_p3_reset_n; -reg main_litedramcore_dfi_p3_act_n = 1'd1; -wire [31:0] main_litedramcore_dfi_p3_wrdata; -reg main_litedramcore_dfi_p3_wrdata_en = 1'd0; -wire [3:0] main_litedramcore_dfi_p3_wrdata_mask; -reg main_litedramcore_dfi_p3_rddata_en = 1'd0; -wire [31:0] main_litedramcore_dfi_p3_rddata; -wire main_litedramcore_dfi_p3_rddata_valid; -reg main_litedramcore_cmd_valid = 1'd0; -reg main_litedramcore_cmd_ready = 1'd0; -reg main_litedramcore_cmd_last = 1'd0; -reg [13:0] main_litedramcore_cmd_payload_a = 14'd0; -reg [2:0] main_litedramcore_cmd_payload_ba = 3'd0; -reg main_litedramcore_cmd_payload_cas = 1'd0; -reg main_litedramcore_cmd_payload_ras = 1'd0; -reg main_litedramcore_cmd_payload_we = 1'd0; -reg main_litedramcore_cmd_payload_is_read = 1'd0; -reg main_litedramcore_cmd_payload_is_write = 1'd0; -wire main_litedramcore_wants_refresh; -wire main_litedramcore_wants_zqcs; -wire main_litedramcore_timer_wait; -wire main_litedramcore_timer_done0; -wire [9:0] main_litedramcore_timer_count0; -wire main_litedramcore_timer_done1; -reg [9:0] main_litedramcore_timer_count1 = 10'd781; -wire main_litedramcore_postponer_req_i; -reg main_litedramcore_postponer_req_o = 1'd0; -reg main_litedramcore_postponer_count = 1'd0; -reg main_litedramcore_sequencer_start0 = 1'd0; -wire main_litedramcore_sequencer_done0; -wire main_litedramcore_sequencer_start1; -reg main_litedramcore_sequencer_done1 = 1'd0; -reg [5:0] main_litedramcore_sequencer_counter = 6'd0; -reg main_litedramcore_sequencer_count = 1'd0; -wire main_litedramcore_zqcs_timer_wait; -wire main_litedramcore_zqcs_timer_done0; -wire [26:0] main_litedramcore_zqcs_timer_count0; -wire main_litedramcore_zqcs_timer_done1; -reg [26:0] main_litedramcore_zqcs_timer_count1 = 27'd99999999; -reg main_litedramcore_zqcs_executer_start = 1'd0; -reg main_litedramcore_zqcs_executer_done = 1'd0; -reg [4:0] main_litedramcore_zqcs_executer_counter = 5'd0; -wire main_litedramcore_bankmachine0_req_valid; -wire main_litedramcore_bankmachine0_req_ready; -wire main_litedramcore_bankmachine0_req_we; -wire [20:0] main_litedramcore_bankmachine0_req_addr; -wire main_litedramcore_bankmachine0_req_lock; -reg main_litedramcore_bankmachine0_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine0_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine0_refresh_req; -reg main_litedramcore_bankmachine0_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine0_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine0_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine0_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine0_cmd_payload_ba; -reg main_litedramcore_bankmachine0_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine0_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine0_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; -wire [23:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; -wire [23:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -reg [4:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine0_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine0_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine0_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine0_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine0_row = 14'd0; -reg main_litedramcore_bankmachine0_row_opened = 1'd0; -wire main_litedramcore_bankmachine0_row_hit; -reg main_litedramcore_bankmachine0_row_open = 1'd0; -reg main_litedramcore_bankmachine0_row_close = 1'd0; -reg main_litedramcore_bankmachine0_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine0_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine0_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_trccon_count = 3'd0; -wire main_litedramcore_bankmachine0_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine0_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine0_trascon_count = 3'd0; -wire main_litedramcore_bankmachine1_req_valid; -wire main_litedramcore_bankmachine1_req_ready; -wire main_litedramcore_bankmachine1_req_we; -wire [20:0] main_litedramcore_bankmachine1_req_addr; -wire main_litedramcore_bankmachine1_req_lock; -reg main_litedramcore_bankmachine1_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine1_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine1_refresh_req; -reg main_litedramcore_bankmachine1_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine1_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine1_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine1_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine1_cmd_payload_ba; -reg main_litedramcore_bankmachine1_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine1_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine1_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; -wire [23:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; -wire [23:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -reg [4:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine1_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine1_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine1_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine1_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine1_row = 14'd0; -reg main_litedramcore_bankmachine1_row_opened = 1'd0; -wire main_litedramcore_bankmachine1_row_hit; -reg main_litedramcore_bankmachine1_row_open = 1'd0; -reg main_litedramcore_bankmachine1_row_close = 1'd0; -reg main_litedramcore_bankmachine1_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine1_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine1_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_trccon_count = 3'd0; -wire main_litedramcore_bankmachine1_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine1_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine1_trascon_count = 3'd0; -wire main_litedramcore_bankmachine2_req_valid; -wire main_litedramcore_bankmachine2_req_ready; -wire main_litedramcore_bankmachine2_req_we; -wire [20:0] main_litedramcore_bankmachine2_req_addr; -wire main_litedramcore_bankmachine2_req_lock; -reg main_litedramcore_bankmachine2_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine2_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine2_refresh_req; -reg main_litedramcore_bankmachine2_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine2_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine2_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine2_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine2_cmd_payload_ba; -reg main_litedramcore_bankmachine2_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine2_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine2_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; -wire [23:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; -wire [23:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -reg [4:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine2_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine2_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine2_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine2_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine2_row = 14'd0; -reg main_litedramcore_bankmachine2_row_opened = 1'd0; -wire main_litedramcore_bankmachine2_row_hit; -reg main_litedramcore_bankmachine2_row_open = 1'd0; -reg main_litedramcore_bankmachine2_row_close = 1'd0; -reg main_litedramcore_bankmachine2_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine2_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine2_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_trccon_count = 3'd0; -wire main_litedramcore_bankmachine2_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine2_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine2_trascon_count = 3'd0; -wire main_litedramcore_bankmachine3_req_valid; -wire main_litedramcore_bankmachine3_req_ready; -wire main_litedramcore_bankmachine3_req_we; -wire [20:0] main_litedramcore_bankmachine3_req_addr; -wire main_litedramcore_bankmachine3_req_lock; -reg main_litedramcore_bankmachine3_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine3_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine3_refresh_req; -reg main_litedramcore_bankmachine3_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine3_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine3_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine3_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine3_cmd_payload_ba; -reg main_litedramcore_bankmachine3_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine3_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine3_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; -wire [23:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; -wire [23:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -reg [4:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine3_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine3_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine3_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine3_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine3_row = 14'd0; -reg main_litedramcore_bankmachine3_row_opened = 1'd0; -wire main_litedramcore_bankmachine3_row_hit; -reg main_litedramcore_bankmachine3_row_open = 1'd0; -reg main_litedramcore_bankmachine3_row_close = 1'd0; -reg main_litedramcore_bankmachine3_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine3_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine3_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_trccon_count = 3'd0; -wire main_litedramcore_bankmachine3_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine3_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine3_trascon_count = 3'd0; -wire main_litedramcore_bankmachine4_req_valid; -wire main_litedramcore_bankmachine4_req_ready; -wire main_litedramcore_bankmachine4_req_we; -wire [20:0] main_litedramcore_bankmachine4_req_addr; -wire main_litedramcore_bankmachine4_req_lock; -reg main_litedramcore_bankmachine4_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine4_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine4_refresh_req; -reg main_litedramcore_bankmachine4_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine4_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine4_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine4_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine4_cmd_payload_ba; -reg main_litedramcore_bankmachine4_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine4_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine4_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; -wire [23:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; -wire [23:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -reg [4:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine4_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine4_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine4_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine4_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine4_row = 14'd0; -reg main_litedramcore_bankmachine4_row_opened = 1'd0; -wire main_litedramcore_bankmachine4_row_hit; -reg main_litedramcore_bankmachine4_row_open = 1'd0; -reg main_litedramcore_bankmachine4_row_close = 1'd0; -reg main_litedramcore_bankmachine4_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine4_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine4_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_trccon_count = 3'd0; -wire main_litedramcore_bankmachine4_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine4_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine4_trascon_count = 3'd0; -wire main_litedramcore_bankmachine5_req_valid; -wire main_litedramcore_bankmachine5_req_ready; -wire main_litedramcore_bankmachine5_req_we; -wire [20:0] main_litedramcore_bankmachine5_req_addr; -wire main_litedramcore_bankmachine5_req_lock; -reg main_litedramcore_bankmachine5_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine5_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine5_refresh_req; -reg main_litedramcore_bankmachine5_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine5_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine5_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine5_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine5_cmd_payload_ba; -reg main_litedramcore_bankmachine5_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine5_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine5_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; -wire [23:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; -wire [23:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -reg [4:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine5_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine5_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine5_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine5_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine5_row = 14'd0; -reg main_litedramcore_bankmachine5_row_opened = 1'd0; -wire main_litedramcore_bankmachine5_row_hit; -reg main_litedramcore_bankmachine5_row_open = 1'd0; -reg main_litedramcore_bankmachine5_row_close = 1'd0; -reg main_litedramcore_bankmachine5_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine5_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine5_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_trccon_count = 3'd0; -wire main_litedramcore_bankmachine5_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine5_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine5_trascon_count = 3'd0; -wire main_litedramcore_bankmachine6_req_valid; -wire main_litedramcore_bankmachine6_req_ready; -wire main_litedramcore_bankmachine6_req_we; -wire [20:0] main_litedramcore_bankmachine6_req_addr; -wire main_litedramcore_bankmachine6_req_lock; -reg main_litedramcore_bankmachine6_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine6_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine6_refresh_req; -reg main_litedramcore_bankmachine6_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine6_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine6_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine6_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine6_cmd_payload_ba; -reg main_litedramcore_bankmachine6_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine6_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine6_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; -wire [23:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; -wire [23:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -reg [4:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine6_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine6_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine6_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine6_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine6_row = 14'd0; -reg main_litedramcore_bankmachine6_row_opened = 1'd0; -wire main_litedramcore_bankmachine6_row_hit; -reg main_litedramcore_bankmachine6_row_open = 1'd0; -reg main_litedramcore_bankmachine6_row_close = 1'd0; -reg main_litedramcore_bankmachine6_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine6_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine6_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_trccon_count = 3'd0; -wire main_litedramcore_bankmachine6_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine6_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine6_trascon_count = 3'd0; -wire main_litedramcore_bankmachine7_req_valid; -wire main_litedramcore_bankmachine7_req_ready; -wire main_litedramcore_bankmachine7_req_we; -wire [20:0] main_litedramcore_bankmachine7_req_addr; -wire main_litedramcore_bankmachine7_req_lock; -reg main_litedramcore_bankmachine7_req_wdata_ready = 1'd0; -reg main_litedramcore_bankmachine7_req_rdata_valid = 1'd0; -wire main_litedramcore_bankmachine7_refresh_req; -reg main_litedramcore_bankmachine7_refresh_gnt = 1'd0; -reg main_litedramcore_bankmachine7_cmd_valid = 1'd0; -reg main_litedramcore_bankmachine7_cmd_ready = 1'd0; -reg [13:0] main_litedramcore_bankmachine7_cmd_payload_a = 14'd0; -wire [2:0] main_litedramcore_bankmachine7_cmd_payload_ba; -reg main_litedramcore_bankmachine7_cmd_payload_cas = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_ras = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_we = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_cmd = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_read = 1'd0; -reg main_litedramcore_bankmachine7_cmd_payload_is_write = 1'd0; -reg main_litedramcore_bankmachine7_auto_precharge = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; -wire [20:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; -wire [23:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; -wire [23:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -reg [4:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_level = 5'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace = 1'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce = 4'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume = 4'd0; -reg [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr = 4'd0; -wire [23:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we; -wire [23:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read; -wire [3:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr; -wire [23:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we; -wire [20:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; -wire [20:0] main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; -wire main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_valid; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_ready; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_first; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_last; -wire main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we; -wire [20:0] main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; -reg main_litedramcore_bankmachine7_cmd_buffer_source_valid = 1'd0; -wire main_litedramcore_bankmachine7_cmd_buffer_source_ready; -reg main_litedramcore_bankmachine7_cmd_buffer_source_first = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_source_last = 1'd0; -reg main_litedramcore_bankmachine7_cmd_buffer_source_payload_we = 1'd0; -reg [20:0] main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr = 21'd0; -reg [13:0] main_litedramcore_bankmachine7_row = 14'd0; -reg main_litedramcore_bankmachine7_row_opened = 1'd0; -wire main_litedramcore_bankmachine7_row_hit; -reg main_litedramcore_bankmachine7_row_open = 1'd0; -reg main_litedramcore_bankmachine7_row_close = 1'd0; -reg main_litedramcore_bankmachine7_row_col_n_addr_sel = 1'd0; -wire main_litedramcore_bankmachine7_twtpcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_twtpcon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_twtpcon_count = 3'd0; -wire main_litedramcore_bankmachine7_trccon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_trccon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_trccon_count = 3'd0; -wire main_litedramcore_bankmachine7_trascon_valid; -(* dont_touch = "true" *) reg main_litedramcore_bankmachine7_trascon_ready = 1'd0; -reg [2:0] main_litedramcore_bankmachine7_trascon_count = 3'd0; -wire main_litedramcore_ras_allowed; -wire main_litedramcore_cas_allowed; -wire [1:0] main_litedramcore_rdcmdphase; -wire [1:0] main_litedramcore_wrcmdphase; -reg main_litedramcore_choose_cmd_want_reads = 1'd0; -reg main_litedramcore_choose_cmd_want_writes = 1'd0; -reg main_litedramcore_choose_cmd_want_cmds = 1'd0; -reg main_litedramcore_choose_cmd_want_activates = 1'd0; -wire main_litedramcore_choose_cmd_cmd_valid; -reg main_litedramcore_choose_cmd_cmd_ready = 1'd0; -wire [13:0] main_litedramcore_choose_cmd_cmd_payload_a; -wire [2:0] main_litedramcore_choose_cmd_cmd_payload_ba; -reg main_litedramcore_choose_cmd_cmd_payload_cas = 1'd0; -reg main_litedramcore_choose_cmd_cmd_payload_ras = 1'd0; -reg main_litedramcore_choose_cmd_cmd_payload_we = 1'd0; -wire main_litedramcore_choose_cmd_cmd_payload_is_cmd; -wire main_litedramcore_choose_cmd_cmd_payload_is_read; -wire main_litedramcore_choose_cmd_cmd_payload_is_write; -reg [7:0] main_litedramcore_choose_cmd_valids = 8'd0; -wire [7:0] main_litedramcore_choose_cmd_request; -reg [2:0] main_litedramcore_choose_cmd_grant = 3'd0; -wire main_litedramcore_choose_cmd_ce; -reg main_litedramcore_choose_req_want_reads = 1'd0; -reg main_litedramcore_choose_req_want_writes = 1'd0; -reg main_litedramcore_choose_req_want_cmds = 1'd0; -reg main_litedramcore_choose_req_want_activates = 1'd0; -wire main_litedramcore_choose_req_cmd_valid; -reg main_litedramcore_choose_req_cmd_ready = 1'd0; -wire [13:0] main_litedramcore_choose_req_cmd_payload_a; -wire [2:0] main_litedramcore_choose_req_cmd_payload_ba; -reg main_litedramcore_choose_req_cmd_payload_cas = 1'd0; -reg main_litedramcore_choose_req_cmd_payload_ras = 1'd0; -reg main_litedramcore_choose_req_cmd_payload_we = 1'd0; -wire main_litedramcore_choose_req_cmd_payload_is_cmd; -wire main_litedramcore_choose_req_cmd_payload_is_read; -wire main_litedramcore_choose_req_cmd_payload_is_write; -reg [7:0] main_litedramcore_choose_req_valids = 8'd0; -wire [7:0] main_litedramcore_choose_req_request; -reg [2:0] main_litedramcore_choose_req_grant = 3'd0; -wire main_litedramcore_choose_req_ce; -reg [13:0] main_litedramcore_nop_a = 14'd0; -reg [2:0] main_litedramcore_nop_ba = 3'd0; -reg [1:0] main_litedramcore_steerer_sel0 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel1 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel2 = 2'd0; -reg [1:0] main_litedramcore_steerer_sel3 = 2'd0; -reg main_litedramcore_steerer0 = 1'd1; -reg main_litedramcore_steerer1 = 1'd1; -reg main_litedramcore_steerer2 = 1'd1; -reg main_litedramcore_steerer3 = 1'd1; -reg main_litedramcore_steerer4 = 1'd1; -reg main_litedramcore_steerer5 = 1'd1; -reg main_litedramcore_steerer6 = 1'd1; -reg main_litedramcore_steerer7 = 1'd1; -wire main_litedramcore_trrdcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_trrdcon_ready = 1'd0; -reg main_litedramcore_trrdcon_count = 1'd0; -wire main_litedramcore_tfawcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_tfawcon_ready = 1'd1; -wire [2:0] main_litedramcore_tfawcon_count; -reg [4:0] main_litedramcore_tfawcon_window = 5'd0; -wire main_litedramcore_tccdcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_tccdcon_ready = 1'd0; -reg main_litedramcore_tccdcon_count = 1'd0; -wire main_litedramcore_twtrcon_valid; -(* dont_touch = "true" *) reg main_litedramcore_twtrcon_ready = 1'd0; -reg [2:0] main_litedramcore_twtrcon_count = 3'd0; -wire main_litedramcore_read_available; -wire main_litedramcore_write_available; -reg main_litedramcore_en0 = 1'd0; -wire main_litedramcore_max_time0; -reg [4:0] main_litedramcore_time0 = 5'd0; -reg main_litedramcore_en1 = 1'd0; -wire main_litedramcore_max_time1; -reg [3:0] main_litedramcore_time1 = 4'd0; -wire main_litedramcore_go_to_refresh; -reg main_init_done_storage = 1'd0; -reg main_init_done_re = 1'd0; -reg main_init_error_storage = 1'd0; -reg main_init_error_re = 1'd0; -wire [29:0] main_wb_bus_adr; -wire [31:0] main_wb_bus_dat_w; -wire [31:0] main_wb_bus_dat_r; -wire [3:0] main_wb_bus_sel; -wire main_wb_bus_cyc; -wire main_wb_bus_stb; -wire main_wb_bus_ack; -wire main_wb_bus_we; -wire [2:0] main_wb_bus_cti; -wire [1:0] main_wb_bus_bte; -wire main_wb_bus_err; -wire main_user_enable; -wire main_user_port_cmd_valid; -wire main_user_port_cmd_ready; -wire main_user_port_cmd_payload_we; -wire [23:0] main_user_port_cmd_payload_addr; -wire main_user_port_wdata_valid; -wire main_user_port_wdata_ready; -wire [127:0] main_user_port_wdata_payload_data; -wire [15:0] main_user_port_wdata_payload_we; -wire main_user_port_rdata_valid; -wire main_user_port_rdata_ready; -wire [127:0] main_user_port_rdata_payload_data; -wire builder_reset0; -wire builder_reset1; -wire builder_reset2; -wire builder_reset3; -wire builder_reset4; -wire builder_reset5; -wire builder_reset6; -wire builder_reset7; -wire builder_pll_fb; -reg [1:0] builder_refresher_state = 2'd0; -reg [1:0] builder_refresher_next_state = 2'd0; -reg [3:0] builder_bankmachine0_state = 4'd0; -reg [3:0] builder_bankmachine0_next_state = 4'd0; -reg [3:0] builder_bankmachine1_state = 4'd0; -reg [3:0] builder_bankmachine1_next_state = 4'd0; -reg [3:0] builder_bankmachine2_state = 4'd0; -reg [3:0] builder_bankmachine2_next_state = 4'd0; -reg [3:0] builder_bankmachine3_state = 4'd0; -reg [3:0] builder_bankmachine3_next_state = 4'd0; -reg [3:0] builder_bankmachine4_state = 4'd0; -reg [3:0] builder_bankmachine4_next_state = 4'd0; -reg [3:0] builder_bankmachine5_state = 4'd0; -reg [3:0] builder_bankmachine5_next_state = 4'd0; -reg [3:0] builder_bankmachine6_state = 4'd0; -reg [3:0] builder_bankmachine6_next_state = 4'd0; -reg [3:0] builder_bankmachine7_state = 4'd0; -reg [3:0] builder_bankmachine7_next_state = 4'd0; -reg [3:0] builder_multiplexer_state = 4'd0; -reg [3:0] builder_multiplexer_next_state = 4'd0; -wire builder_roundrobin0_request; -wire builder_roundrobin0_grant; -wire builder_roundrobin0_ce; -wire builder_roundrobin1_request; -wire builder_roundrobin1_grant; -wire builder_roundrobin1_ce; -wire builder_roundrobin2_request; -wire builder_roundrobin2_grant; -wire builder_roundrobin2_ce; -wire builder_roundrobin3_request; -wire builder_roundrobin3_grant; -wire builder_roundrobin3_ce; -wire builder_roundrobin4_request; -wire builder_roundrobin4_grant; -wire builder_roundrobin4_ce; -wire builder_roundrobin5_request; -wire builder_roundrobin5_grant; -wire builder_roundrobin5_ce; -wire builder_roundrobin6_request; -wire builder_roundrobin6_grant; -wire builder_roundrobin6_ce; -wire builder_roundrobin7_request; -wire builder_roundrobin7_grant; -wire builder_roundrobin7_ce; -reg builder_locked0 = 1'd0; -reg builder_locked1 = 1'd0; -reg builder_locked2 = 1'd0; -reg builder_locked3 = 1'd0; -reg builder_locked4 = 1'd0; -reg builder_locked5 = 1'd0; -reg builder_locked6 = 1'd0; -reg builder_locked7 = 1'd0; -reg builder_new_master_wdata_ready0 = 1'd0; -reg builder_new_master_wdata_ready1 = 1'd0; -reg builder_new_master_rdata_valid0 = 1'd0; -reg builder_new_master_rdata_valid1 = 1'd0; -reg builder_new_master_rdata_valid2 = 1'd0; -reg builder_new_master_rdata_valid3 = 1'd0; -reg builder_new_master_rdata_valid4 = 1'd0; -reg builder_new_master_rdata_valid5 = 1'd0; -reg builder_new_master_rdata_valid6 = 1'd0; -reg builder_new_master_rdata_valid7 = 1'd0; -reg builder_new_master_rdata_valid8 = 1'd0; -reg [13:0] builder_litedramcore_adr = 14'd0; -reg builder_litedramcore_we = 1'd0; -reg [31:0] builder_litedramcore_dat_w = 32'd0; -wire [31:0] builder_litedramcore_dat_r; -wire [29:0] builder_litedramcore_wishbone_adr; -wire [31:0] builder_litedramcore_wishbone_dat_w; -reg [31:0] builder_litedramcore_wishbone_dat_r = 32'd0; -wire [3:0] builder_litedramcore_wishbone_sel; -wire builder_litedramcore_wishbone_cyc; -wire builder_litedramcore_wishbone_stb; -reg builder_litedramcore_wishbone_ack = 1'd0; -wire builder_litedramcore_wishbone_we; -wire [2:0] builder_litedramcore_wishbone_cti; -wire [1:0] builder_litedramcore_wishbone_bte; -reg builder_litedramcore_wishbone_err = 1'd0; -wire [13:0] builder_interface0_bank_bus_adr; -wire builder_interface0_bank_bus_we; -wire [31:0] builder_interface0_bank_bus_dat_w; -reg [31:0] builder_interface0_bank_bus_dat_r = 32'd0; -reg builder_csrbank0_init_done0_re = 1'd0; -wire builder_csrbank0_init_done0_r; -reg builder_csrbank0_init_done0_we = 1'd0; -wire builder_csrbank0_init_done0_w; -reg builder_csrbank0_init_error0_re = 1'd0; -wire builder_csrbank0_init_error0_r; -reg builder_csrbank0_init_error0_we = 1'd0; -wire builder_csrbank0_init_error0_w; -wire builder_csrbank0_sel; -wire [13:0] builder_interface1_bank_bus_adr; -wire builder_interface1_bank_bus_we; -wire [31:0] builder_interface1_bank_bus_dat_w; -reg [31:0] builder_interface1_bank_bus_dat_r = 32'd0; -reg builder_csrbank1_rst0_re = 1'd0; -wire builder_csrbank1_rst0_r; -reg builder_csrbank1_rst0_we = 1'd0; -wire builder_csrbank1_rst0_w; -reg builder_csrbank1_half_sys8x_taps0_re = 1'd0; -wire [4:0] builder_csrbank1_half_sys8x_taps0_r; -reg builder_csrbank1_half_sys8x_taps0_we = 1'd0; -wire [4:0] builder_csrbank1_half_sys8x_taps0_w; -reg builder_csrbank1_wlevel_en0_re = 1'd0; -wire builder_csrbank1_wlevel_en0_r; -reg builder_csrbank1_wlevel_en0_we = 1'd0; -wire builder_csrbank1_wlevel_en0_w; -reg builder_csrbank1_dly_sel0_re = 1'd0; -wire [1:0] builder_csrbank1_dly_sel0_r; -reg builder_csrbank1_dly_sel0_we = 1'd0; -wire [1:0] builder_csrbank1_dly_sel0_w; -reg builder_csrbank1_rdphase0_re = 1'd0; -wire [1:0] builder_csrbank1_rdphase0_r; -reg builder_csrbank1_rdphase0_we = 1'd0; -wire [1:0] builder_csrbank1_rdphase0_w; -reg builder_csrbank1_wrphase0_re = 1'd0; -wire [1:0] builder_csrbank1_wrphase0_r; -reg builder_csrbank1_wrphase0_we = 1'd0; -wire [1:0] builder_csrbank1_wrphase0_w; -wire builder_csrbank1_sel; -wire [13:0] builder_interface2_bank_bus_adr; -wire builder_interface2_bank_bus_we; -wire [31:0] builder_interface2_bank_bus_dat_w; -reg [31:0] builder_interface2_bank_bus_dat_r = 32'd0; -reg builder_csrbank2_dfii_control0_re = 1'd0; -wire [3:0] builder_csrbank2_dfii_control0_r; -reg builder_csrbank2_dfii_control0_we = 1'd0; -wire [3:0] builder_csrbank2_dfii_control0_w; -reg builder_csrbank2_dfii_pi0_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi0_command0_r; -reg builder_csrbank2_dfii_pi0_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi0_command0_w; -reg builder_csrbank2_dfii_pi0_address0_re = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi0_address0_r; -reg builder_csrbank2_dfii_pi0_address0_we = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi0_address0_w; -reg builder_csrbank2_dfii_pi0_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi0_baddress0_r; -reg builder_csrbank2_dfii_pi0_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi0_baddress0_w; -reg builder_csrbank2_dfii_pi0_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_wrdata0_r; -reg builder_csrbank2_dfii_pi0_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_wrdata0_w; -reg builder_csrbank2_dfii_pi0_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_rddata_r; -reg builder_csrbank2_dfii_pi0_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi0_rddata_w; -reg builder_csrbank2_dfii_pi1_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi1_command0_r; -reg builder_csrbank2_dfii_pi1_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi1_command0_w; -reg builder_csrbank2_dfii_pi1_address0_re = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi1_address0_r; -reg builder_csrbank2_dfii_pi1_address0_we = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi1_address0_w; -reg builder_csrbank2_dfii_pi1_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi1_baddress0_r; -reg builder_csrbank2_dfii_pi1_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi1_baddress0_w; -reg builder_csrbank2_dfii_pi1_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_wrdata0_r; -reg builder_csrbank2_dfii_pi1_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_wrdata0_w; -reg builder_csrbank2_dfii_pi1_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_rddata_r; -reg builder_csrbank2_dfii_pi1_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi1_rddata_w; -reg builder_csrbank2_dfii_pi2_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi2_command0_r; -reg builder_csrbank2_dfii_pi2_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi2_command0_w; -reg builder_csrbank2_dfii_pi2_address0_re = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi2_address0_r; -reg builder_csrbank2_dfii_pi2_address0_we = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi2_address0_w; -reg builder_csrbank2_dfii_pi2_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi2_baddress0_r; -reg builder_csrbank2_dfii_pi2_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi2_baddress0_w; -reg builder_csrbank2_dfii_pi2_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_wrdata0_r; -reg builder_csrbank2_dfii_pi2_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_wrdata0_w; -reg builder_csrbank2_dfii_pi2_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_rddata_r; -reg builder_csrbank2_dfii_pi2_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi2_rddata_w; -reg builder_csrbank2_dfii_pi3_command0_re = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi3_command0_r; -reg builder_csrbank2_dfii_pi3_command0_we = 1'd0; -wire [5:0] builder_csrbank2_dfii_pi3_command0_w; -reg builder_csrbank2_dfii_pi3_address0_re = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi3_address0_r; -reg builder_csrbank2_dfii_pi3_address0_we = 1'd0; -wire [13:0] builder_csrbank2_dfii_pi3_address0_w; -reg builder_csrbank2_dfii_pi3_baddress0_re = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi3_baddress0_r; -reg builder_csrbank2_dfii_pi3_baddress0_we = 1'd0; -wire [2:0] builder_csrbank2_dfii_pi3_baddress0_w; -reg builder_csrbank2_dfii_pi3_wrdata0_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_wrdata0_r; -reg builder_csrbank2_dfii_pi3_wrdata0_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_wrdata0_w; -reg builder_csrbank2_dfii_pi3_rddata_re = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_rddata_r; -reg builder_csrbank2_dfii_pi3_rddata_we = 1'd0; -wire [31:0] builder_csrbank2_dfii_pi3_rddata_w; -wire builder_csrbank2_sel; -wire [13:0] builder_csr_interconnect_adr; -wire builder_csr_interconnect_we; -wire [31:0] builder_csr_interconnect_dat_w; -wire [31:0] builder_csr_interconnect_dat_r; -reg [1:0] builder_state = 2'd0; -reg [1:0] builder_next_state = 2'd0; -reg [31:0] builder_litedramcore_dat_w_next_value0 = 32'd0; -reg builder_litedramcore_dat_w_next_value_ce0 = 1'd0; -reg [13:0] builder_litedramcore_adr_next_value1 = 14'd0; -reg builder_litedramcore_adr_next_value_ce1 = 1'd0; -reg builder_litedramcore_we_next_value2 = 1'd0; -reg builder_litedramcore_we_next_value_ce2 = 1'd0; -reg builder_rhs_array_muxed0 = 1'd0; -reg [13:0] builder_rhs_array_muxed1 = 14'd0; -reg [2:0] builder_rhs_array_muxed2 = 3'd0; -reg builder_rhs_array_muxed3 = 1'd0; -reg builder_rhs_array_muxed4 = 1'd0; -reg builder_rhs_array_muxed5 = 1'd0; -reg builder_t_array_muxed0 = 1'd0; -reg builder_t_array_muxed1 = 1'd0; -reg builder_t_array_muxed2 = 1'd0; -reg builder_rhs_array_muxed6 = 1'd0; -reg [13:0] builder_rhs_array_muxed7 = 14'd0; -reg [2:0] builder_rhs_array_muxed8 = 3'd0; -reg builder_rhs_array_muxed9 = 1'd0; -reg builder_rhs_array_muxed10 = 1'd0; -reg builder_rhs_array_muxed11 = 1'd0; -reg builder_t_array_muxed3 = 1'd0; -reg builder_t_array_muxed4 = 1'd0; -reg builder_t_array_muxed5 = 1'd0; -reg [20:0] builder_rhs_array_muxed12 = 21'd0; -reg builder_rhs_array_muxed13 = 1'd0; -reg builder_rhs_array_muxed14 = 1'd0; -reg [20:0] builder_rhs_array_muxed15 = 21'd0; -reg builder_rhs_array_muxed16 = 1'd0; -reg builder_rhs_array_muxed17 = 1'd0; -reg [20:0] builder_rhs_array_muxed18 = 21'd0; -reg builder_rhs_array_muxed19 = 1'd0; -reg builder_rhs_array_muxed20 = 1'd0; -reg [20:0] builder_rhs_array_muxed21 = 21'd0; -reg builder_rhs_array_muxed22 = 1'd0; -reg builder_rhs_array_muxed23 = 1'd0; -reg [20:0] builder_rhs_array_muxed24 = 21'd0; -reg builder_rhs_array_muxed25 = 1'd0; -reg builder_rhs_array_muxed26 = 1'd0; -reg [20:0] builder_rhs_array_muxed27 = 21'd0; -reg builder_rhs_array_muxed28 = 1'd0; -reg builder_rhs_array_muxed29 = 1'd0; -reg [20:0] builder_rhs_array_muxed30 = 21'd0; -reg builder_rhs_array_muxed31 = 1'd0; -reg builder_rhs_array_muxed32 = 1'd0; -reg [20:0] builder_rhs_array_muxed33 = 21'd0; -reg builder_rhs_array_muxed34 = 1'd0; -reg builder_rhs_array_muxed35 = 1'd0; -reg [2:0] builder_array_muxed0 = 3'd0; -reg [13:0] builder_array_muxed1 = 14'd0; -reg builder_array_muxed2 = 1'd0; -reg builder_array_muxed3 = 1'd0; -reg builder_array_muxed4 = 1'd0; -reg builder_array_muxed5 = 1'd0; -reg builder_array_muxed6 = 1'd0; -reg [2:0] builder_array_muxed7 = 3'd0; -reg [13:0] builder_array_muxed8 = 14'd0; -reg builder_array_muxed9 = 1'd0; -reg builder_array_muxed10 = 1'd0; -reg builder_array_muxed11 = 1'd0; -reg builder_array_muxed12 = 1'd0; -reg builder_array_muxed13 = 1'd0; -reg [2:0] builder_array_muxed14 = 3'd0; -reg [13:0] builder_array_muxed15 = 14'd0; -reg builder_array_muxed16 = 1'd0; -reg builder_array_muxed17 = 1'd0; -reg builder_array_muxed18 = 1'd0; -reg builder_array_muxed19 = 1'd0; -reg builder_array_muxed20 = 1'd0; -reg [2:0] builder_array_muxed21 = 3'd0; -reg [13:0] builder_array_muxed22 = 14'd0; -reg builder_array_muxed23 = 1'd0; -reg builder_array_muxed24 = 1'd0; -reg builder_array_muxed25 = 1'd0; -reg builder_array_muxed26 = 1'd0; -reg builder_array_muxed27 = 1'd0; -wire builder_xilinxasyncresetsynchronizerimpl0; -wire builder_xilinxasyncresetsynchronizerimpl0_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl1; -wire builder_xilinxasyncresetsynchronizerimpl1_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl2; -wire builder_xilinxasyncresetsynchronizerimpl2_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl2_expr; -wire builder_xilinxasyncresetsynchronizerimpl3; -wire builder_xilinxasyncresetsynchronizerimpl3_rst_meta; -wire builder_xilinxasyncresetsynchronizerimpl3_expr; +wire reset; +reg power_down = 1'd0; +wire locked; +wire clkin; +wire clkout0; +wire clkout_buf0; +wire clkout1; +wire clkout_buf1; +wire clkout2; +wire clkout_buf2; +wire clkout3; +wire clkout_buf3; +reg [3:0] reset_counter = 4'd15; +reg ic_reset = 1'd1; +reg a7ddrphy_rst_storage = 1'd0; +reg a7ddrphy_rst_re = 1'd0; +reg [1:0] a7ddrphy_dly_sel_storage = 2'd0; +reg a7ddrphy_dly_sel_re = 1'd0; +reg [4:0] a7ddrphy_half_sys8x_taps_storage = 5'd8; +reg a7ddrphy_half_sys8x_taps_re = 1'd0; +reg a7ddrphy_wlevel_en_storage = 1'd0; +reg a7ddrphy_wlevel_en_re = 1'd0; +reg a7ddrphy_wlevel_strobe_re = 1'd0; +wire a7ddrphy_wlevel_strobe_r; +reg a7ddrphy_wlevel_strobe_we = 1'd0; +reg a7ddrphy_wlevel_strobe_w = 1'd0; +reg a7ddrphy_rdly_dq_rst_re = 1'd0; +wire a7ddrphy_rdly_dq_rst_r; +reg a7ddrphy_rdly_dq_rst_we = 1'd0; +reg a7ddrphy_rdly_dq_rst_w = 1'd0; +reg a7ddrphy_rdly_dq_inc_re = 1'd0; +wire a7ddrphy_rdly_dq_inc_r; +reg a7ddrphy_rdly_dq_inc_we = 1'd0; +reg a7ddrphy_rdly_dq_inc_w = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_rst_re = 1'd0; +wire a7ddrphy_rdly_dq_bitslip_rst_r; +reg a7ddrphy_rdly_dq_bitslip_rst_we = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_re = 1'd0; +wire a7ddrphy_rdly_dq_bitslip_r; +reg a7ddrphy_rdly_dq_bitslip_we = 1'd0; +reg a7ddrphy_rdly_dq_bitslip_w = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_rst_re = 1'd0; +wire a7ddrphy_wdly_dq_bitslip_rst_r; +reg a7ddrphy_wdly_dq_bitslip_rst_we = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_rst_w = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_re = 1'd0; +wire a7ddrphy_wdly_dq_bitslip_r; +reg a7ddrphy_wdly_dq_bitslip_we = 1'd0; +reg a7ddrphy_wdly_dq_bitslip_w = 1'd0; +reg [1:0] a7ddrphy_rdphase_storage = 2'd2; +reg a7ddrphy_rdphase_re = 1'd0; +reg [1:0] a7ddrphy_wrphase_storage = 2'd3; +reg a7ddrphy_wrphase_re = 1'd0; +wire [13:0] a7ddrphy_dfi_p0_address; +wire [2:0] a7ddrphy_dfi_p0_bank; +wire a7ddrphy_dfi_p0_cas_n; +wire a7ddrphy_dfi_p0_cs_n; +wire a7ddrphy_dfi_p0_ras_n; +wire a7ddrphy_dfi_p0_we_n; +wire a7ddrphy_dfi_p0_cke; +wire a7ddrphy_dfi_p0_odt; +wire a7ddrphy_dfi_p0_reset_n; +wire a7ddrphy_dfi_p0_act_n; +wire [31:0] a7ddrphy_dfi_p0_wrdata; +wire a7ddrphy_dfi_p0_wrdata_en; +wire [3:0] a7ddrphy_dfi_p0_wrdata_mask; +wire a7ddrphy_dfi_p0_rddata_en; +reg [31:0] a7ddrphy_dfi_p0_rddata = 32'd0; +wire a7ddrphy_dfi_p0_rddata_valid; +wire [13:0] a7ddrphy_dfi_p1_address; +wire [2:0] a7ddrphy_dfi_p1_bank; +wire a7ddrphy_dfi_p1_cas_n; +wire a7ddrphy_dfi_p1_cs_n; +wire a7ddrphy_dfi_p1_ras_n; +wire a7ddrphy_dfi_p1_we_n; +wire a7ddrphy_dfi_p1_cke; +wire a7ddrphy_dfi_p1_odt; +wire a7ddrphy_dfi_p1_reset_n; +wire a7ddrphy_dfi_p1_act_n; +wire [31:0] a7ddrphy_dfi_p1_wrdata; +wire a7ddrphy_dfi_p1_wrdata_en; +wire [3:0] a7ddrphy_dfi_p1_wrdata_mask; +wire a7ddrphy_dfi_p1_rddata_en; +reg [31:0] a7ddrphy_dfi_p1_rddata = 32'd0; +wire a7ddrphy_dfi_p1_rddata_valid; +wire [13:0] a7ddrphy_dfi_p2_address; +wire [2:0] a7ddrphy_dfi_p2_bank; +wire a7ddrphy_dfi_p2_cas_n; +wire a7ddrphy_dfi_p2_cs_n; +wire a7ddrphy_dfi_p2_ras_n; +wire a7ddrphy_dfi_p2_we_n; +wire a7ddrphy_dfi_p2_cke; +wire a7ddrphy_dfi_p2_odt; +wire a7ddrphy_dfi_p2_reset_n; +wire a7ddrphy_dfi_p2_act_n; +wire [31:0] a7ddrphy_dfi_p2_wrdata; +wire a7ddrphy_dfi_p2_wrdata_en; +wire [3:0] a7ddrphy_dfi_p2_wrdata_mask; +wire a7ddrphy_dfi_p2_rddata_en; +reg [31:0] a7ddrphy_dfi_p2_rddata = 32'd0; +wire a7ddrphy_dfi_p2_rddata_valid; +wire [13:0] a7ddrphy_dfi_p3_address; +wire [2:0] a7ddrphy_dfi_p3_bank; +wire a7ddrphy_dfi_p3_cas_n; +wire a7ddrphy_dfi_p3_cs_n; +wire a7ddrphy_dfi_p3_ras_n; +wire a7ddrphy_dfi_p3_we_n; +wire a7ddrphy_dfi_p3_cke; +wire a7ddrphy_dfi_p3_odt; +wire a7ddrphy_dfi_p3_reset_n; +wire a7ddrphy_dfi_p3_act_n; +wire [31:0] a7ddrphy_dfi_p3_wrdata; +wire a7ddrphy_dfi_p3_wrdata_en; +wire [3:0] a7ddrphy_dfi_p3_wrdata_mask; +wire a7ddrphy_dfi_p3_rddata_en; +reg [31:0] a7ddrphy_dfi_p3_rddata = 32'd0; +wire a7ddrphy_dfi_p3_rddata_valid; +wire a7ddrphy_sd_clk_se_nodelay; +wire [2:0] a7ddrphy_pads_ba; +reg a7ddrphy_dqs_oe = 1'd0; +wire a7ddrphy_dqs_preamble; +wire a7ddrphy_dqs_postamble; +wire a7ddrphy_dqs_oe_delay_tappeddelayline; +reg a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; +reg a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; +reg a7ddrphy_dqspattern0 = 1'd0; +reg a7ddrphy_dqspattern1 = 1'd0; +reg [7:0] a7ddrphy_dqspattern_o0 = 8'd0; +reg [7:0] a7ddrphy_dqspattern_o1 = 8'd0; +wire a7ddrphy_dqs_o_no_delay0; +wire a7ddrphy_dqs_t0; +reg [7:0] a7ddrphy_bitslip00 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r0 = 16'd0; +wire a7ddrphy0; +wire a7ddrphy_dqs_o_no_delay1; +wire a7ddrphy_dqs_t1; +reg [7:0] a7ddrphy_bitslip10 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r0 = 16'd0; +wire a7ddrphy1; +reg [7:0] a7ddrphy_bitslip01 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r1 = 16'd0; +reg [7:0] a7ddrphy_bitslip11 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r1 = 16'd0; +wire a7ddrphy_dq_oe; +wire a7ddrphy_dq_oe_delay_tappeddelayline; +reg a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 = 1'd0; +reg a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 = 1'd0; +wire a7ddrphy_dq_o_nodelay0; +wire a7ddrphy_dq_i_nodelay0; +wire a7ddrphy_dq_i_delayed0; +wire a7ddrphy_dq_t0; +reg [7:0] a7ddrphy_bitslip02 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value2 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r2 = 16'd0; +wire [7:0] a7ddrphy_bitslip03; +reg [7:0] a7ddrphy_bitslip04 = 8'd0; +reg [2:0] a7ddrphy_bitslip0_value3 = 3'd7; +reg [15:0] a7ddrphy_bitslip0_r3 = 16'd0; +wire a7ddrphy_dq_o_nodelay1; +wire a7ddrphy_dq_i_nodelay1; +wire a7ddrphy_dq_i_delayed1; +wire a7ddrphy_dq_t1; +reg [7:0] a7ddrphy_bitslip12 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value2 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r2 = 16'd0; +wire [7:0] a7ddrphy_bitslip13; +reg [7:0] a7ddrphy_bitslip14 = 8'd0; +reg [2:0] a7ddrphy_bitslip1_value3 = 3'd7; +reg [15:0] a7ddrphy_bitslip1_r3 = 16'd0; +wire a7ddrphy_dq_o_nodelay2; +wire a7ddrphy_dq_i_nodelay2; +wire a7ddrphy_dq_i_delayed2; +wire a7ddrphy_dq_t2; +reg [7:0] a7ddrphy_bitslip20 = 8'd0; +reg [2:0] a7ddrphy_bitslip2_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip2_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip21; +reg [7:0] a7ddrphy_bitslip22 = 8'd0; +reg [2:0] a7ddrphy_bitslip2_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip2_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay3; +wire a7ddrphy_dq_i_nodelay3; +wire a7ddrphy_dq_i_delayed3; +wire a7ddrphy_dq_t3; +reg [7:0] a7ddrphy_bitslip30 = 8'd0; +reg [2:0] a7ddrphy_bitslip3_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip3_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip31; +reg [7:0] a7ddrphy_bitslip32 = 8'd0; +reg [2:0] a7ddrphy_bitslip3_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip3_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay4; +wire a7ddrphy_dq_i_nodelay4; +wire a7ddrphy_dq_i_delayed4; +wire a7ddrphy_dq_t4; +reg [7:0] a7ddrphy_bitslip40 = 8'd0; +reg [2:0] a7ddrphy_bitslip4_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip4_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip41; +reg [7:0] a7ddrphy_bitslip42 = 8'd0; +reg [2:0] a7ddrphy_bitslip4_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip4_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay5; +wire a7ddrphy_dq_i_nodelay5; +wire a7ddrphy_dq_i_delayed5; +wire a7ddrphy_dq_t5; +reg [7:0] a7ddrphy_bitslip50 = 8'd0; +reg [2:0] a7ddrphy_bitslip5_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip5_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip51; +reg [7:0] a7ddrphy_bitslip52 = 8'd0; +reg [2:0] a7ddrphy_bitslip5_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip5_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay6; +wire a7ddrphy_dq_i_nodelay6; +wire a7ddrphy_dq_i_delayed6; +wire a7ddrphy_dq_t6; +reg [7:0] a7ddrphy_bitslip60 = 8'd0; +reg [2:0] a7ddrphy_bitslip6_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip6_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip61; +reg [7:0] a7ddrphy_bitslip62 = 8'd0; +reg [2:0] a7ddrphy_bitslip6_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip6_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay7; +wire a7ddrphy_dq_i_nodelay7; +wire a7ddrphy_dq_i_delayed7; +wire a7ddrphy_dq_t7; +reg [7:0] a7ddrphy_bitslip70 = 8'd0; +reg [2:0] a7ddrphy_bitslip7_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip7_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip71; +reg [7:0] a7ddrphy_bitslip72 = 8'd0; +reg [2:0] a7ddrphy_bitslip7_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip7_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay8; +wire a7ddrphy_dq_i_nodelay8; +wire a7ddrphy_dq_i_delayed8; +wire a7ddrphy_dq_t8; +reg [7:0] a7ddrphy_bitslip80 = 8'd0; +reg [2:0] a7ddrphy_bitslip8_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip8_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip81; +reg [7:0] a7ddrphy_bitslip82 = 8'd0; +reg [2:0] a7ddrphy_bitslip8_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip8_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay9; +wire a7ddrphy_dq_i_nodelay9; +wire a7ddrphy_dq_i_delayed9; +wire a7ddrphy_dq_t9; +reg [7:0] a7ddrphy_bitslip90 = 8'd0; +reg [2:0] a7ddrphy_bitslip9_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip9_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip91; +reg [7:0] a7ddrphy_bitslip92 = 8'd0; +reg [2:0] a7ddrphy_bitslip9_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip9_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay10; +wire a7ddrphy_dq_i_nodelay10; +wire a7ddrphy_dq_i_delayed10; +wire a7ddrphy_dq_t10; +reg [7:0] a7ddrphy_bitslip100 = 8'd0; +reg [2:0] a7ddrphy_bitslip10_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip10_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip101; +reg [7:0] a7ddrphy_bitslip102 = 8'd0; +reg [2:0] a7ddrphy_bitslip10_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip10_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay11; +wire a7ddrphy_dq_i_nodelay11; +wire a7ddrphy_dq_i_delayed11; +wire a7ddrphy_dq_t11; +reg [7:0] a7ddrphy_bitslip110 = 8'd0; +reg [2:0] a7ddrphy_bitslip11_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip11_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip111; +reg [7:0] a7ddrphy_bitslip112 = 8'd0; +reg [2:0] a7ddrphy_bitslip11_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip11_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay12; +wire a7ddrphy_dq_i_nodelay12; +wire a7ddrphy_dq_i_delayed12; +wire a7ddrphy_dq_t12; +reg [7:0] a7ddrphy_bitslip120 = 8'd0; +reg [2:0] a7ddrphy_bitslip12_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip12_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip121; +reg [7:0] a7ddrphy_bitslip122 = 8'd0; +reg [2:0] a7ddrphy_bitslip12_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip12_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay13; +wire a7ddrphy_dq_i_nodelay13; +wire a7ddrphy_dq_i_delayed13; +wire a7ddrphy_dq_t13; +reg [7:0] a7ddrphy_bitslip130 = 8'd0; +reg [2:0] a7ddrphy_bitslip13_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip13_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip131; +reg [7:0] a7ddrphy_bitslip132 = 8'd0; +reg [2:0] a7ddrphy_bitslip13_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip13_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay14; +wire a7ddrphy_dq_i_nodelay14; +wire a7ddrphy_dq_i_delayed14; +wire a7ddrphy_dq_t14; +reg [7:0] a7ddrphy_bitslip140 = 8'd0; +reg [2:0] a7ddrphy_bitslip14_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip14_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip141; +reg [7:0] a7ddrphy_bitslip142 = 8'd0; +reg [2:0] a7ddrphy_bitslip14_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip14_r1 = 16'd0; +wire a7ddrphy_dq_o_nodelay15; +wire a7ddrphy_dq_i_nodelay15; +wire a7ddrphy_dq_i_delayed15; +wire a7ddrphy_dq_t15; +reg [7:0] a7ddrphy_bitslip150 = 8'd0; +reg [2:0] a7ddrphy_bitslip15_value0 = 3'd7; +reg [15:0] a7ddrphy_bitslip15_r0 = 16'd0; +wire [7:0] a7ddrphy_bitslip151; +reg [7:0] a7ddrphy_bitslip152 = 8'd0; +reg [2:0] a7ddrphy_bitslip15_value1 = 3'd7; +reg [15:0] a7ddrphy_bitslip15_r1 = 16'd0; +reg a7ddrphy_rddata_en_tappeddelayline0 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline1 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline2 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline3 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline4 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline5 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline6 = 1'd0; +reg a7ddrphy_rddata_en_tappeddelayline7 = 1'd0; +reg a7ddrphy_wrdata_en_tappeddelayline0 = 1'd0; +reg a7ddrphy_wrdata_en_tappeddelayline1 = 1'd0; +reg a7ddrphy_wrdata_en_tappeddelayline2 = 1'd0; +wire [13:0] litedramcore_slave_p0_address; +wire [2:0] litedramcore_slave_p0_bank; +wire litedramcore_slave_p0_cas_n; +wire litedramcore_slave_p0_cs_n; +wire litedramcore_slave_p0_ras_n; +wire litedramcore_slave_p0_we_n; +wire litedramcore_slave_p0_cke; +wire litedramcore_slave_p0_odt; +wire litedramcore_slave_p0_reset_n; +wire litedramcore_slave_p0_act_n; +wire [31:0] litedramcore_slave_p0_wrdata; +wire litedramcore_slave_p0_wrdata_en; +wire [3:0] litedramcore_slave_p0_wrdata_mask; +wire litedramcore_slave_p0_rddata_en; +reg [31:0] litedramcore_slave_p0_rddata = 32'd0; +reg litedramcore_slave_p0_rddata_valid = 1'd0; +wire [13:0] litedramcore_slave_p1_address; +wire [2:0] litedramcore_slave_p1_bank; +wire litedramcore_slave_p1_cas_n; +wire litedramcore_slave_p1_cs_n; +wire litedramcore_slave_p1_ras_n; +wire litedramcore_slave_p1_we_n; +wire litedramcore_slave_p1_cke; +wire litedramcore_slave_p1_odt; +wire litedramcore_slave_p1_reset_n; +wire litedramcore_slave_p1_act_n; +wire [31:0] litedramcore_slave_p1_wrdata; +wire litedramcore_slave_p1_wrdata_en; +wire [3:0] litedramcore_slave_p1_wrdata_mask; +wire litedramcore_slave_p1_rddata_en; +reg [31:0] litedramcore_slave_p1_rddata = 32'd0; +reg litedramcore_slave_p1_rddata_valid = 1'd0; +wire [13:0] litedramcore_slave_p2_address; +wire [2:0] litedramcore_slave_p2_bank; +wire litedramcore_slave_p2_cas_n; +wire litedramcore_slave_p2_cs_n; +wire litedramcore_slave_p2_ras_n; +wire litedramcore_slave_p2_we_n; +wire litedramcore_slave_p2_cke; +wire litedramcore_slave_p2_odt; +wire litedramcore_slave_p2_reset_n; +wire litedramcore_slave_p2_act_n; +wire [31:0] litedramcore_slave_p2_wrdata; +wire litedramcore_slave_p2_wrdata_en; +wire [3:0] litedramcore_slave_p2_wrdata_mask; +wire litedramcore_slave_p2_rddata_en; +reg [31:0] litedramcore_slave_p2_rddata = 32'd0; +reg litedramcore_slave_p2_rddata_valid = 1'd0; +wire [13:0] litedramcore_slave_p3_address; +wire [2:0] litedramcore_slave_p3_bank; +wire litedramcore_slave_p3_cas_n; +wire litedramcore_slave_p3_cs_n; +wire litedramcore_slave_p3_ras_n; +wire litedramcore_slave_p3_we_n; +wire litedramcore_slave_p3_cke; +wire litedramcore_slave_p3_odt; +wire litedramcore_slave_p3_reset_n; +wire litedramcore_slave_p3_act_n; +wire [31:0] litedramcore_slave_p3_wrdata; +wire litedramcore_slave_p3_wrdata_en; +wire [3:0] litedramcore_slave_p3_wrdata_mask; +wire litedramcore_slave_p3_rddata_en; +reg [31:0] litedramcore_slave_p3_rddata = 32'd0; +reg litedramcore_slave_p3_rddata_valid = 1'd0; +reg [13:0] litedramcore_master_p0_address = 14'd0; +reg [2:0] litedramcore_master_p0_bank = 3'd0; +reg litedramcore_master_p0_cas_n = 1'd1; +reg litedramcore_master_p0_cs_n = 1'd1; +reg litedramcore_master_p0_ras_n = 1'd1; +reg litedramcore_master_p0_we_n = 1'd1; +reg litedramcore_master_p0_cke = 1'd0; +reg litedramcore_master_p0_odt = 1'd0; +reg litedramcore_master_p0_reset_n = 1'd0; +reg litedramcore_master_p0_act_n = 1'd1; +reg [31:0] litedramcore_master_p0_wrdata = 32'd0; +reg litedramcore_master_p0_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p0_wrdata_mask = 4'd0; +reg litedramcore_master_p0_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p0_rddata; +wire litedramcore_master_p0_rddata_valid; +reg [13:0] litedramcore_master_p1_address = 14'd0; +reg [2:0] litedramcore_master_p1_bank = 3'd0; +reg litedramcore_master_p1_cas_n = 1'd1; +reg litedramcore_master_p1_cs_n = 1'd1; +reg litedramcore_master_p1_ras_n = 1'd1; +reg litedramcore_master_p1_we_n = 1'd1; +reg litedramcore_master_p1_cke = 1'd0; +reg litedramcore_master_p1_odt = 1'd0; +reg litedramcore_master_p1_reset_n = 1'd0; +reg litedramcore_master_p1_act_n = 1'd1; +reg [31:0] litedramcore_master_p1_wrdata = 32'd0; +reg litedramcore_master_p1_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p1_wrdata_mask = 4'd0; +reg litedramcore_master_p1_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p1_rddata; +wire litedramcore_master_p1_rddata_valid; +reg [13:0] litedramcore_master_p2_address = 14'd0; +reg [2:0] litedramcore_master_p2_bank = 3'd0; +reg litedramcore_master_p2_cas_n = 1'd1; +reg litedramcore_master_p2_cs_n = 1'd1; +reg litedramcore_master_p2_ras_n = 1'd1; +reg litedramcore_master_p2_we_n = 1'd1; +reg litedramcore_master_p2_cke = 1'd0; +reg litedramcore_master_p2_odt = 1'd0; +reg litedramcore_master_p2_reset_n = 1'd0; +reg litedramcore_master_p2_act_n = 1'd1; +reg [31:0] litedramcore_master_p2_wrdata = 32'd0; +reg litedramcore_master_p2_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p2_wrdata_mask = 4'd0; +reg litedramcore_master_p2_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p2_rddata; +wire litedramcore_master_p2_rddata_valid; +reg [13:0] litedramcore_master_p3_address = 14'd0; +reg [2:0] litedramcore_master_p3_bank = 3'd0; +reg litedramcore_master_p3_cas_n = 1'd1; +reg litedramcore_master_p3_cs_n = 1'd1; +reg litedramcore_master_p3_ras_n = 1'd1; +reg litedramcore_master_p3_we_n = 1'd1; +reg litedramcore_master_p3_cke = 1'd0; +reg litedramcore_master_p3_odt = 1'd0; +reg litedramcore_master_p3_reset_n = 1'd0; +reg litedramcore_master_p3_act_n = 1'd1; +reg [31:0] litedramcore_master_p3_wrdata = 32'd0; +reg litedramcore_master_p3_wrdata_en = 1'd0; +reg [3:0] litedramcore_master_p3_wrdata_mask = 4'd0; +reg litedramcore_master_p3_rddata_en = 1'd0; +wire [31:0] litedramcore_master_p3_rddata; +wire litedramcore_master_p3_rddata_valid; +wire [13:0] litedramcore_csr_dfi_p0_address; +wire [2:0] litedramcore_csr_dfi_p0_bank; +reg litedramcore_csr_dfi_p0_cas_n = 1'd1; +reg litedramcore_csr_dfi_p0_cs_n = 1'd1; +reg litedramcore_csr_dfi_p0_ras_n = 1'd1; +reg litedramcore_csr_dfi_p0_we_n = 1'd1; +wire litedramcore_csr_dfi_p0_cke; +wire litedramcore_csr_dfi_p0_odt; +wire litedramcore_csr_dfi_p0_reset_n; +reg litedramcore_csr_dfi_p0_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p0_wrdata; +wire litedramcore_csr_dfi_p0_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p0_wrdata_mask; +wire litedramcore_csr_dfi_p0_rddata_en; +reg [31:0] litedramcore_csr_dfi_p0_rddata = 32'd0; +reg litedramcore_csr_dfi_p0_rddata_valid = 1'd0; +wire [13:0] litedramcore_csr_dfi_p1_address; +wire [2:0] litedramcore_csr_dfi_p1_bank; +reg litedramcore_csr_dfi_p1_cas_n = 1'd1; +reg litedramcore_csr_dfi_p1_cs_n = 1'd1; +reg litedramcore_csr_dfi_p1_ras_n = 1'd1; +reg litedramcore_csr_dfi_p1_we_n = 1'd1; +wire litedramcore_csr_dfi_p1_cke; +wire litedramcore_csr_dfi_p1_odt; +wire litedramcore_csr_dfi_p1_reset_n; +reg litedramcore_csr_dfi_p1_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p1_wrdata; +wire litedramcore_csr_dfi_p1_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p1_wrdata_mask; +wire litedramcore_csr_dfi_p1_rddata_en; +reg [31:0] litedramcore_csr_dfi_p1_rddata = 32'd0; +reg litedramcore_csr_dfi_p1_rddata_valid = 1'd0; +wire [13:0] litedramcore_csr_dfi_p2_address; +wire [2:0] litedramcore_csr_dfi_p2_bank; +reg litedramcore_csr_dfi_p2_cas_n = 1'd1; +reg litedramcore_csr_dfi_p2_cs_n = 1'd1; +reg litedramcore_csr_dfi_p2_ras_n = 1'd1; +reg litedramcore_csr_dfi_p2_we_n = 1'd1; +wire litedramcore_csr_dfi_p2_cke; +wire litedramcore_csr_dfi_p2_odt; +wire litedramcore_csr_dfi_p2_reset_n; +reg litedramcore_csr_dfi_p2_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p2_wrdata; +wire litedramcore_csr_dfi_p2_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p2_wrdata_mask; +wire litedramcore_csr_dfi_p2_rddata_en; +reg [31:0] litedramcore_csr_dfi_p2_rddata = 32'd0; +reg litedramcore_csr_dfi_p2_rddata_valid = 1'd0; +wire [13:0] litedramcore_csr_dfi_p3_address; +wire [2:0] litedramcore_csr_dfi_p3_bank; +reg litedramcore_csr_dfi_p3_cas_n = 1'd1; +reg litedramcore_csr_dfi_p3_cs_n = 1'd1; +reg litedramcore_csr_dfi_p3_ras_n = 1'd1; +reg litedramcore_csr_dfi_p3_we_n = 1'd1; +wire litedramcore_csr_dfi_p3_cke; +wire litedramcore_csr_dfi_p3_odt; +wire litedramcore_csr_dfi_p3_reset_n; +reg litedramcore_csr_dfi_p3_act_n = 1'd1; +wire [31:0] litedramcore_csr_dfi_p3_wrdata; +wire litedramcore_csr_dfi_p3_wrdata_en; +wire [3:0] litedramcore_csr_dfi_p3_wrdata_mask; +wire litedramcore_csr_dfi_p3_rddata_en; +reg [31:0] litedramcore_csr_dfi_p3_rddata = 32'd0; +reg litedramcore_csr_dfi_p3_rddata_valid = 1'd0; +reg [13:0] litedramcore_ext_dfi_p0_address = 14'd0; +reg [2:0] litedramcore_ext_dfi_p0_bank = 3'd0; +reg litedramcore_ext_dfi_p0_cas_n = 1'd1; +reg litedramcore_ext_dfi_p0_cs_n = 1'd1; +reg litedramcore_ext_dfi_p0_ras_n = 1'd1; +reg litedramcore_ext_dfi_p0_we_n = 1'd1; +reg litedramcore_ext_dfi_p0_cke = 1'd0; +reg litedramcore_ext_dfi_p0_odt = 1'd0; +reg litedramcore_ext_dfi_p0_reset_n = 1'd0; +reg litedramcore_ext_dfi_p0_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p0_wrdata = 32'd0; +reg litedramcore_ext_dfi_p0_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p0_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p0_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p0_rddata = 32'd0; +reg litedramcore_ext_dfi_p0_rddata_valid = 1'd0; +reg [13:0] litedramcore_ext_dfi_p1_address = 14'd0; +reg [2:0] litedramcore_ext_dfi_p1_bank = 3'd0; +reg litedramcore_ext_dfi_p1_cas_n = 1'd1; +reg litedramcore_ext_dfi_p1_cs_n = 1'd1; +reg litedramcore_ext_dfi_p1_ras_n = 1'd1; +reg litedramcore_ext_dfi_p1_we_n = 1'd1; +reg litedramcore_ext_dfi_p1_cke = 1'd0; +reg litedramcore_ext_dfi_p1_odt = 1'd0; +reg litedramcore_ext_dfi_p1_reset_n = 1'd0; +reg litedramcore_ext_dfi_p1_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p1_wrdata = 32'd0; +reg litedramcore_ext_dfi_p1_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p1_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p1_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p1_rddata = 32'd0; +reg litedramcore_ext_dfi_p1_rddata_valid = 1'd0; +reg [13:0] litedramcore_ext_dfi_p2_address = 14'd0; +reg [2:0] litedramcore_ext_dfi_p2_bank = 3'd0; +reg litedramcore_ext_dfi_p2_cas_n = 1'd1; +reg litedramcore_ext_dfi_p2_cs_n = 1'd1; +reg litedramcore_ext_dfi_p2_ras_n = 1'd1; +reg litedramcore_ext_dfi_p2_we_n = 1'd1; +reg litedramcore_ext_dfi_p2_cke = 1'd0; +reg litedramcore_ext_dfi_p2_odt = 1'd0; +reg litedramcore_ext_dfi_p2_reset_n = 1'd0; +reg litedramcore_ext_dfi_p2_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p2_wrdata = 32'd0; +reg litedramcore_ext_dfi_p2_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p2_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p2_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p2_rddata = 32'd0; +reg litedramcore_ext_dfi_p2_rddata_valid = 1'd0; +reg [13:0] litedramcore_ext_dfi_p3_address = 14'd0; +reg [2:0] litedramcore_ext_dfi_p3_bank = 3'd0; +reg litedramcore_ext_dfi_p3_cas_n = 1'd1; +reg litedramcore_ext_dfi_p3_cs_n = 1'd1; +reg litedramcore_ext_dfi_p3_ras_n = 1'd1; +reg litedramcore_ext_dfi_p3_we_n = 1'd1; +reg litedramcore_ext_dfi_p3_cke = 1'd0; +reg litedramcore_ext_dfi_p3_odt = 1'd0; +reg litedramcore_ext_dfi_p3_reset_n = 1'd0; +reg litedramcore_ext_dfi_p3_act_n = 1'd1; +reg [31:0] litedramcore_ext_dfi_p3_wrdata = 32'd0; +reg litedramcore_ext_dfi_p3_wrdata_en = 1'd0; +reg [3:0] litedramcore_ext_dfi_p3_wrdata_mask = 4'd0; +reg litedramcore_ext_dfi_p3_rddata_en = 1'd0; +reg [31:0] litedramcore_ext_dfi_p3_rddata = 32'd0; +reg litedramcore_ext_dfi_p3_rddata_valid = 1'd0; +reg litedramcore_ext_dfi_sel = 1'd0; +wire litedramcore_sel; +wire litedramcore_cke; +wire litedramcore_odt; +wire litedramcore_reset_n; +reg [3:0] litedramcore_storage = 4'd1; +reg litedramcore_re = 1'd0; +wire litedramcore_phaseinjector0_csrfield_cs; +wire litedramcore_phaseinjector0_csrfield_we; +wire litedramcore_phaseinjector0_csrfield_cas; +wire litedramcore_phaseinjector0_csrfield_ras; +wire litedramcore_phaseinjector0_csrfield_wren; +wire litedramcore_phaseinjector0_csrfield_rden; +reg [5:0] litedramcore_phaseinjector0_command_storage = 6'd0; +reg litedramcore_phaseinjector0_command_re = 1'd0; +reg litedramcore_phaseinjector0_command_issue_re = 1'd0; +wire litedramcore_phaseinjector0_command_issue_r; +reg litedramcore_phaseinjector0_command_issue_we = 1'd0; +reg litedramcore_phaseinjector0_command_issue_w = 1'd0; +reg [13:0] litedramcore_phaseinjector0_address_storage = 14'd0; +reg litedramcore_phaseinjector0_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector0_baddress_storage = 3'd0; +reg litedramcore_phaseinjector0_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector0_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector0_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector0_rddata_status = 32'd0; +wire litedramcore_phaseinjector0_rddata_we; +reg litedramcore_phaseinjector0_rddata_re = 1'd0; +wire litedramcore_phaseinjector1_csrfield_cs; +wire litedramcore_phaseinjector1_csrfield_we; +wire litedramcore_phaseinjector1_csrfield_cas; +wire litedramcore_phaseinjector1_csrfield_ras; +wire litedramcore_phaseinjector1_csrfield_wren; +wire litedramcore_phaseinjector1_csrfield_rden; +reg [5:0] litedramcore_phaseinjector1_command_storage = 6'd0; +reg litedramcore_phaseinjector1_command_re = 1'd0; +reg litedramcore_phaseinjector1_command_issue_re = 1'd0; +wire litedramcore_phaseinjector1_command_issue_r; +reg litedramcore_phaseinjector1_command_issue_we = 1'd0; +reg litedramcore_phaseinjector1_command_issue_w = 1'd0; +reg [13:0] litedramcore_phaseinjector1_address_storage = 14'd0; +reg litedramcore_phaseinjector1_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector1_baddress_storage = 3'd0; +reg litedramcore_phaseinjector1_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector1_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector1_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector1_rddata_status = 32'd0; +wire litedramcore_phaseinjector1_rddata_we; +reg litedramcore_phaseinjector1_rddata_re = 1'd0; +wire litedramcore_phaseinjector2_csrfield_cs; +wire litedramcore_phaseinjector2_csrfield_we; +wire litedramcore_phaseinjector2_csrfield_cas; +wire litedramcore_phaseinjector2_csrfield_ras; +wire litedramcore_phaseinjector2_csrfield_wren; +wire litedramcore_phaseinjector2_csrfield_rden; +reg [5:0] litedramcore_phaseinjector2_command_storage = 6'd0; +reg litedramcore_phaseinjector2_command_re = 1'd0; +reg litedramcore_phaseinjector2_command_issue_re = 1'd0; +wire litedramcore_phaseinjector2_command_issue_r; +reg litedramcore_phaseinjector2_command_issue_we = 1'd0; +reg litedramcore_phaseinjector2_command_issue_w = 1'd0; +reg [13:0] litedramcore_phaseinjector2_address_storage = 14'd0; +reg litedramcore_phaseinjector2_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector2_baddress_storage = 3'd0; +reg litedramcore_phaseinjector2_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector2_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector2_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector2_rddata_status = 32'd0; +wire litedramcore_phaseinjector2_rddata_we; +reg litedramcore_phaseinjector2_rddata_re = 1'd0; +wire litedramcore_phaseinjector3_csrfield_cs; +wire litedramcore_phaseinjector3_csrfield_we; +wire litedramcore_phaseinjector3_csrfield_cas; +wire litedramcore_phaseinjector3_csrfield_ras; +wire litedramcore_phaseinjector3_csrfield_wren; +wire litedramcore_phaseinjector3_csrfield_rden; +reg [5:0] litedramcore_phaseinjector3_command_storage = 6'd0; +reg litedramcore_phaseinjector3_command_re = 1'd0; +reg litedramcore_phaseinjector3_command_issue_re = 1'd0; +wire litedramcore_phaseinjector3_command_issue_r; +reg litedramcore_phaseinjector3_command_issue_we = 1'd0; +reg litedramcore_phaseinjector3_command_issue_w = 1'd0; +reg [13:0] litedramcore_phaseinjector3_address_storage = 14'd0; +reg litedramcore_phaseinjector3_address_re = 1'd0; +reg [2:0] litedramcore_phaseinjector3_baddress_storage = 3'd0; +reg litedramcore_phaseinjector3_baddress_re = 1'd0; +reg [31:0] litedramcore_phaseinjector3_wrdata_storage = 32'd0; +reg litedramcore_phaseinjector3_wrdata_re = 1'd0; +reg [31:0] litedramcore_phaseinjector3_rddata_status = 32'd0; +wire litedramcore_phaseinjector3_rddata_we; +reg litedramcore_phaseinjector3_rddata_re = 1'd0; +wire litedramcore_interface_bank0_valid; +wire litedramcore_interface_bank0_ready; +wire litedramcore_interface_bank0_we; +wire [20:0] litedramcore_interface_bank0_addr; +wire litedramcore_interface_bank0_lock; +wire litedramcore_interface_bank0_wdata_ready; +wire litedramcore_interface_bank0_rdata_valid; +wire litedramcore_interface_bank1_valid; +wire litedramcore_interface_bank1_ready; +wire litedramcore_interface_bank1_we; +wire [20:0] litedramcore_interface_bank1_addr; +wire litedramcore_interface_bank1_lock; +wire litedramcore_interface_bank1_wdata_ready; +wire litedramcore_interface_bank1_rdata_valid; +wire litedramcore_interface_bank2_valid; +wire litedramcore_interface_bank2_ready; +wire litedramcore_interface_bank2_we; +wire [20:0] litedramcore_interface_bank2_addr; +wire litedramcore_interface_bank2_lock; +wire litedramcore_interface_bank2_wdata_ready; +wire litedramcore_interface_bank2_rdata_valid; +wire litedramcore_interface_bank3_valid; +wire litedramcore_interface_bank3_ready; +wire litedramcore_interface_bank3_we; +wire [20:0] litedramcore_interface_bank3_addr; +wire litedramcore_interface_bank3_lock; +wire litedramcore_interface_bank3_wdata_ready; +wire litedramcore_interface_bank3_rdata_valid; +wire litedramcore_interface_bank4_valid; +wire litedramcore_interface_bank4_ready; +wire litedramcore_interface_bank4_we; +wire [20:0] litedramcore_interface_bank4_addr; +wire litedramcore_interface_bank4_lock; +wire litedramcore_interface_bank4_wdata_ready; +wire litedramcore_interface_bank4_rdata_valid; +wire litedramcore_interface_bank5_valid; +wire litedramcore_interface_bank5_ready; +wire litedramcore_interface_bank5_we; +wire [20:0] litedramcore_interface_bank5_addr; +wire litedramcore_interface_bank5_lock; +wire litedramcore_interface_bank5_wdata_ready; +wire litedramcore_interface_bank5_rdata_valid; +wire litedramcore_interface_bank6_valid; +wire litedramcore_interface_bank6_ready; +wire litedramcore_interface_bank6_we; +wire [20:0] litedramcore_interface_bank6_addr; +wire litedramcore_interface_bank6_lock; +wire litedramcore_interface_bank6_wdata_ready; +wire litedramcore_interface_bank6_rdata_valid; +wire litedramcore_interface_bank7_valid; +wire litedramcore_interface_bank7_ready; +wire litedramcore_interface_bank7_we; +wire [20:0] litedramcore_interface_bank7_addr; +wire litedramcore_interface_bank7_lock; +wire litedramcore_interface_bank7_wdata_ready; +wire litedramcore_interface_bank7_rdata_valid; +reg [127:0] litedramcore_interface_wdata = 128'd0; +reg [15:0] litedramcore_interface_wdata_we = 16'd0; +wire [127:0] litedramcore_interface_rdata; +reg [13:0] litedramcore_dfi_p0_address = 14'd0; +reg [2:0] litedramcore_dfi_p0_bank = 3'd0; +reg litedramcore_dfi_p0_cas_n = 1'd1; +reg litedramcore_dfi_p0_cs_n = 1'd1; +reg litedramcore_dfi_p0_ras_n = 1'd1; +reg litedramcore_dfi_p0_we_n = 1'd1; +wire litedramcore_dfi_p0_cke; +wire litedramcore_dfi_p0_odt; +wire litedramcore_dfi_p0_reset_n; +reg litedramcore_dfi_p0_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p0_wrdata; +reg litedramcore_dfi_p0_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p0_wrdata_mask; +reg litedramcore_dfi_p0_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p0_rddata; +wire litedramcore_dfi_p0_rddata_valid; +reg [13:0] litedramcore_dfi_p1_address = 14'd0; +reg [2:0] litedramcore_dfi_p1_bank = 3'd0; +reg litedramcore_dfi_p1_cas_n = 1'd1; +reg litedramcore_dfi_p1_cs_n = 1'd1; +reg litedramcore_dfi_p1_ras_n = 1'd1; +reg litedramcore_dfi_p1_we_n = 1'd1; +wire litedramcore_dfi_p1_cke; +wire litedramcore_dfi_p1_odt; +wire litedramcore_dfi_p1_reset_n; +reg litedramcore_dfi_p1_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p1_wrdata; +reg litedramcore_dfi_p1_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p1_wrdata_mask; +reg litedramcore_dfi_p1_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p1_rddata; +wire litedramcore_dfi_p1_rddata_valid; +reg [13:0] litedramcore_dfi_p2_address = 14'd0; +reg [2:0] litedramcore_dfi_p2_bank = 3'd0; +reg litedramcore_dfi_p2_cas_n = 1'd1; +reg litedramcore_dfi_p2_cs_n = 1'd1; +reg litedramcore_dfi_p2_ras_n = 1'd1; +reg litedramcore_dfi_p2_we_n = 1'd1; +wire litedramcore_dfi_p2_cke; +wire litedramcore_dfi_p2_odt; +wire litedramcore_dfi_p2_reset_n; +reg litedramcore_dfi_p2_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p2_wrdata; +reg litedramcore_dfi_p2_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p2_wrdata_mask; +reg litedramcore_dfi_p2_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p2_rddata; +wire litedramcore_dfi_p2_rddata_valid; +reg [13:0] litedramcore_dfi_p3_address = 14'd0; +reg [2:0] litedramcore_dfi_p3_bank = 3'd0; +reg litedramcore_dfi_p3_cas_n = 1'd1; +reg litedramcore_dfi_p3_cs_n = 1'd1; +reg litedramcore_dfi_p3_ras_n = 1'd1; +reg litedramcore_dfi_p3_we_n = 1'd1; +wire litedramcore_dfi_p3_cke; +wire litedramcore_dfi_p3_odt; +wire litedramcore_dfi_p3_reset_n; +reg litedramcore_dfi_p3_act_n = 1'd1; +wire [31:0] litedramcore_dfi_p3_wrdata; +reg litedramcore_dfi_p3_wrdata_en = 1'd0; +wire [3:0] litedramcore_dfi_p3_wrdata_mask; +reg litedramcore_dfi_p3_rddata_en = 1'd0; +wire [31:0] litedramcore_dfi_p3_rddata; +wire litedramcore_dfi_p3_rddata_valid; +reg litedramcore_cmd_valid = 1'd0; +reg litedramcore_cmd_ready = 1'd0; +reg litedramcore_cmd_last = 1'd0; +reg [13:0] litedramcore_cmd_payload_a = 14'd0; +reg [2:0] litedramcore_cmd_payload_ba = 3'd0; +reg litedramcore_cmd_payload_cas = 1'd0; +reg litedramcore_cmd_payload_ras = 1'd0; +reg litedramcore_cmd_payload_we = 1'd0; +reg litedramcore_cmd_payload_is_read = 1'd0; +reg litedramcore_cmd_payload_is_write = 1'd0; +wire litedramcore_wants_refresh; +wire litedramcore_wants_zqcs; +wire litedramcore_timer_wait; +wire litedramcore_timer_done0; +wire [9:0] litedramcore_timer_count0; +wire litedramcore_timer_done1; +reg [9:0] litedramcore_timer_count1 = 10'd781; +wire litedramcore_postponer_req_i; +reg litedramcore_postponer_req_o = 1'd0; +reg litedramcore_postponer_count = 1'd0; +reg litedramcore_sequencer_start0 = 1'd0; +wire litedramcore_sequencer_done0; +wire litedramcore_sequencer_start1; +reg litedramcore_sequencer_done1 = 1'd0; +reg [5:0] litedramcore_sequencer_counter = 6'd0; +reg litedramcore_sequencer_count = 1'd0; +wire litedramcore_zqcs_timer_wait; +wire litedramcore_zqcs_timer_done0; +wire [26:0] litedramcore_zqcs_timer_count0; +wire litedramcore_zqcs_timer_done1; +reg [26:0] litedramcore_zqcs_timer_count1 = 27'd99999999; +reg litedramcore_zqcs_executer_start = 1'd0; +reg litedramcore_zqcs_executer_done = 1'd0; +reg [4:0] litedramcore_zqcs_executer_counter = 5'd0; +wire litedramcore_bankmachine0_req_valid; +wire litedramcore_bankmachine0_req_ready; +wire litedramcore_bankmachine0_req_we; +wire [20:0] litedramcore_bankmachine0_req_addr; +wire litedramcore_bankmachine0_req_lock; +reg litedramcore_bankmachine0_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine0_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine0_refresh_req; +reg litedramcore_bankmachine0_refresh_gnt = 1'd0; +reg litedramcore_bankmachine0_cmd_valid = 1'd0; +reg litedramcore_bankmachine0_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine0_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine0_cmd_payload_ba; +reg litedramcore_bankmachine0_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine0_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine0_auto_precharge = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; +wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; +wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +reg [4:0] litedramcore_bankmachine0_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine0_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine0_cmd_buffer_sink_valid; +wire litedramcore_bankmachine0_cmd_buffer_sink_ready; +wire litedramcore_bankmachine0_cmd_buffer_sink_first; +wire litedramcore_bankmachine0_cmd_buffer_sink_last; +wire litedramcore_bankmachine0_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine0_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine0_cmd_buffer_source_ready; +reg litedramcore_bankmachine0_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine0_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine0_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine0_row = 14'd0; +reg litedramcore_bankmachine0_row_opened = 1'd0; +wire litedramcore_bankmachine0_row_hit; +reg litedramcore_bankmachine0_row_open = 1'd0; +reg litedramcore_bankmachine0_row_close = 1'd0; +reg litedramcore_bankmachine0_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine0_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_twtpcon_count = 3'd0; +wire litedramcore_bankmachine0_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_trccon_count = 3'd0; +wire litedramcore_bankmachine0_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine0_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine0_trascon_count = 3'd0; +wire litedramcore_bankmachine1_req_valid; +wire litedramcore_bankmachine1_req_ready; +wire litedramcore_bankmachine1_req_we; +wire [20:0] litedramcore_bankmachine1_req_addr; +wire litedramcore_bankmachine1_req_lock; +reg litedramcore_bankmachine1_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine1_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine1_refresh_req; +reg litedramcore_bankmachine1_refresh_gnt = 1'd0; +reg litedramcore_bankmachine1_cmd_valid = 1'd0; +reg litedramcore_bankmachine1_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine1_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine1_cmd_payload_ba; +reg litedramcore_bankmachine1_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine1_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine1_auto_precharge = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; +wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; +wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +reg [4:0] litedramcore_bankmachine1_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine1_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine1_cmd_buffer_sink_valid; +wire litedramcore_bankmachine1_cmd_buffer_sink_ready; +wire litedramcore_bankmachine1_cmd_buffer_sink_first; +wire litedramcore_bankmachine1_cmd_buffer_sink_last; +wire litedramcore_bankmachine1_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine1_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine1_cmd_buffer_source_ready; +reg litedramcore_bankmachine1_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine1_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine1_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine1_row = 14'd0; +reg litedramcore_bankmachine1_row_opened = 1'd0; +wire litedramcore_bankmachine1_row_hit; +reg litedramcore_bankmachine1_row_open = 1'd0; +reg litedramcore_bankmachine1_row_close = 1'd0; +reg litedramcore_bankmachine1_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine1_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_twtpcon_count = 3'd0; +wire litedramcore_bankmachine1_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_trccon_count = 3'd0; +wire litedramcore_bankmachine1_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine1_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine1_trascon_count = 3'd0; +wire litedramcore_bankmachine2_req_valid; +wire litedramcore_bankmachine2_req_ready; +wire litedramcore_bankmachine2_req_we; +wire [20:0] litedramcore_bankmachine2_req_addr; +wire litedramcore_bankmachine2_req_lock; +reg litedramcore_bankmachine2_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine2_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine2_refresh_req; +reg litedramcore_bankmachine2_refresh_gnt = 1'd0; +reg litedramcore_bankmachine2_cmd_valid = 1'd0; +reg litedramcore_bankmachine2_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine2_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine2_cmd_payload_ba; +reg litedramcore_bankmachine2_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine2_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine2_auto_precharge = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; +wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; +wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +reg [4:0] litedramcore_bankmachine2_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine2_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine2_cmd_buffer_sink_valid; +wire litedramcore_bankmachine2_cmd_buffer_sink_ready; +wire litedramcore_bankmachine2_cmd_buffer_sink_first; +wire litedramcore_bankmachine2_cmd_buffer_sink_last; +wire litedramcore_bankmachine2_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine2_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine2_cmd_buffer_source_ready; +reg litedramcore_bankmachine2_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine2_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine2_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine2_row = 14'd0; +reg litedramcore_bankmachine2_row_opened = 1'd0; +wire litedramcore_bankmachine2_row_hit; +reg litedramcore_bankmachine2_row_open = 1'd0; +reg litedramcore_bankmachine2_row_close = 1'd0; +reg litedramcore_bankmachine2_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine2_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_twtpcon_count = 3'd0; +wire litedramcore_bankmachine2_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_trccon_count = 3'd0; +wire litedramcore_bankmachine2_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine2_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine2_trascon_count = 3'd0; +wire litedramcore_bankmachine3_req_valid; +wire litedramcore_bankmachine3_req_ready; +wire litedramcore_bankmachine3_req_we; +wire [20:0] litedramcore_bankmachine3_req_addr; +wire litedramcore_bankmachine3_req_lock; +reg litedramcore_bankmachine3_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine3_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine3_refresh_req; +reg litedramcore_bankmachine3_refresh_gnt = 1'd0; +reg litedramcore_bankmachine3_cmd_valid = 1'd0; +reg litedramcore_bankmachine3_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine3_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine3_cmd_payload_ba; +reg litedramcore_bankmachine3_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine3_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine3_auto_precharge = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; +wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; +wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +reg [4:0] litedramcore_bankmachine3_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine3_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine3_cmd_buffer_sink_valid; +wire litedramcore_bankmachine3_cmd_buffer_sink_ready; +wire litedramcore_bankmachine3_cmd_buffer_sink_first; +wire litedramcore_bankmachine3_cmd_buffer_sink_last; +wire litedramcore_bankmachine3_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine3_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine3_cmd_buffer_source_ready; +reg litedramcore_bankmachine3_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine3_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine3_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine3_row = 14'd0; +reg litedramcore_bankmachine3_row_opened = 1'd0; +wire litedramcore_bankmachine3_row_hit; +reg litedramcore_bankmachine3_row_open = 1'd0; +reg litedramcore_bankmachine3_row_close = 1'd0; +reg litedramcore_bankmachine3_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine3_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_twtpcon_count = 3'd0; +wire litedramcore_bankmachine3_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_trccon_count = 3'd0; +wire litedramcore_bankmachine3_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine3_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine3_trascon_count = 3'd0; +wire litedramcore_bankmachine4_req_valid; +wire litedramcore_bankmachine4_req_ready; +wire litedramcore_bankmachine4_req_we; +wire [20:0] litedramcore_bankmachine4_req_addr; +wire litedramcore_bankmachine4_req_lock; +reg litedramcore_bankmachine4_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine4_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine4_refresh_req; +reg litedramcore_bankmachine4_refresh_gnt = 1'd0; +reg litedramcore_bankmachine4_cmd_valid = 1'd0; +reg litedramcore_bankmachine4_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine4_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine4_cmd_payload_ba; +reg litedramcore_bankmachine4_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine4_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine4_auto_precharge = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; +wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; +wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +reg [4:0] litedramcore_bankmachine4_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine4_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine4_cmd_buffer_sink_valid; +wire litedramcore_bankmachine4_cmd_buffer_sink_ready; +wire litedramcore_bankmachine4_cmd_buffer_sink_first; +wire litedramcore_bankmachine4_cmd_buffer_sink_last; +wire litedramcore_bankmachine4_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine4_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine4_cmd_buffer_source_ready; +reg litedramcore_bankmachine4_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine4_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine4_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine4_row = 14'd0; +reg litedramcore_bankmachine4_row_opened = 1'd0; +wire litedramcore_bankmachine4_row_hit; +reg litedramcore_bankmachine4_row_open = 1'd0; +reg litedramcore_bankmachine4_row_close = 1'd0; +reg litedramcore_bankmachine4_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine4_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_twtpcon_count = 3'd0; +wire litedramcore_bankmachine4_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_trccon_count = 3'd0; +wire litedramcore_bankmachine4_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine4_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine4_trascon_count = 3'd0; +wire litedramcore_bankmachine5_req_valid; +wire litedramcore_bankmachine5_req_ready; +wire litedramcore_bankmachine5_req_we; +wire [20:0] litedramcore_bankmachine5_req_addr; +wire litedramcore_bankmachine5_req_lock; +reg litedramcore_bankmachine5_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine5_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine5_refresh_req; +reg litedramcore_bankmachine5_refresh_gnt = 1'd0; +reg litedramcore_bankmachine5_cmd_valid = 1'd0; +reg litedramcore_bankmachine5_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine5_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine5_cmd_payload_ba; +reg litedramcore_bankmachine5_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine5_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine5_auto_precharge = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; +wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; +wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +reg [4:0] litedramcore_bankmachine5_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine5_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine5_cmd_buffer_sink_valid; +wire litedramcore_bankmachine5_cmd_buffer_sink_ready; +wire litedramcore_bankmachine5_cmd_buffer_sink_first; +wire litedramcore_bankmachine5_cmd_buffer_sink_last; +wire litedramcore_bankmachine5_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine5_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine5_cmd_buffer_source_ready; +reg litedramcore_bankmachine5_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine5_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine5_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine5_row = 14'd0; +reg litedramcore_bankmachine5_row_opened = 1'd0; +wire litedramcore_bankmachine5_row_hit; +reg litedramcore_bankmachine5_row_open = 1'd0; +reg litedramcore_bankmachine5_row_close = 1'd0; +reg litedramcore_bankmachine5_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine5_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_twtpcon_count = 3'd0; +wire litedramcore_bankmachine5_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_trccon_count = 3'd0; +wire litedramcore_bankmachine5_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine5_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine5_trascon_count = 3'd0; +wire litedramcore_bankmachine6_req_valid; +wire litedramcore_bankmachine6_req_ready; +wire litedramcore_bankmachine6_req_we; +wire [20:0] litedramcore_bankmachine6_req_addr; +wire litedramcore_bankmachine6_req_lock; +reg litedramcore_bankmachine6_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine6_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine6_refresh_req; +reg litedramcore_bankmachine6_refresh_gnt = 1'd0; +reg litedramcore_bankmachine6_cmd_valid = 1'd0; +reg litedramcore_bankmachine6_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine6_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine6_cmd_payload_ba; +reg litedramcore_bankmachine6_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine6_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine6_auto_precharge = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; +wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; +wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +reg [4:0] litedramcore_bankmachine6_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine6_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine6_cmd_buffer_sink_valid; +wire litedramcore_bankmachine6_cmd_buffer_sink_ready; +wire litedramcore_bankmachine6_cmd_buffer_sink_first; +wire litedramcore_bankmachine6_cmd_buffer_sink_last; +wire litedramcore_bankmachine6_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine6_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine6_cmd_buffer_source_ready; +reg litedramcore_bankmachine6_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine6_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine6_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine6_row = 14'd0; +reg litedramcore_bankmachine6_row_opened = 1'd0; +wire litedramcore_bankmachine6_row_hit; +reg litedramcore_bankmachine6_row_open = 1'd0; +reg litedramcore_bankmachine6_row_close = 1'd0; +reg litedramcore_bankmachine6_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine6_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_twtpcon_count = 3'd0; +wire litedramcore_bankmachine6_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_trccon_count = 3'd0; +wire litedramcore_bankmachine6_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine6_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine6_trascon_count = 3'd0; +wire litedramcore_bankmachine7_req_valid; +wire litedramcore_bankmachine7_req_ready; +wire litedramcore_bankmachine7_req_we; +wire [20:0] litedramcore_bankmachine7_req_addr; +wire litedramcore_bankmachine7_req_lock; +reg litedramcore_bankmachine7_req_wdata_ready = 1'd0; +reg litedramcore_bankmachine7_req_rdata_valid = 1'd0; +wire litedramcore_bankmachine7_refresh_req; +reg litedramcore_bankmachine7_refresh_gnt = 1'd0; +reg litedramcore_bankmachine7_cmd_valid = 1'd0; +reg litedramcore_bankmachine7_cmd_ready = 1'd0; +reg [13:0] litedramcore_bankmachine7_cmd_payload_a = 14'd0; +wire [2:0] litedramcore_bankmachine7_cmd_payload_ba; +reg litedramcore_bankmachine7_cmd_payload_cas = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_ras = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_we = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_cmd = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_read = 1'd0; +reg litedramcore_bankmachine7_cmd_payload_is_write = 1'd0; +reg litedramcore_bankmachine7_auto_precharge = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; +wire [20:0] litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; +wire [20:0] litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; +wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; +wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +reg [4:0] litedramcore_bankmachine7_cmd_buffer_lookahead_level = 5'd0; +reg litedramcore_bankmachine7_cmd_buffer_lookahead_replace = 1'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_produce = 4'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_consume = 4'd0; +reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr = 4'd0; +wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we; +wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_do_read; +wire [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr; +wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we; +wire [20:0] litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; +wire [20:0] litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; +wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; +wire litedramcore_bankmachine7_cmd_buffer_sink_valid; +wire litedramcore_bankmachine7_cmd_buffer_sink_ready; +wire litedramcore_bankmachine7_cmd_buffer_sink_first; +wire litedramcore_bankmachine7_cmd_buffer_sink_last; +wire litedramcore_bankmachine7_cmd_buffer_sink_payload_we; +wire [20:0] litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; +reg litedramcore_bankmachine7_cmd_buffer_source_valid = 1'd0; +wire litedramcore_bankmachine7_cmd_buffer_source_ready; +reg litedramcore_bankmachine7_cmd_buffer_source_first = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_source_last = 1'd0; +reg litedramcore_bankmachine7_cmd_buffer_source_payload_we = 1'd0; +reg [20:0] litedramcore_bankmachine7_cmd_buffer_source_payload_addr = 21'd0; +reg [13:0] litedramcore_bankmachine7_row = 14'd0; +reg litedramcore_bankmachine7_row_opened = 1'd0; +wire litedramcore_bankmachine7_row_hit; +reg litedramcore_bankmachine7_row_open = 1'd0; +reg litedramcore_bankmachine7_row_close = 1'd0; +reg litedramcore_bankmachine7_row_col_n_addr_sel = 1'd0; +wire litedramcore_bankmachine7_twtpcon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_twtpcon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_twtpcon_count = 3'd0; +wire litedramcore_bankmachine7_trccon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_trccon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_trccon_count = 3'd0; +wire litedramcore_bankmachine7_trascon_valid; +(* dont_touch = "true" *) reg litedramcore_bankmachine7_trascon_ready = 1'd0; +reg [2:0] litedramcore_bankmachine7_trascon_count = 3'd0; +wire litedramcore_ras_allowed; +wire litedramcore_cas_allowed; +wire [1:0] litedramcore_rdcmdphase; +wire [1:0] litedramcore_wrcmdphase; +reg litedramcore_choose_cmd_want_reads = 1'd0; +reg litedramcore_choose_cmd_want_writes = 1'd0; +reg litedramcore_choose_cmd_want_cmds = 1'd0; +reg litedramcore_choose_cmd_want_activates = 1'd0; +wire litedramcore_choose_cmd_cmd_valid; +reg litedramcore_choose_cmd_cmd_ready = 1'd0; +wire [13:0] litedramcore_choose_cmd_cmd_payload_a; +wire [2:0] litedramcore_choose_cmd_cmd_payload_ba; +reg litedramcore_choose_cmd_cmd_payload_cas = 1'd0; +reg litedramcore_choose_cmd_cmd_payload_ras = 1'd0; +reg litedramcore_choose_cmd_cmd_payload_we = 1'd0; +wire litedramcore_choose_cmd_cmd_payload_is_cmd; +wire litedramcore_choose_cmd_cmd_payload_is_read; +wire litedramcore_choose_cmd_cmd_payload_is_write; +reg [7:0] litedramcore_choose_cmd_valids = 8'd0; +wire [7:0] litedramcore_choose_cmd_request; +reg [2:0] litedramcore_choose_cmd_grant = 3'd0; +wire litedramcore_choose_cmd_ce; +reg litedramcore_choose_req_want_reads = 1'd0; +reg litedramcore_choose_req_want_writes = 1'd0; +reg litedramcore_choose_req_want_cmds = 1'd0; +reg litedramcore_choose_req_want_activates = 1'd0; +wire litedramcore_choose_req_cmd_valid; +reg litedramcore_choose_req_cmd_ready = 1'd0; +wire [13:0] litedramcore_choose_req_cmd_payload_a; +wire [2:0] litedramcore_choose_req_cmd_payload_ba; +reg litedramcore_choose_req_cmd_payload_cas = 1'd0; +reg litedramcore_choose_req_cmd_payload_ras = 1'd0; +reg litedramcore_choose_req_cmd_payload_we = 1'd0; +wire litedramcore_choose_req_cmd_payload_is_cmd; +wire litedramcore_choose_req_cmd_payload_is_read; +wire litedramcore_choose_req_cmd_payload_is_write; +reg [7:0] litedramcore_choose_req_valids = 8'd0; +wire [7:0] litedramcore_choose_req_request; +reg [2:0] litedramcore_choose_req_grant = 3'd0; +wire litedramcore_choose_req_ce; +reg [13:0] litedramcore_nop_a = 14'd0; +reg [2:0] litedramcore_nop_ba = 3'd0; +reg [1:0] litedramcore_steerer_sel0 = 2'd0; +reg [1:0] litedramcore_steerer_sel1 = 2'd0; +reg [1:0] litedramcore_steerer_sel2 = 2'd0; +reg [1:0] litedramcore_steerer_sel3 = 2'd0; +reg litedramcore_steerer0 = 1'd1; +reg litedramcore_steerer1 = 1'd1; +reg litedramcore_steerer2 = 1'd1; +reg litedramcore_steerer3 = 1'd1; +reg litedramcore_steerer4 = 1'd1; +reg litedramcore_steerer5 = 1'd1; +reg litedramcore_steerer6 = 1'd1; +reg litedramcore_steerer7 = 1'd1; +wire litedramcore_trrdcon_valid; +(* dont_touch = "true" *) reg litedramcore_trrdcon_ready = 1'd0; +reg litedramcore_trrdcon_count = 1'd0; +wire litedramcore_tfawcon_valid; +(* dont_touch = "true" *) reg litedramcore_tfawcon_ready = 1'd1; +wire [2:0] litedramcore_tfawcon_count; +reg [4:0] litedramcore_tfawcon_window = 5'd0; +wire litedramcore_tccdcon_valid; +(* dont_touch = "true" *) reg litedramcore_tccdcon_ready = 1'd0; +reg litedramcore_tccdcon_count = 1'd0; +wire litedramcore_twtrcon_valid; +(* dont_touch = "true" *) reg litedramcore_twtrcon_ready = 1'd0; +reg [2:0] litedramcore_twtrcon_count = 3'd0; +wire litedramcore_read_available; +wire litedramcore_write_available; +reg litedramcore_en0 = 1'd0; +wire litedramcore_max_time0; +reg [4:0] litedramcore_time0 = 5'd0; +reg litedramcore_en1 = 1'd0; +wire litedramcore_max_time1; +reg [3:0] litedramcore_time1 = 4'd0; +wire litedramcore_go_to_refresh; +reg init_done_storage = 1'd0; +reg init_done_re = 1'd0; +reg init_error_storage = 1'd0; +reg init_error_re = 1'd0; +wire [29:0] wb_bus_adr; +wire [31:0] wb_bus_dat_w; +wire [31:0] wb_bus_dat_r; +wire [3:0] wb_bus_sel; +wire wb_bus_cyc; +wire wb_bus_stb; +wire wb_bus_ack; +wire wb_bus_we; +wire [2:0] wb_bus_cti; +wire [1:0] wb_bus_bte; +wire wb_bus_err; +wire user_enable; +wire user_port_cmd_valid; +wire user_port_cmd_ready; +wire user_port_cmd_payload_we; +wire [23:0] user_port_cmd_payload_addr; +wire user_port_wdata_valid; +wire user_port_wdata_ready; +wire [127:0] user_port_wdata_payload_data; +wire [15:0] user_port_wdata_payload_we; +wire user_port_rdata_valid; +wire user_port_rdata_ready; +wire [127:0] user_port_rdata_payload_data; +reg [13:0] litedramcore_adr = 14'd0; +reg litedramcore_we = 1'd0; +reg [31:0] litedramcore_dat_w = 32'd0; +wire [31:0] litedramcore_dat_r; +wire [29:0] litedramcore_wishbone_adr; +wire [31:0] litedramcore_wishbone_dat_w; +reg [31:0] litedramcore_wishbone_dat_r = 32'd0; +wire [3:0] litedramcore_wishbone_sel; +wire litedramcore_wishbone_cyc; +wire litedramcore_wishbone_stb; +reg litedramcore_wishbone_ack = 1'd0; +wire litedramcore_wishbone_we; +wire [2:0] litedramcore_wishbone_cti; +wire [1:0] litedramcore_wishbone_bte; +reg litedramcore_wishbone_err = 1'd0; +wire [13:0] interface0_bank_bus_adr; +wire interface0_bank_bus_we; +wire [31:0] interface0_bank_bus_dat_w; +reg [31:0] interface0_bank_bus_dat_r = 32'd0; +reg csrbank0_init_done0_re = 1'd0; +wire csrbank0_init_done0_r; +reg csrbank0_init_done0_we = 1'd0; +wire csrbank0_init_done0_w; +reg csrbank0_init_error0_re = 1'd0; +wire csrbank0_init_error0_r; +reg csrbank0_init_error0_we = 1'd0; +wire csrbank0_init_error0_w; +wire csrbank0_sel; +wire [13:0] interface1_bank_bus_adr; +wire interface1_bank_bus_we; +wire [31:0] interface1_bank_bus_dat_w; +reg [31:0] interface1_bank_bus_dat_r = 32'd0; +reg csrbank1_rst0_re = 1'd0; +wire csrbank1_rst0_r; +reg csrbank1_rst0_we = 1'd0; +wire csrbank1_rst0_w; +reg csrbank1_dly_sel0_re = 1'd0; +wire [1:0] csrbank1_dly_sel0_r; +reg csrbank1_dly_sel0_we = 1'd0; +wire [1:0] csrbank1_dly_sel0_w; +reg csrbank1_half_sys8x_taps0_re = 1'd0; +wire [4:0] csrbank1_half_sys8x_taps0_r; +reg csrbank1_half_sys8x_taps0_we = 1'd0; +wire [4:0] csrbank1_half_sys8x_taps0_w; +reg csrbank1_wlevel_en0_re = 1'd0; +wire csrbank1_wlevel_en0_r; +reg csrbank1_wlevel_en0_we = 1'd0; +wire csrbank1_wlevel_en0_w; +reg csrbank1_rdphase0_re = 1'd0; +wire [1:0] csrbank1_rdphase0_r; +reg csrbank1_rdphase0_we = 1'd0; +wire [1:0] csrbank1_rdphase0_w; +reg csrbank1_wrphase0_re = 1'd0; +wire [1:0] csrbank1_wrphase0_r; +reg csrbank1_wrphase0_we = 1'd0; +wire [1:0] csrbank1_wrphase0_w; +wire csrbank1_sel; +wire [13:0] interface2_bank_bus_adr; +wire interface2_bank_bus_we; +wire [31:0] interface2_bank_bus_dat_w; +reg [31:0] interface2_bank_bus_dat_r = 32'd0; +reg csrbank2_dfii_control0_re = 1'd0; +wire [3:0] csrbank2_dfii_control0_r; +reg csrbank2_dfii_control0_we = 1'd0; +wire [3:0] csrbank2_dfii_control0_w; +reg csrbank2_dfii_pi0_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi0_command0_r; +reg csrbank2_dfii_pi0_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi0_command0_w; +reg csrbank2_dfii_pi0_address0_re = 1'd0; +wire [13:0] csrbank2_dfii_pi0_address0_r; +reg csrbank2_dfii_pi0_address0_we = 1'd0; +wire [13:0] csrbank2_dfii_pi0_address0_w; +reg csrbank2_dfii_pi0_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi0_baddress0_r; +reg csrbank2_dfii_pi0_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi0_baddress0_w; +reg csrbank2_dfii_pi0_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi0_wrdata0_r; +reg csrbank2_dfii_pi0_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi0_wrdata0_w; +reg csrbank2_dfii_pi0_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi0_rddata_r; +reg csrbank2_dfii_pi0_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi0_rddata_w; +reg csrbank2_dfii_pi1_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi1_command0_r; +reg csrbank2_dfii_pi1_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi1_command0_w; +reg csrbank2_dfii_pi1_address0_re = 1'd0; +wire [13:0] csrbank2_dfii_pi1_address0_r; +reg csrbank2_dfii_pi1_address0_we = 1'd0; +wire [13:0] csrbank2_dfii_pi1_address0_w; +reg csrbank2_dfii_pi1_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi1_baddress0_r; +reg csrbank2_dfii_pi1_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi1_baddress0_w; +reg csrbank2_dfii_pi1_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi1_wrdata0_r; +reg csrbank2_dfii_pi1_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi1_wrdata0_w; +reg csrbank2_dfii_pi1_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi1_rddata_r; +reg csrbank2_dfii_pi1_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi1_rddata_w; +reg csrbank2_dfii_pi2_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi2_command0_r; +reg csrbank2_dfii_pi2_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi2_command0_w; +reg csrbank2_dfii_pi2_address0_re = 1'd0; +wire [13:0] csrbank2_dfii_pi2_address0_r; +reg csrbank2_dfii_pi2_address0_we = 1'd0; +wire [13:0] csrbank2_dfii_pi2_address0_w; +reg csrbank2_dfii_pi2_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi2_baddress0_r; +reg csrbank2_dfii_pi2_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi2_baddress0_w; +reg csrbank2_dfii_pi2_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi2_wrdata0_r; +reg csrbank2_dfii_pi2_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi2_wrdata0_w; +reg csrbank2_dfii_pi2_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi2_rddata_r; +reg csrbank2_dfii_pi2_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi2_rddata_w; +reg csrbank2_dfii_pi3_command0_re = 1'd0; +wire [5:0] csrbank2_dfii_pi3_command0_r; +reg csrbank2_dfii_pi3_command0_we = 1'd0; +wire [5:0] csrbank2_dfii_pi3_command0_w; +reg csrbank2_dfii_pi3_address0_re = 1'd0; +wire [13:0] csrbank2_dfii_pi3_address0_r; +reg csrbank2_dfii_pi3_address0_we = 1'd0; +wire [13:0] csrbank2_dfii_pi3_address0_w; +reg csrbank2_dfii_pi3_baddress0_re = 1'd0; +wire [2:0] csrbank2_dfii_pi3_baddress0_r; +reg csrbank2_dfii_pi3_baddress0_we = 1'd0; +wire [2:0] csrbank2_dfii_pi3_baddress0_w; +reg csrbank2_dfii_pi3_wrdata0_re = 1'd0; +wire [31:0] csrbank2_dfii_pi3_wrdata0_r; +reg csrbank2_dfii_pi3_wrdata0_we = 1'd0; +wire [31:0] csrbank2_dfii_pi3_wrdata0_w; +reg csrbank2_dfii_pi3_rddata_re = 1'd0; +wire [31:0] csrbank2_dfii_pi3_rddata_r; +reg csrbank2_dfii_pi3_rddata_we = 1'd0; +wire [31:0] csrbank2_dfii_pi3_rddata_w; +wire csrbank2_sel; +wire [13:0] csr_interconnect_adr; +wire csr_interconnect_we; +wire [31:0] csr_interconnect_dat_w; +wire [31:0] csr_interconnect_dat_r; +wire litedramcore_reset0; +wire litedramcore_reset1; +wire litedramcore_reset2; +wire litedramcore_reset3; +wire litedramcore_reset4; +wire litedramcore_reset5; +wire litedramcore_reset6; +wire litedramcore_reset7; +wire litedramcore_pll_fb; +reg [1:0] litedramcore_refresher_state = 2'd0; +reg [1:0] litedramcore_refresher_next_state = 2'd0; +reg [3:0] litedramcore_bankmachine0_state = 4'd0; +reg [3:0] litedramcore_bankmachine0_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine1_state = 4'd0; +reg [3:0] litedramcore_bankmachine1_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine2_state = 4'd0; +reg [3:0] litedramcore_bankmachine2_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine3_state = 4'd0; +reg [3:0] litedramcore_bankmachine3_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine4_state = 4'd0; +reg [3:0] litedramcore_bankmachine4_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine5_state = 4'd0; +reg [3:0] litedramcore_bankmachine5_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine6_state = 4'd0; +reg [3:0] litedramcore_bankmachine6_next_state = 4'd0; +reg [3:0] litedramcore_bankmachine7_state = 4'd0; +reg [3:0] litedramcore_bankmachine7_next_state = 4'd0; +reg [3:0] litedramcore_multiplexer_state = 4'd0; +reg [3:0] litedramcore_multiplexer_next_state = 4'd0; +wire litedramcore_roundrobin0_request; +wire litedramcore_roundrobin0_grant; +wire litedramcore_roundrobin0_ce; +wire litedramcore_roundrobin1_request; +wire litedramcore_roundrobin1_grant; +wire litedramcore_roundrobin1_ce; +wire litedramcore_roundrobin2_request; +wire litedramcore_roundrobin2_grant; +wire litedramcore_roundrobin2_ce; +wire litedramcore_roundrobin3_request; +wire litedramcore_roundrobin3_grant; +wire litedramcore_roundrobin3_ce; +wire litedramcore_roundrobin4_request; +wire litedramcore_roundrobin4_grant; +wire litedramcore_roundrobin4_ce; +wire litedramcore_roundrobin5_request; +wire litedramcore_roundrobin5_grant; +wire litedramcore_roundrobin5_ce; +wire litedramcore_roundrobin6_request; +wire litedramcore_roundrobin6_grant; +wire litedramcore_roundrobin6_ce; +wire litedramcore_roundrobin7_request; +wire litedramcore_roundrobin7_grant; +wire litedramcore_roundrobin7_ce; +reg litedramcore_locked0 = 1'd0; +reg litedramcore_locked1 = 1'd0; +reg litedramcore_locked2 = 1'd0; +reg litedramcore_locked3 = 1'd0; +reg litedramcore_locked4 = 1'd0; +reg litedramcore_locked5 = 1'd0; +reg litedramcore_locked6 = 1'd0; +reg litedramcore_locked7 = 1'd0; +reg litedramcore_new_master_wdata_ready0 = 1'd0; +reg litedramcore_new_master_wdata_ready1 = 1'd0; +reg litedramcore_new_master_rdata_valid0 = 1'd0; +reg litedramcore_new_master_rdata_valid1 = 1'd0; +reg litedramcore_new_master_rdata_valid2 = 1'd0; +reg litedramcore_new_master_rdata_valid3 = 1'd0; +reg litedramcore_new_master_rdata_valid4 = 1'd0; +reg litedramcore_new_master_rdata_valid5 = 1'd0; +reg litedramcore_new_master_rdata_valid6 = 1'd0; +reg litedramcore_new_master_rdata_valid7 = 1'd0; +reg litedramcore_new_master_rdata_valid8 = 1'd0; +reg [1:0] litedramcore_state = 2'd0; +reg [1:0] litedramcore_next_state = 2'd0; +reg [31:0] litedramcore_dat_w_next_value0 = 32'd0; +reg litedramcore_dat_w_next_value_ce0 = 1'd0; +reg [13:0] litedramcore_adr_next_value1 = 14'd0; +reg litedramcore_adr_next_value_ce1 = 1'd0; +reg litedramcore_we_next_value2 = 1'd0; +reg litedramcore_we_next_value_ce2 = 1'd0; +reg rhs_array_muxed0 = 1'd0; +reg [13:0] rhs_array_muxed1 = 14'd0; +reg [2:0] rhs_array_muxed2 = 3'd0; +reg rhs_array_muxed3 = 1'd0; +reg rhs_array_muxed4 = 1'd0; +reg rhs_array_muxed5 = 1'd0; +reg t_array_muxed0 = 1'd0; +reg t_array_muxed1 = 1'd0; +reg t_array_muxed2 = 1'd0; +reg rhs_array_muxed6 = 1'd0; +reg [13:0] rhs_array_muxed7 = 14'd0; +reg [2:0] rhs_array_muxed8 = 3'd0; +reg rhs_array_muxed9 = 1'd0; +reg rhs_array_muxed10 = 1'd0; +reg rhs_array_muxed11 = 1'd0; +reg t_array_muxed3 = 1'd0; +reg t_array_muxed4 = 1'd0; +reg t_array_muxed5 = 1'd0; +reg [20:0] rhs_array_muxed12 = 21'd0; +reg rhs_array_muxed13 = 1'd0; +reg rhs_array_muxed14 = 1'd0; +reg [20:0] rhs_array_muxed15 = 21'd0; +reg rhs_array_muxed16 = 1'd0; +reg rhs_array_muxed17 = 1'd0; +reg [20:0] rhs_array_muxed18 = 21'd0; +reg rhs_array_muxed19 = 1'd0; +reg rhs_array_muxed20 = 1'd0; +reg [20:0] rhs_array_muxed21 = 21'd0; +reg rhs_array_muxed22 = 1'd0; +reg rhs_array_muxed23 = 1'd0; +reg [20:0] rhs_array_muxed24 = 21'd0; +reg rhs_array_muxed25 = 1'd0; +reg rhs_array_muxed26 = 1'd0; +reg [20:0] rhs_array_muxed27 = 21'd0; +reg rhs_array_muxed28 = 1'd0; +reg rhs_array_muxed29 = 1'd0; +reg [20:0] rhs_array_muxed30 = 21'd0; +reg rhs_array_muxed31 = 1'd0; +reg rhs_array_muxed32 = 1'd0; +reg [20:0] rhs_array_muxed33 = 21'd0; +reg rhs_array_muxed34 = 1'd0; +reg rhs_array_muxed35 = 1'd0; +reg [2:0] array_muxed0 = 3'd0; +reg [13:0] array_muxed1 = 14'd0; +reg array_muxed2 = 1'd0; +reg array_muxed3 = 1'd0; +reg array_muxed4 = 1'd0; +reg array_muxed5 = 1'd0; +reg array_muxed6 = 1'd0; +reg [2:0] array_muxed7 = 3'd0; +reg [13:0] array_muxed8 = 14'd0; +reg array_muxed9 = 1'd0; +reg array_muxed10 = 1'd0; +reg array_muxed11 = 1'd0; +reg array_muxed12 = 1'd0; +reg array_muxed13 = 1'd0; +reg [2:0] array_muxed14 = 3'd0; +reg [13:0] array_muxed15 = 14'd0; +reg array_muxed16 = 1'd0; +reg array_muxed17 = 1'd0; +reg array_muxed18 = 1'd0; +reg array_muxed19 = 1'd0; +reg array_muxed20 = 1'd0; +reg [2:0] array_muxed21 = 3'd0; +reg [13:0] array_muxed22 = 14'd0; +reg array_muxed23 = 1'd0; +reg array_muxed24 = 1'd0; +reg array_muxed25 = 1'd0; +reg array_muxed26 = 1'd0; +reg array_muxed27 = 1'd0; +wire xilinxasyncresetsynchronizerimpl0; +wire xilinxasyncresetsynchronizerimpl0_rst_meta; +wire xilinxasyncresetsynchronizerimpl1; +wire xilinxasyncresetsynchronizerimpl1_rst_meta; +wire xilinxasyncresetsynchronizerimpl2; +wire xilinxasyncresetsynchronizerimpl2_rst_meta; +wire xilinxasyncresetsynchronizerimpl2_expr; +wire xilinxasyncresetsynchronizerimpl3; +wire xilinxasyncresetsynchronizerimpl3_rst_meta; +wire xilinxasyncresetsynchronizerimpl3_expr; //------------------------------------------------------------------------------ // Combinatorial Logic //------------------------------------------------------------------------------ -assign init_done = main_init_done_storage; -assign init_error = main_init_error_storage; -assign main_wb_bus_adr = wb_ctrl_adr; -assign main_wb_bus_dat_w = wb_ctrl_dat_w; -assign wb_ctrl_dat_r = main_wb_bus_dat_r; -assign main_wb_bus_sel = wb_ctrl_sel; -assign main_wb_bus_cyc = wb_ctrl_cyc; -assign main_wb_bus_stb = wb_ctrl_stb; -assign wb_ctrl_ack = main_wb_bus_ack; -assign main_wb_bus_we = wb_ctrl_we; -assign main_wb_bus_cti = wb_ctrl_cti; -assign main_wb_bus_bte = wb_ctrl_bte; -assign wb_ctrl_err = main_wb_bus_err; +assign init_done = init_done_storage; +assign init_error = init_error_storage; +assign wb_bus_adr = wb_ctrl_adr; +assign wb_bus_dat_w = wb_ctrl_dat_w; +assign wb_ctrl_dat_r = wb_bus_dat_r; +assign wb_bus_sel = wb_ctrl_sel; +assign wb_bus_cyc = wb_ctrl_cyc; +assign wb_bus_stb = wb_ctrl_stb; +assign wb_ctrl_ack = wb_bus_ack; +assign wb_bus_we = wb_ctrl_we; +assign wb_bus_cti = wb_ctrl_cti; +assign wb_bus_bte = wb_ctrl_bte; +assign wb_ctrl_err = wb_bus_err; assign user_clk = sys_clk; assign user_rst = sys_rst; -assign main_user_enable = 1'd1; -assign main_user_port_cmd_valid = (user_port_native_0_cmd_valid & main_user_enable); -assign user_port_native_0_cmd_ready = (main_user_port_cmd_ready & main_user_enable); -assign main_user_port_cmd_payload_we = user_port_native_0_cmd_we; -assign main_user_port_cmd_payload_addr = user_port_native_0_cmd_addr; -assign main_user_port_wdata_valid = (user_port_native_0_wdata_valid & main_user_enable); -assign user_port_native_0_wdata_ready = (main_user_port_wdata_ready & main_user_enable); -assign main_user_port_wdata_payload_we = user_port_native_0_wdata_we; -assign main_user_port_wdata_payload_data = user_port_native_0_wdata_data; -assign user_port_native_0_rdata_valid = (main_user_port_rdata_valid & main_user_enable); -assign main_user_port_rdata_ready = (user_port_native_0_rdata_ready & main_user_enable); -assign user_port_native_0_rdata_data = main_user_port_rdata_payload_data; -assign main_reset = (rst | main_rst); -assign pll_locked = main_locked; -assign main_clkin = clk; -assign iodelay_clk = main_clkout_buf0; -assign sys_clk = main_clkout_buf1; -assign sys4x_clk = main_clkout_buf2; -assign sys4x_dqs_clk = main_clkout_buf3; -assign main_a7ddrphy_dqs_oe_delay_tappeddelayline = ((main_a7ddrphy_dqs_preamble | main_a7ddrphy_dqs_oe) | main_a7ddrphy_dqs_postamble); -assign main_a7ddrphy_dq_oe_delay_tappeddelayline = ((main_a7ddrphy_dqs_preamble | main_a7ddrphy_dq_oe) | main_a7ddrphy_dqs_postamble); -always @(*) begin - main_a7ddrphy_dfi_p0_rddata <= 32'd0; - main_a7ddrphy_dfi_p0_rddata[0] <= main_a7ddrphy_bitslip04[0]; - main_a7ddrphy_dfi_p0_rddata[16] <= main_a7ddrphy_bitslip04[1]; - main_a7ddrphy_dfi_p0_rddata[1] <= main_a7ddrphy_bitslip14[0]; - main_a7ddrphy_dfi_p0_rddata[17] <= main_a7ddrphy_bitslip14[1]; - main_a7ddrphy_dfi_p0_rddata[2] <= main_a7ddrphy_bitslip22[0]; - main_a7ddrphy_dfi_p0_rddata[18] <= main_a7ddrphy_bitslip22[1]; - main_a7ddrphy_dfi_p0_rddata[3] <= main_a7ddrphy_bitslip32[0]; - main_a7ddrphy_dfi_p0_rddata[19] <= main_a7ddrphy_bitslip32[1]; - main_a7ddrphy_dfi_p0_rddata[4] <= main_a7ddrphy_bitslip42[0]; - main_a7ddrphy_dfi_p0_rddata[20] <= main_a7ddrphy_bitslip42[1]; - main_a7ddrphy_dfi_p0_rddata[5] <= main_a7ddrphy_bitslip52[0]; - main_a7ddrphy_dfi_p0_rddata[21] <= main_a7ddrphy_bitslip52[1]; - main_a7ddrphy_dfi_p0_rddata[6] <= main_a7ddrphy_bitslip62[0]; - main_a7ddrphy_dfi_p0_rddata[22] <= main_a7ddrphy_bitslip62[1]; - main_a7ddrphy_dfi_p0_rddata[7] <= main_a7ddrphy_bitslip72[0]; - main_a7ddrphy_dfi_p0_rddata[23] <= main_a7ddrphy_bitslip72[1]; - main_a7ddrphy_dfi_p0_rddata[8] <= main_a7ddrphy_bitslip82[0]; - main_a7ddrphy_dfi_p0_rddata[24] <= main_a7ddrphy_bitslip82[1]; - main_a7ddrphy_dfi_p0_rddata[9] <= main_a7ddrphy_bitslip92[0]; - main_a7ddrphy_dfi_p0_rddata[25] <= main_a7ddrphy_bitslip92[1]; - main_a7ddrphy_dfi_p0_rddata[10] <= main_a7ddrphy_bitslip102[0]; - main_a7ddrphy_dfi_p0_rddata[26] <= main_a7ddrphy_bitslip102[1]; - main_a7ddrphy_dfi_p0_rddata[11] <= main_a7ddrphy_bitslip112[0]; - main_a7ddrphy_dfi_p0_rddata[27] <= main_a7ddrphy_bitslip112[1]; - main_a7ddrphy_dfi_p0_rddata[12] <= main_a7ddrphy_bitslip122[0]; - main_a7ddrphy_dfi_p0_rddata[28] <= main_a7ddrphy_bitslip122[1]; - main_a7ddrphy_dfi_p0_rddata[13] <= main_a7ddrphy_bitslip132[0]; - main_a7ddrphy_dfi_p0_rddata[29] <= main_a7ddrphy_bitslip132[1]; - main_a7ddrphy_dfi_p0_rddata[14] <= main_a7ddrphy_bitslip142[0]; - main_a7ddrphy_dfi_p0_rddata[30] <= main_a7ddrphy_bitslip142[1]; - main_a7ddrphy_dfi_p0_rddata[15] <= main_a7ddrphy_bitslip152[0]; - main_a7ddrphy_dfi_p0_rddata[31] <= main_a7ddrphy_bitslip152[1]; -end -always @(*) begin - main_a7ddrphy_dfi_p1_rddata <= 32'd0; - main_a7ddrphy_dfi_p1_rddata[0] <= main_a7ddrphy_bitslip04[2]; - main_a7ddrphy_dfi_p1_rddata[16] <= main_a7ddrphy_bitslip04[3]; - main_a7ddrphy_dfi_p1_rddata[1] <= main_a7ddrphy_bitslip14[2]; - main_a7ddrphy_dfi_p1_rddata[17] <= main_a7ddrphy_bitslip14[3]; - main_a7ddrphy_dfi_p1_rddata[2] <= main_a7ddrphy_bitslip22[2]; - main_a7ddrphy_dfi_p1_rddata[18] <= main_a7ddrphy_bitslip22[3]; - main_a7ddrphy_dfi_p1_rddata[3] <= main_a7ddrphy_bitslip32[2]; - main_a7ddrphy_dfi_p1_rddata[19] <= main_a7ddrphy_bitslip32[3]; - main_a7ddrphy_dfi_p1_rddata[4] <= main_a7ddrphy_bitslip42[2]; - main_a7ddrphy_dfi_p1_rddata[20] <= main_a7ddrphy_bitslip42[3]; - main_a7ddrphy_dfi_p1_rddata[5] <= main_a7ddrphy_bitslip52[2]; - main_a7ddrphy_dfi_p1_rddata[21] <= main_a7ddrphy_bitslip52[3]; - main_a7ddrphy_dfi_p1_rddata[6] <= main_a7ddrphy_bitslip62[2]; - main_a7ddrphy_dfi_p1_rddata[22] <= main_a7ddrphy_bitslip62[3]; - main_a7ddrphy_dfi_p1_rddata[7] <= main_a7ddrphy_bitslip72[2]; - main_a7ddrphy_dfi_p1_rddata[23] <= main_a7ddrphy_bitslip72[3]; - main_a7ddrphy_dfi_p1_rddata[8] <= main_a7ddrphy_bitslip82[2]; - main_a7ddrphy_dfi_p1_rddata[24] <= main_a7ddrphy_bitslip82[3]; - main_a7ddrphy_dfi_p1_rddata[9] <= main_a7ddrphy_bitslip92[2]; - main_a7ddrphy_dfi_p1_rddata[25] <= main_a7ddrphy_bitslip92[3]; - main_a7ddrphy_dfi_p1_rddata[10] <= main_a7ddrphy_bitslip102[2]; - main_a7ddrphy_dfi_p1_rddata[26] <= main_a7ddrphy_bitslip102[3]; - main_a7ddrphy_dfi_p1_rddata[11] <= main_a7ddrphy_bitslip112[2]; - main_a7ddrphy_dfi_p1_rddata[27] <= main_a7ddrphy_bitslip112[3]; - main_a7ddrphy_dfi_p1_rddata[12] <= main_a7ddrphy_bitslip122[2]; - main_a7ddrphy_dfi_p1_rddata[28] <= main_a7ddrphy_bitslip122[3]; - main_a7ddrphy_dfi_p1_rddata[13] <= main_a7ddrphy_bitslip132[2]; - main_a7ddrphy_dfi_p1_rddata[29] <= main_a7ddrphy_bitslip132[3]; - main_a7ddrphy_dfi_p1_rddata[14] <= main_a7ddrphy_bitslip142[2]; - main_a7ddrphy_dfi_p1_rddata[30] <= main_a7ddrphy_bitslip142[3]; - main_a7ddrphy_dfi_p1_rddata[15] <= main_a7ddrphy_bitslip152[2]; - main_a7ddrphy_dfi_p1_rddata[31] <= main_a7ddrphy_bitslip152[3]; -end -always @(*) begin - main_a7ddrphy_dfi_p2_rddata <= 32'd0; - main_a7ddrphy_dfi_p2_rddata[0] <= main_a7ddrphy_bitslip04[4]; - main_a7ddrphy_dfi_p2_rddata[16] <= main_a7ddrphy_bitslip04[5]; - main_a7ddrphy_dfi_p2_rddata[1] <= main_a7ddrphy_bitslip14[4]; - main_a7ddrphy_dfi_p2_rddata[17] <= main_a7ddrphy_bitslip14[5]; - main_a7ddrphy_dfi_p2_rddata[2] <= main_a7ddrphy_bitslip22[4]; - main_a7ddrphy_dfi_p2_rddata[18] <= main_a7ddrphy_bitslip22[5]; - main_a7ddrphy_dfi_p2_rddata[3] <= main_a7ddrphy_bitslip32[4]; - main_a7ddrphy_dfi_p2_rddata[19] <= main_a7ddrphy_bitslip32[5]; - main_a7ddrphy_dfi_p2_rddata[4] <= main_a7ddrphy_bitslip42[4]; - main_a7ddrphy_dfi_p2_rddata[20] <= main_a7ddrphy_bitslip42[5]; - main_a7ddrphy_dfi_p2_rddata[5] <= main_a7ddrphy_bitslip52[4]; - main_a7ddrphy_dfi_p2_rddata[21] <= main_a7ddrphy_bitslip52[5]; - main_a7ddrphy_dfi_p2_rddata[6] <= main_a7ddrphy_bitslip62[4]; - main_a7ddrphy_dfi_p2_rddata[22] <= main_a7ddrphy_bitslip62[5]; - main_a7ddrphy_dfi_p2_rddata[7] <= main_a7ddrphy_bitslip72[4]; - main_a7ddrphy_dfi_p2_rddata[23] <= main_a7ddrphy_bitslip72[5]; - main_a7ddrphy_dfi_p2_rddata[8] <= main_a7ddrphy_bitslip82[4]; - main_a7ddrphy_dfi_p2_rddata[24] <= main_a7ddrphy_bitslip82[5]; - main_a7ddrphy_dfi_p2_rddata[9] <= main_a7ddrphy_bitslip92[4]; - main_a7ddrphy_dfi_p2_rddata[25] <= main_a7ddrphy_bitslip92[5]; - main_a7ddrphy_dfi_p2_rddata[10] <= main_a7ddrphy_bitslip102[4]; - main_a7ddrphy_dfi_p2_rddata[26] <= main_a7ddrphy_bitslip102[5]; - main_a7ddrphy_dfi_p2_rddata[11] <= main_a7ddrphy_bitslip112[4]; - main_a7ddrphy_dfi_p2_rddata[27] <= main_a7ddrphy_bitslip112[5]; - main_a7ddrphy_dfi_p2_rddata[12] <= main_a7ddrphy_bitslip122[4]; - main_a7ddrphy_dfi_p2_rddata[28] <= main_a7ddrphy_bitslip122[5]; - main_a7ddrphy_dfi_p2_rddata[13] <= main_a7ddrphy_bitslip132[4]; - main_a7ddrphy_dfi_p2_rddata[29] <= main_a7ddrphy_bitslip132[5]; - main_a7ddrphy_dfi_p2_rddata[14] <= main_a7ddrphy_bitslip142[4]; - main_a7ddrphy_dfi_p2_rddata[30] <= main_a7ddrphy_bitslip142[5]; - main_a7ddrphy_dfi_p2_rddata[15] <= main_a7ddrphy_bitslip152[4]; - main_a7ddrphy_dfi_p2_rddata[31] <= main_a7ddrphy_bitslip152[5]; -end -always @(*) begin - main_a7ddrphy_dfi_p3_rddata <= 32'd0; - main_a7ddrphy_dfi_p3_rddata[0] <= main_a7ddrphy_bitslip04[6]; - main_a7ddrphy_dfi_p3_rddata[16] <= main_a7ddrphy_bitslip04[7]; - main_a7ddrphy_dfi_p3_rddata[1] <= main_a7ddrphy_bitslip14[6]; - main_a7ddrphy_dfi_p3_rddata[17] <= main_a7ddrphy_bitslip14[7]; - main_a7ddrphy_dfi_p3_rddata[2] <= main_a7ddrphy_bitslip22[6]; - main_a7ddrphy_dfi_p3_rddata[18] <= main_a7ddrphy_bitslip22[7]; - main_a7ddrphy_dfi_p3_rddata[3] <= main_a7ddrphy_bitslip32[6]; - main_a7ddrphy_dfi_p3_rddata[19] <= main_a7ddrphy_bitslip32[7]; - main_a7ddrphy_dfi_p3_rddata[4] <= main_a7ddrphy_bitslip42[6]; - main_a7ddrphy_dfi_p3_rddata[20] <= main_a7ddrphy_bitslip42[7]; - main_a7ddrphy_dfi_p3_rddata[5] <= main_a7ddrphy_bitslip52[6]; - main_a7ddrphy_dfi_p3_rddata[21] <= main_a7ddrphy_bitslip52[7]; - main_a7ddrphy_dfi_p3_rddata[6] <= main_a7ddrphy_bitslip62[6]; - main_a7ddrphy_dfi_p3_rddata[22] <= main_a7ddrphy_bitslip62[7]; - main_a7ddrphy_dfi_p3_rddata[7] <= main_a7ddrphy_bitslip72[6]; - main_a7ddrphy_dfi_p3_rddata[23] <= main_a7ddrphy_bitslip72[7]; - main_a7ddrphy_dfi_p3_rddata[8] <= main_a7ddrphy_bitslip82[6]; - main_a7ddrphy_dfi_p3_rddata[24] <= main_a7ddrphy_bitslip82[7]; - main_a7ddrphy_dfi_p3_rddata[9] <= main_a7ddrphy_bitslip92[6]; - main_a7ddrphy_dfi_p3_rddata[25] <= main_a7ddrphy_bitslip92[7]; - main_a7ddrphy_dfi_p3_rddata[10] <= main_a7ddrphy_bitslip102[6]; - main_a7ddrphy_dfi_p3_rddata[26] <= main_a7ddrphy_bitslip102[7]; - main_a7ddrphy_dfi_p3_rddata[11] <= main_a7ddrphy_bitslip112[6]; - main_a7ddrphy_dfi_p3_rddata[27] <= main_a7ddrphy_bitslip112[7]; - main_a7ddrphy_dfi_p3_rddata[12] <= main_a7ddrphy_bitslip122[6]; - main_a7ddrphy_dfi_p3_rddata[28] <= main_a7ddrphy_bitslip122[7]; - main_a7ddrphy_dfi_p3_rddata[13] <= main_a7ddrphy_bitslip132[6]; - main_a7ddrphy_dfi_p3_rddata[29] <= main_a7ddrphy_bitslip132[7]; - main_a7ddrphy_dfi_p3_rddata[14] <= main_a7ddrphy_bitslip142[6]; - main_a7ddrphy_dfi_p3_rddata[30] <= main_a7ddrphy_bitslip142[7]; - main_a7ddrphy_dfi_p3_rddata[15] <= main_a7ddrphy_bitslip152[6]; - main_a7ddrphy_dfi_p3_rddata[31] <= main_a7ddrphy_bitslip152[7]; -end -assign main_a7ddrphy_dfi_p0_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dfi_p1_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dfi_p2_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dfi_p3_rddata_valid = (main_a7ddrphy_rddata_en_tappeddelayline7 | main_a7ddrphy_wlevel_en_storage); -assign main_a7ddrphy_dq_oe = main_a7ddrphy_wrdata_en_tappeddelayline1; -always @(*) begin - main_a7ddrphy_dqs_oe <= 1'd0; - if (main_a7ddrphy_wlevel_en_storage) begin - main_a7ddrphy_dqs_oe <= 1'd1; - end else begin - main_a7ddrphy_dqs_oe <= main_a7ddrphy_dq_oe; - end -end -assign main_a7ddrphy_dqs_preamble = (main_a7ddrphy_wrdata_en_tappeddelayline0 & (~main_a7ddrphy_wrdata_en_tappeddelayline1)); -assign main_a7ddrphy_dqs_postamble = (main_a7ddrphy_wrdata_en_tappeddelayline2 & (~main_a7ddrphy_wrdata_en_tappeddelayline1)); -always @(*) begin - main_a7ddrphy_dqspattern_o0 <= 8'd0; - main_a7ddrphy_dqspattern_o0 <= 7'd85; - if (main_a7ddrphy_dqspattern0) begin - main_a7ddrphy_dqspattern_o0 <= 5'd21; - end - if (main_a7ddrphy_dqspattern1) begin - main_a7ddrphy_dqspattern_o0 <= 7'd84; - end - if (main_a7ddrphy_wlevel_en_storage) begin - main_a7ddrphy_dqspattern_o0 <= 1'd0; - if (main_a7ddrphy_wlevel_strobe_re) begin - main_a7ddrphy_dqspattern_o0 <= 1'd1; - end - end -end -always @(*) begin - main_a7ddrphy_bitslip00 <= 8'd0; - case (main_a7ddrphy_bitslip0_value0) +assign user_enable = 1'd1; +assign user_port_cmd_valid = (user_port_native_0_cmd_valid & user_enable); +assign user_port_native_0_cmd_ready = (user_port_cmd_ready & user_enable); +assign user_port_cmd_payload_we = user_port_native_0_cmd_we; +assign user_port_cmd_payload_addr = user_port_native_0_cmd_addr; +assign user_port_wdata_valid = (user_port_native_0_wdata_valid & user_enable); +assign user_port_native_0_wdata_ready = (user_port_wdata_ready & user_enable); +assign user_port_wdata_payload_we = user_port_native_0_wdata_we; +assign user_port_wdata_payload_data = user_port_native_0_wdata_data; +assign user_port_native_0_rdata_valid = (user_port_rdata_valid & user_enable); +assign user_port_rdata_ready = (user_port_native_0_rdata_ready & user_enable); +assign user_port_native_0_rdata_data = user_port_rdata_payload_data; +assign reset = (rst | rst_1); +assign pll_locked = locked; +assign clkin = clk; +assign iodelay_clk = clkout_buf0; +assign sys_clk = clkout_buf1; +assign sys4x_clk = clkout_buf2; +assign sys4x_dqs_clk = clkout_buf3; +assign ddram_ba = a7ddrphy_pads_ba; +assign a7ddrphy_dqs_oe_delay_tappeddelayline = ((a7ddrphy_dqs_preamble | a7ddrphy_dqs_oe) | a7ddrphy_dqs_postamble); +assign a7ddrphy_dq_oe_delay_tappeddelayline = ((a7ddrphy_dqs_preamble | a7ddrphy_dq_oe) | a7ddrphy_dqs_postamble); +always @(*) begin + a7ddrphy_dfi_p0_rddata <= 32'd0; + a7ddrphy_dfi_p0_rddata[0] <= a7ddrphy_bitslip04[0]; + a7ddrphy_dfi_p0_rddata[16] <= a7ddrphy_bitslip04[1]; + a7ddrphy_dfi_p0_rddata[1] <= a7ddrphy_bitslip14[0]; + a7ddrphy_dfi_p0_rddata[17] <= a7ddrphy_bitslip14[1]; + a7ddrphy_dfi_p0_rddata[2] <= a7ddrphy_bitslip22[0]; + a7ddrphy_dfi_p0_rddata[18] <= a7ddrphy_bitslip22[1]; + a7ddrphy_dfi_p0_rddata[3] <= a7ddrphy_bitslip32[0]; + a7ddrphy_dfi_p0_rddata[19] <= a7ddrphy_bitslip32[1]; + a7ddrphy_dfi_p0_rddata[4] <= a7ddrphy_bitslip42[0]; + a7ddrphy_dfi_p0_rddata[20] <= a7ddrphy_bitslip42[1]; + a7ddrphy_dfi_p0_rddata[5] <= a7ddrphy_bitslip52[0]; + a7ddrphy_dfi_p0_rddata[21] <= a7ddrphy_bitslip52[1]; + a7ddrphy_dfi_p0_rddata[6] <= a7ddrphy_bitslip62[0]; + a7ddrphy_dfi_p0_rddata[22] <= a7ddrphy_bitslip62[1]; + a7ddrphy_dfi_p0_rddata[7] <= a7ddrphy_bitslip72[0]; + a7ddrphy_dfi_p0_rddata[23] <= a7ddrphy_bitslip72[1]; + a7ddrphy_dfi_p0_rddata[8] <= a7ddrphy_bitslip82[0]; + a7ddrphy_dfi_p0_rddata[24] <= a7ddrphy_bitslip82[1]; + a7ddrphy_dfi_p0_rddata[9] <= a7ddrphy_bitslip92[0]; + a7ddrphy_dfi_p0_rddata[25] <= a7ddrphy_bitslip92[1]; + a7ddrphy_dfi_p0_rddata[10] <= a7ddrphy_bitslip102[0]; + a7ddrphy_dfi_p0_rddata[26] <= a7ddrphy_bitslip102[1]; + a7ddrphy_dfi_p0_rddata[11] <= a7ddrphy_bitslip112[0]; + a7ddrphy_dfi_p0_rddata[27] <= a7ddrphy_bitslip112[1]; + a7ddrphy_dfi_p0_rddata[12] <= a7ddrphy_bitslip122[0]; + a7ddrphy_dfi_p0_rddata[28] <= a7ddrphy_bitslip122[1]; + a7ddrphy_dfi_p0_rddata[13] <= a7ddrphy_bitslip132[0]; + a7ddrphy_dfi_p0_rddata[29] <= a7ddrphy_bitslip132[1]; + a7ddrphy_dfi_p0_rddata[14] <= a7ddrphy_bitslip142[0]; + a7ddrphy_dfi_p0_rddata[30] <= a7ddrphy_bitslip142[1]; + a7ddrphy_dfi_p0_rddata[15] <= a7ddrphy_bitslip152[0]; + a7ddrphy_dfi_p0_rddata[31] <= a7ddrphy_bitslip152[1]; +end +always @(*) begin + a7ddrphy_dfi_p1_rddata <= 32'd0; + a7ddrphy_dfi_p1_rddata[0] <= a7ddrphy_bitslip04[2]; + a7ddrphy_dfi_p1_rddata[16] <= a7ddrphy_bitslip04[3]; + a7ddrphy_dfi_p1_rddata[1] <= a7ddrphy_bitslip14[2]; + a7ddrphy_dfi_p1_rddata[17] <= a7ddrphy_bitslip14[3]; + a7ddrphy_dfi_p1_rddata[2] <= a7ddrphy_bitslip22[2]; + a7ddrphy_dfi_p1_rddata[18] <= a7ddrphy_bitslip22[3]; + a7ddrphy_dfi_p1_rddata[3] <= a7ddrphy_bitslip32[2]; + a7ddrphy_dfi_p1_rddata[19] <= a7ddrphy_bitslip32[3]; + a7ddrphy_dfi_p1_rddata[4] <= a7ddrphy_bitslip42[2]; + a7ddrphy_dfi_p1_rddata[20] <= a7ddrphy_bitslip42[3]; + a7ddrphy_dfi_p1_rddata[5] <= a7ddrphy_bitslip52[2]; + a7ddrphy_dfi_p1_rddata[21] <= a7ddrphy_bitslip52[3]; + a7ddrphy_dfi_p1_rddata[6] <= a7ddrphy_bitslip62[2]; + a7ddrphy_dfi_p1_rddata[22] <= a7ddrphy_bitslip62[3]; + a7ddrphy_dfi_p1_rddata[7] <= a7ddrphy_bitslip72[2]; + a7ddrphy_dfi_p1_rddata[23] <= a7ddrphy_bitslip72[3]; + a7ddrphy_dfi_p1_rddata[8] <= a7ddrphy_bitslip82[2]; + a7ddrphy_dfi_p1_rddata[24] <= a7ddrphy_bitslip82[3]; + a7ddrphy_dfi_p1_rddata[9] <= a7ddrphy_bitslip92[2]; + a7ddrphy_dfi_p1_rddata[25] <= a7ddrphy_bitslip92[3]; + a7ddrphy_dfi_p1_rddata[10] <= a7ddrphy_bitslip102[2]; + a7ddrphy_dfi_p1_rddata[26] <= a7ddrphy_bitslip102[3]; + a7ddrphy_dfi_p1_rddata[11] <= a7ddrphy_bitslip112[2]; + a7ddrphy_dfi_p1_rddata[27] <= a7ddrphy_bitslip112[3]; + a7ddrphy_dfi_p1_rddata[12] <= a7ddrphy_bitslip122[2]; + a7ddrphy_dfi_p1_rddata[28] <= a7ddrphy_bitslip122[3]; + a7ddrphy_dfi_p1_rddata[13] <= a7ddrphy_bitslip132[2]; + a7ddrphy_dfi_p1_rddata[29] <= a7ddrphy_bitslip132[3]; + a7ddrphy_dfi_p1_rddata[14] <= a7ddrphy_bitslip142[2]; + a7ddrphy_dfi_p1_rddata[30] <= a7ddrphy_bitslip142[3]; + a7ddrphy_dfi_p1_rddata[15] <= a7ddrphy_bitslip152[2]; + a7ddrphy_dfi_p1_rddata[31] <= a7ddrphy_bitslip152[3]; +end +always @(*) begin + a7ddrphy_dfi_p2_rddata <= 32'd0; + a7ddrphy_dfi_p2_rddata[0] <= a7ddrphy_bitslip04[4]; + a7ddrphy_dfi_p2_rddata[16] <= a7ddrphy_bitslip04[5]; + a7ddrphy_dfi_p2_rddata[1] <= a7ddrphy_bitslip14[4]; + a7ddrphy_dfi_p2_rddata[17] <= a7ddrphy_bitslip14[5]; + a7ddrphy_dfi_p2_rddata[2] <= a7ddrphy_bitslip22[4]; + a7ddrphy_dfi_p2_rddata[18] <= a7ddrphy_bitslip22[5]; + a7ddrphy_dfi_p2_rddata[3] <= a7ddrphy_bitslip32[4]; + a7ddrphy_dfi_p2_rddata[19] <= a7ddrphy_bitslip32[5]; + a7ddrphy_dfi_p2_rddata[4] <= a7ddrphy_bitslip42[4]; + a7ddrphy_dfi_p2_rddata[20] <= a7ddrphy_bitslip42[5]; + a7ddrphy_dfi_p2_rddata[5] <= a7ddrphy_bitslip52[4]; + a7ddrphy_dfi_p2_rddata[21] <= a7ddrphy_bitslip52[5]; + a7ddrphy_dfi_p2_rddata[6] <= a7ddrphy_bitslip62[4]; + a7ddrphy_dfi_p2_rddata[22] <= a7ddrphy_bitslip62[5]; + a7ddrphy_dfi_p2_rddata[7] <= a7ddrphy_bitslip72[4]; + a7ddrphy_dfi_p2_rddata[23] <= a7ddrphy_bitslip72[5]; + a7ddrphy_dfi_p2_rddata[8] <= a7ddrphy_bitslip82[4]; + a7ddrphy_dfi_p2_rddata[24] <= a7ddrphy_bitslip82[5]; + a7ddrphy_dfi_p2_rddata[9] <= a7ddrphy_bitslip92[4]; + a7ddrphy_dfi_p2_rddata[25] <= a7ddrphy_bitslip92[5]; + a7ddrphy_dfi_p2_rddata[10] <= a7ddrphy_bitslip102[4]; + a7ddrphy_dfi_p2_rddata[26] <= a7ddrphy_bitslip102[5]; + a7ddrphy_dfi_p2_rddata[11] <= a7ddrphy_bitslip112[4]; + a7ddrphy_dfi_p2_rddata[27] <= a7ddrphy_bitslip112[5]; + a7ddrphy_dfi_p2_rddata[12] <= a7ddrphy_bitslip122[4]; + a7ddrphy_dfi_p2_rddata[28] <= a7ddrphy_bitslip122[5]; + a7ddrphy_dfi_p2_rddata[13] <= a7ddrphy_bitslip132[4]; + a7ddrphy_dfi_p2_rddata[29] <= a7ddrphy_bitslip132[5]; + a7ddrphy_dfi_p2_rddata[14] <= a7ddrphy_bitslip142[4]; + a7ddrphy_dfi_p2_rddata[30] <= a7ddrphy_bitslip142[5]; + a7ddrphy_dfi_p2_rddata[15] <= a7ddrphy_bitslip152[4]; + a7ddrphy_dfi_p2_rddata[31] <= a7ddrphy_bitslip152[5]; +end +always @(*) begin + a7ddrphy_dfi_p3_rddata <= 32'd0; + a7ddrphy_dfi_p3_rddata[0] <= a7ddrphy_bitslip04[6]; + a7ddrphy_dfi_p3_rddata[16] <= a7ddrphy_bitslip04[7]; + a7ddrphy_dfi_p3_rddata[1] <= a7ddrphy_bitslip14[6]; + a7ddrphy_dfi_p3_rddata[17] <= a7ddrphy_bitslip14[7]; + a7ddrphy_dfi_p3_rddata[2] <= a7ddrphy_bitslip22[6]; + a7ddrphy_dfi_p3_rddata[18] <= a7ddrphy_bitslip22[7]; + a7ddrphy_dfi_p3_rddata[3] <= a7ddrphy_bitslip32[6]; + a7ddrphy_dfi_p3_rddata[19] <= a7ddrphy_bitslip32[7]; + a7ddrphy_dfi_p3_rddata[4] <= a7ddrphy_bitslip42[6]; + a7ddrphy_dfi_p3_rddata[20] <= a7ddrphy_bitslip42[7]; + a7ddrphy_dfi_p3_rddata[5] <= a7ddrphy_bitslip52[6]; + a7ddrphy_dfi_p3_rddata[21] <= a7ddrphy_bitslip52[7]; + a7ddrphy_dfi_p3_rddata[6] <= a7ddrphy_bitslip62[6]; + a7ddrphy_dfi_p3_rddata[22] <= a7ddrphy_bitslip62[7]; + a7ddrphy_dfi_p3_rddata[7] <= a7ddrphy_bitslip72[6]; + a7ddrphy_dfi_p3_rddata[23] <= a7ddrphy_bitslip72[7]; + a7ddrphy_dfi_p3_rddata[8] <= a7ddrphy_bitslip82[6]; + a7ddrphy_dfi_p3_rddata[24] <= a7ddrphy_bitslip82[7]; + a7ddrphy_dfi_p3_rddata[9] <= a7ddrphy_bitslip92[6]; + a7ddrphy_dfi_p3_rddata[25] <= a7ddrphy_bitslip92[7]; + a7ddrphy_dfi_p3_rddata[10] <= a7ddrphy_bitslip102[6]; + a7ddrphy_dfi_p3_rddata[26] <= a7ddrphy_bitslip102[7]; + a7ddrphy_dfi_p3_rddata[11] <= a7ddrphy_bitslip112[6]; + a7ddrphy_dfi_p3_rddata[27] <= a7ddrphy_bitslip112[7]; + a7ddrphy_dfi_p3_rddata[12] <= a7ddrphy_bitslip122[6]; + a7ddrphy_dfi_p3_rddata[28] <= a7ddrphy_bitslip122[7]; + a7ddrphy_dfi_p3_rddata[13] <= a7ddrphy_bitslip132[6]; + a7ddrphy_dfi_p3_rddata[29] <= a7ddrphy_bitslip132[7]; + a7ddrphy_dfi_p3_rddata[14] <= a7ddrphy_bitslip142[6]; + a7ddrphy_dfi_p3_rddata[30] <= a7ddrphy_bitslip142[7]; + a7ddrphy_dfi_p3_rddata[15] <= a7ddrphy_bitslip152[6]; + a7ddrphy_dfi_p3_rddata[31] <= a7ddrphy_bitslip152[7]; +end +assign a7ddrphy_dfi_p0_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dfi_p1_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dfi_p2_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dfi_p3_rddata_valid = (a7ddrphy_rddata_en_tappeddelayline7 | a7ddrphy_wlevel_en_storage); +assign a7ddrphy_dq_oe = a7ddrphy_wrdata_en_tappeddelayline1; +always @(*) begin + a7ddrphy_dqs_oe <= 1'd0; + if (a7ddrphy_wlevel_en_storage) begin + a7ddrphy_dqs_oe <= 1'd1; + end else begin + a7ddrphy_dqs_oe <= a7ddrphy_dq_oe; + end +end +assign a7ddrphy_dqs_preamble = (a7ddrphy_wrdata_en_tappeddelayline0 & (~a7ddrphy_wrdata_en_tappeddelayline1)); +assign a7ddrphy_dqs_postamble = (a7ddrphy_wrdata_en_tappeddelayline2 & (~a7ddrphy_wrdata_en_tappeddelayline1)); +always @(*) begin + a7ddrphy_dqspattern_o0 <= 8'd0; + a7ddrphy_dqspattern_o0 <= 7'd85; + if (a7ddrphy_dqspattern0) begin + a7ddrphy_dqspattern_o0 <= 5'd21; + end + if (a7ddrphy_dqspattern1) begin + a7ddrphy_dqspattern_o0 <= 7'd84; + end + if (a7ddrphy_wlevel_en_storage) begin + a7ddrphy_dqspattern_o0 <= 1'd0; + if (a7ddrphy_wlevel_strobe_re) begin + a7ddrphy_dqspattern_o0 <= 1'd1; + end + end +end +always @(*) begin + a7ddrphy_bitslip00 <= 8'd0; + case (a7ddrphy_bitslip0_value0) 1'd0: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[8:1]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[9:2]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[10:3]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[11:4]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[12:5]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[13:6]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[14:7]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip00 <= main_a7ddrphy_bitslip0_r0[15:8]; + a7ddrphy_bitslip00 <= a7ddrphy_bitslip0_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip10 <= 8'd0; - case (main_a7ddrphy_bitslip1_value0) + a7ddrphy_bitslip10 <= 8'd0; + case (a7ddrphy_bitslip1_value0) 1'd0: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[8:1]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[9:2]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[10:3]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[11:4]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[12:5]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[13:6]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[14:7]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip10 <= main_a7ddrphy_bitslip1_r0[15:8]; + a7ddrphy_bitslip10 <= a7ddrphy_bitslip1_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip01 <= 8'd0; - case (main_a7ddrphy_bitslip0_value1) + a7ddrphy_bitslip01 <= 8'd0; + case (a7ddrphy_bitslip0_value1) 1'd0: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[8:1]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[9:2]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[10:3]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[11:4]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[12:5]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[13:6]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[14:7]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip01 <= main_a7ddrphy_bitslip0_r1[15:8]; + a7ddrphy_bitslip01 <= a7ddrphy_bitslip0_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip11 <= 8'd0; - case (main_a7ddrphy_bitslip1_value1) + a7ddrphy_bitslip11 <= 8'd0; + case (a7ddrphy_bitslip1_value1) 1'd0: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[8:1]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[9:2]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[10:3]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[11:4]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[12:5]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[13:6]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[14:7]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip11 <= main_a7ddrphy_bitslip1_r1[15:8]; + a7ddrphy_bitslip11 <= a7ddrphy_bitslip1_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip02 <= 8'd0; - case (main_a7ddrphy_bitslip0_value2) + a7ddrphy_bitslip02 <= 8'd0; + case (a7ddrphy_bitslip0_value2) 1'd0: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[8:1]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[9:2]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[10:3]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[11:4]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[12:5]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[13:6]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[14:7]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip02 <= main_a7ddrphy_bitslip0_r2[15:8]; + a7ddrphy_bitslip02 <= a7ddrphy_bitslip0_r2[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip04 <= 8'd0; - case (main_a7ddrphy_bitslip0_value3) + a7ddrphy_bitslip04 <= 8'd0; + case (a7ddrphy_bitslip0_value3) 1'd0: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[8:1]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[9:2]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[10:3]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[11:4]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[12:5]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[13:6]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[14:7]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip04 <= main_a7ddrphy_bitslip0_r3[15:8]; + a7ddrphy_bitslip04 <= a7ddrphy_bitslip0_r3[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip12 <= 8'd0; - case (main_a7ddrphy_bitslip1_value2) + a7ddrphy_bitslip12 <= 8'd0; + case (a7ddrphy_bitslip1_value2) 1'd0: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[8:1]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[9:2]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[10:3]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[11:4]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[12:5]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[13:6]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[14:7]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip12 <= main_a7ddrphy_bitslip1_r2[15:8]; + a7ddrphy_bitslip12 <= a7ddrphy_bitslip1_r2[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip14 <= 8'd0; - case (main_a7ddrphy_bitslip1_value3) + a7ddrphy_bitslip14 <= 8'd0; + case (a7ddrphy_bitslip1_value3) 1'd0: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[8:1]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[9:2]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[10:3]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[11:4]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[12:5]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[13:6]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[14:7]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip14 <= main_a7ddrphy_bitslip1_r3[15:8]; + a7ddrphy_bitslip14 <= a7ddrphy_bitslip1_r3[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip20 <= 8'd0; - case (main_a7ddrphy_bitslip2_value0) + a7ddrphy_bitslip20 <= 8'd0; + case (a7ddrphy_bitslip2_value0) 1'd0: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[8:1]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[9:2]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[10:3]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[11:4]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[12:5]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[13:6]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[14:7]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip20 <= main_a7ddrphy_bitslip2_r0[15:8]; + a7ddrphy_bitslip20 <= a7ddrphy_bitslip2_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip22 <= 8'd0; - case (main_a7ddrphy_bitslip2_value1) + a7ddrphy_bitslip22 <= 8'd0; + case (a7ddrphy_bitslip2_value1) 1'd0: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[8:1]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[9:2]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[10:3]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[11:4]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[12:5]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[13:6]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[14:7]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip22 <= main_a7ddrphy_bitslip2_r1[15:8]; + a7ddrphy_bitslip22 <= a7ddrphy_bitslip2_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip30 <= 8'd0; - case (main_a7ddrphy_bitslip3_value0) + a7ddrphy_bitslip30 <= 8'd0; + case (a7ddrphy_bitslip3_value0) 1'd0: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[8:1]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[9:2]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[10:3]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[11:4]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[12:5]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[13:6]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[14:7]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip30 <= main_a7ddrphy_bitslip3_r0[15:8]; + a7ddrphy_bitslip30 <= a7ddrphy_bitslip3_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip32 <= 8'd0; - case (main_a7ddrphy_bitslip3_value1) + a7ddrphy_bitslip32 <= 8'd0; + case (a7ddrphy_bitslip3_value1) 1'd0: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[8:1]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[9:2]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[10:3]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[11:4]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[12:5]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[13:6]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[14:7]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip32 <= main_a7ddrphy_bitslip3_r1[15:8]; + a7ddrphy_bitslip32 <= a7ddrphy_bitslip3_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip40 <= 8'd0; - case (main_a7ddrphy_bitslip4_value0) + a7ddrphy_bitslip40 <= 8'd0; + case (a7ddrphy_bitslip4_value0) 1'd0: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[8:1]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[9:2]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[10:3]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[11:4]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[12:5]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[13:6]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[14:7]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip40 <= main_a7ddrphy_bitslip4_r0[15:8]; + a7ddrphy_bitslip40 <= a7ddrphy_bitslip4_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip42 <= 8'd0; - case (main_a7ddrphy_bitslip4_value1) + a7ddrphy_bitslip42 <= 8'd0; + case (a7ddrphy_bitslip4_value1) 1'd0: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[8:1]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[9:2]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[10:3]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[11:4]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[12:5]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[13:6]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[14:7]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip42 <= main_a7ddrphy_bitslip4_r1[15:8]; + a7ddrphy_bitslip42 <= a7ddrphy_bitslip4_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip50 <= 8'd0; - case (main_a7ddrphy_bitslip5_value0) + a7ddrphy_bitslip50 <= 8'd0; + case (a7ddrphy_bitslip5_value0) 1'd0: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[8:1]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[9:2]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[10:3]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[11:4]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[12:5]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[13:6]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[14:7]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip50 <= main_a7ddrphy_bitslip5_r0[15:8]; + a7ddrphy_bitslip50 <= a7ddrphy_bitslip5_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip52 <= 8'd0; - case (main_a7ddrphy_bitslip5_value1) + a7ddrphy_bitslip52 <= 8'd0; + case (a7ddrphy_bitslip5_value1) 1'd0: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[8:1]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[9:2]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[10:3]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[11:4]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[12:5]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[13:6]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[14:7]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip52 <= main_a7ddrphy_bitslip5_r1[15:8]; + a7ddrphy_bitslip52 <= a7ddrphy_bitslip5_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip60 <= 8'd0; - case (main_a7ddrphy_bitslip6_value0) + a7ddrphy_bitslip60 <= 8'd0; + case (a7ddrphy_bitslip6_value0) 1'd0: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[8:1]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[9:2]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[10:3]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[11:4]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[12:5]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[13:6]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[14:7]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip60 <= main_a7ddrphy_bitslip6_r0[15:8]; + a7ddrphy_bitslip60 <= a7ddrphy_bitslip6_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip62 <= 8'd0; - case (main_a7ddrphy_bitslip6_value1) + a7ddrphy_bitslip62 <= 8'd0; + case (a7ddrphy_bitslip6_value1) 1'd0: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[8:1]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[9:2]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[10:3]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[11:4]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[12:5]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[13:6]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[14:7]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip62 <= main_a7ddrphy_bitslip6_r1[15:8]; + a7ddrphy_bitslip62 <= a7ddrphy_bitslip6_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip70 <= 8'd0; - case (main_a7ddrphy_bitslip7_value0) + a7ddrphy_bitslip70 <= 8'd0; + case (a7ddrphy_bitslip7_value0) 1'd0: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[8:1]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[9:2]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[10:3]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[11:4]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[12:5]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[13:6]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[14:7]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip70 <= main_a7ddrphy_bitslip7_r0[15:8]; + a7ddrphy_bitslip70 <= a7ddrphy_bitslip7_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip72 <= 8'd0; - case (main_a7ddrphy_bitslip7_value1) + a7ddrphy_bitslip72 <= 8'd0; + case (a7ddrphy_bitslip7_value1) 1'd0: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[8:1]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[9:2]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[10:3]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[11:4]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[12:5]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[13:6]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[14:7]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip72 <= main_a7ddrphy_bitslip7_r1[15:8]; + a7ddrphy_bitslip72 <= a7ddrphy_bitslip7_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip80 <= 8'd0; - case (main_a7ddrphy_bitslip8_value0) + a7ddrphy_bitslip80 <= 8'd0; + case (a7ddrphy_bitslip8_value0) 1'd0: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[8:1]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[9:2]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[10:3]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[11:4]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[12:5]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[13:6]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[14:7]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip80 <= main_a7ddrphy_bitslip8_r0[15:8]; + a7ddrphy_bitslip80 <= a7ddrphy_bitslip8_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip82 <= 8'd0; - case (main_a7ddrphy_bitslip8_value1) + a7ddrphy_bitslip82 <= 8'd0; + case (a7ddrphy_bitslip8_value1) 1'd0: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[8:1]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[9:2]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[10:3]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[11:4]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[12:5]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[13:6]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[14:7]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip82 <= main_a7ddrphy_bitslip8_r1[15:8]; + a7ddrphy_bitslip82 <= a7ddrphy_bitslip8_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip90 <= 8'd0; - case (main_a7ddrphy_bitslip9_value0) + a7ddrphy_bitslip90 <= 8'd0; + case (a7ddrphy_bitslip9_value0) 1'd0: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[8:1]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[9:2]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[10:3]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[11:4]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[12:5]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[13:6]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[14:7]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip90 <= main_a7ddrphy_bitslip9_r0[15:8]; + a7ddrphy_bitslip90 <= a7ddrphy_bitslip9_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip92 <= 8'd0; - case (main_a7ddrphy_bitslip9_value1) + a7ddrphy_bitslip92 <= 8'd0; + case (a7ddrphy_bitslip9_value1) 1'd0: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[8:1]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[9:2]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[10:3]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[11:4]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[12:5]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[13:6]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[14:7]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip92 <= main_a7ddrphy_bitslip9_r1[15:8]; + a7ddrphy_bitslip92 <= a7ddrphy_bitslip9_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip100 <= 8'd0; - case (main_a7ddrphy_bitslip10_value0) + a7ddrphy_bitslip100 <= 8'd0; + case (a7ddrphy_bitslip10_value0) 1'd0: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[8:1]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[9:2]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[10:3]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[11:4]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[12:5]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[13:6]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[14:7]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip100 <= main_a7ddrphy_bitslip10_r0[15:8]; + a7ddrphy_bitslip100 <= a7ddrphy_bitslip10_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip102 <= 8'd0; - case (main_a7ddrphy_bitslip10_value1) + a7ddrphy_bitslip102 <= 8'd0; + case (a7ddrphy_bitslip10_value1) 1'd0: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[8:1]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[9:2]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[10:3]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[11:4]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[12:5]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[13:6]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[14:7]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip102 <= main_a7ddrphy_bitslip10_r1[15:8]; + a7ddrphy_bitslip102 <= a7ddrphy_bitslip10_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip110 <= 8'd0; - case (main_a7ddrphy_bitslip11_value0) + a7ddrphy_bitslip110 <= 8'd0; + case (a7ddrphy_bitslip11_value0) 1'd0: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[8:1]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[9:2]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[10:3]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[11:4]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[12:5]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[13:6]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[14:7]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip110 <= main_a7ddrphy_bitslip11_r0[15:8]; + a7ddrphy_bitslip110 <= a7ddrphy_bitslip11_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip112 <= 8'd0; - case (main_a7ddrphy_bitslip11_value1) + a7ddrphy_bitslip112 <= 8'd0; + case (a7ddrphy_bitslip11_value1) 1'd0: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[8:1]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[9:2]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[10:3]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[11:4]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[12:5]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[13:6]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[14:7]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip112 <= main_a7ddrphy_bitslip11_r1[15:8]; + a7ddrphy_bitslip112 <= a7ddrphy_bitslip11_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip120 <= 8'd0; - case (main_a7ddrphy_bitslip12_value0) + a7ddrphy_bitslip120 <= 8'd0; + case (a7ddrphy_bitslip12_value0) 1'd0: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[8:1]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[9:2]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[10:3]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[11:4]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[12:5]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[13:6]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[14:7]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip120 <= main_a7ddrphy_bitslip12_r0[15:8]; + a7ddrphy_bitslip120 <= a7ddrphy_bitslip12_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip122 <= 8'd0; - case (main_a7ddrphy_bitslip12_value1) + a7ddrphy_bitslip122 <= 8'd0; + case (a7ddrphy_bitslip12_value1) 1'd0: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[8:1]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[9:2]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[10:3]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[11:4]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[12:5]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[13:6]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[14:7]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip122 <= main_a7ddrphy_bitslip12_r1[15:8]; + a7ddrphy_bitslip122 <= a7ddrphy_bitslip12_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip130 <= 8'd0; - case (main_a7ddrphy_bitslip13_value0) + a7ddrphy_bitslip130 <= 8'd0; + case (a7ddrphy_bitslip13_value0) 1'd0: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[8:1]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[9:2]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[10:3]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[11:4]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[12:5]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[13:6]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[14:7]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip130 <= main_a7ddrphy_bitslip13_r0[15:8]; + a7ddrphy_bitslip130 <= a7ddrphy_bitslip13_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip132 <= 8'd0; - case (main_a7ddrphy_bitslip13_value1) + a7ddrphy_bitslip132 <= 8'd0; + case (a7ddrphy_bitslip13_value1) 1'd0: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[8:1]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[9:2]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[10:3]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[11:4]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[12:5]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[13:6]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[14:7]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip132 <= main_a7ddrphy_bitslip13_r1[15:8]; + a7ddrphy_bitslip132 <= a7ddrphy_bitslip13_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip140 <= 8'd0; - case (main_a7ddrphy_bitslip14_value0) + a7ddrphy_bitslip140 <= 8'd0; + case (a7ddrphy_bitslip14_value0) 1'd0: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[8:1]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[9:2]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[10:3]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[11:4]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[12:5]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[13:6]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[14:7]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip140 <= main_a7ddrphy_bitslip14_r0[15:8]; + a7ddrphy_bitslip140 <= a7ddrphy_bitslip14_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip142 <= 8'd0; - case (main_a7ddrphy_bitslip14_value1) + a7ddrphy_bitslip142 <= 8'd0; + case (a7ddrphy_bitslip14_value1) 1'd0: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[8:1]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[9:2]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[10:3]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[11:4]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[12:5]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[13:6]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[14:7]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip142 <= main_a7ddrphy_bitslip14_r1[15:8]; + a7ddrphy_bitslip142 <= a7ddrphy_bitslip14_r1[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip150 <= 8'd0; - case (main_a7ddrphy_bitslip15_value0) + a7ddrphy_bitslip150 <= 8'd0; + case (a7ddrphy_bitslip15_value0) 1'd0: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[8:1]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[8:1]; end 1'd1: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[9:2]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[9:2]; end 2'd2: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[10:3]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[10:3]; end 2'd3: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[11:4]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[11:4]; end 3'd4: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[12:5]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[12:5]; end 3'd5: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[13:6]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[13:6]; end 3'd6: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[14:7]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[14:7]; end 3'd7: begin - main_a7ddrphy_bitslip150 <= main_a7ddrphy_bitslip15_r0[15:8]; + a7ddrphy_bitslip150 <= a7ddrphy_bitslip15_r0[15:8]; end endcase end always @(*) begin - main_a7ddrphy_bitslip152 <= 8'd0; - case (main_a7ddrphy_bitslip15_value1) + a7ddrphy_bitslip152 <= 8'd0; + case (a7ddrphy_bitslip15_value1) 1'd0: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[8:1]; - end - 1'd1: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[9:2]; - end - 2'd2: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[10:3]; - end - 2'd3: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[11:4]; - end - 3'd4: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[12:5]; - end - 3'd5: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[13:6]; - end - 3'd6: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[14:7]; - end - 3'd7: begin - main_a7ddrphy_bitslip152 <= main_a7ddrphy_bitslip15_r1[15:8]; - end - endcase -end -assign main_a7ddrphy_dfi_p0_address = main_litedramcore_master_p0_address; -assign main_a7ddrphy_dfi_p0_bank = main_litedramcore_master_p0_bank; -assign main_a7ddrphy_dfi_p0_cas_n = main_litedramcore_master_p0_cas_n; -assign main_a7ddrphy_dfi_p0_cs_n = main_litedramcore_master_p0_cs_n; -assign main_a7ddrphy_dfi_p0_ras_n = main_litedramcore_master_p0_ras_n; -assign main_a7ddrphy_dfi_p0_we_n = main_litedramcore_master_p0_we_n; -assign main_a7ddrphy_dfi_p0_cke = main_litedramcore_master_p0_cke; -assign main_a7ddrphy_dfi_p0_odt = main_litedramcore_master_p0_odt; -assign main_a7ddrphy_dfi_p0_reset_n = main_litedramcore_master_p0_reset_n; -assign main_a7ddrphy_dfi_p0_act_n = main_litedramcore_master_p0_act_n; -assign main_a7ddrphy_dfi_p0_wrdata = main_litedramcore_master_p0_wrdata; -assign main_a7ddrphy_dfi_p0_wrdata_en = main_litedramcore_master_p0_wrdata_en; -assign main_a7ddrphy_dfi_p0_wrdata_mask = main_litedramcore_master_p0_wrdata_mask; -assign main_a7ddrphy_dfi_p0_rddata_en = main_litedramcore_master_p0_rddata_en; -assign main_litedramcore_master_p0_rddata = main_a7ddrphy_dfi_p0_rddata; -assign main_litedramcore_master_p0_rddata_valid = main_a7ddrphy_dfi_p0_rddata_valid; -assign main_a7ddrphy_dfi_p1_address = main_litedramcore_master_p1_address; -assign main_a7ddrphy_dfi_p1_bank = main_litedramcore_master_p1_bank; -assign main_a7ddrphy_dfi_p1_cas_n = main_litedramcore_master_p1_cas_n; -assign main_a7ddrphy_dfi_p1_cs_n = main_litedramcore_master_p1_cs_n; -assign main_a7ddrphy_dfi_p1_ras_n = main_litedramcore_master_p1_ras_n; -assign main_a7ddrphy_dfi_p1_we_n = main_litedramcore_master_p1_we_n; -assign main_a7ddrphy_dfi_p1_cke = main_litedramcore_master_p1_cke; -assign main_a7ddrphy_dfi_p1_odt = main_litedramcore_master_p1_odt; -assign main_a7ddrphy_dfi_p1_reset_n = main_litedramcore_master_p1_reset_n; -assign main_a7ddrphy_dfi_p1_act_n = main_litedramcore_master_p1_act_n; -assign main_a7ddrphy_dfi_p1_wrdata = main_litedramcore_master_p1_wrdata; -assign main_a7ddrphy_dfi_p1_wrdata_en = main_litedramcore_master_p1_wrdata_en; -assign main_a7ddrphy_dfi_p1_wrdata_mask = main_litedramcore_master_p1_wrdata_mask; -assign main_a7ddrphy_dfi_p1_rddata_en = main_litedramcore_master_p1_rddata_en; -assign main_litedramcore_master_p1_rddata = main_a7ddrphy_dfi_p1_rddata; -assign main_litedramcore_master_p1_rddata_valid = main_a7ddrphy_dfi_p1_rddata_valid; -assign main_a7ddrphy_dfi_p2_address = main_litedramcore_master_p2_address; -assign main_a7ddrphy_dfi_p2_bank = main_litedramcore_master_p2_bank; -assign main_a7ddrphy_dfi_p2_cas_n = main_litedramcore_master_p2_cas_n; -assign main_a7ddrphy_dfi_p2_cs_n = main_litedramcore_master_p2_cs_n; -assign main_a7ddrphy_dfi_p2_ras_n = main_litedramcore_master_p2_ras_n; -assign main_a7ddrphy_dfi_p2_we_n = main_litedramcore_master_p2_we_n; -assign main_a7ddrphy_dfi_p2_cke = main_litedramcore_master_p2_cke; -assign main_a7ddrphy_dfi_p2_odt = main_litedramcore_master_p2_odt; -assign main_a7ddrphy_dfi_p2_reset_n = main_litedramcore_master_p2_reset_n; -assign main_a7ddrphy_dfi_p2_act_n = main_litedramcore_master_p2_act_n; -assign main_a7ddrphy_dfi_p2_wrdata = main_litedramcore_master_p2_wrdata; -assign main_a7ddrphy_dfi_p2_wrdata_en = main_litedramcore_master_p2_wrdata_en; -assign main_a7ddrphy_dfi_p2_wrdata_mask = main_litedramcore_master_p2_wrdata_mask; -assign main_a7ddrphy_dfi_p2_rddata_en = main_litedramcore_master_p2_rddata_en; -assign main_litedramcore_master_p2_rddata = main_a7ddrphy_dfi_p2_rddata; -assign main_litedramcore_master_p2_rddata_valid = main_a7ddrphy_dfi_p2_rddata_valid; -assign main_a7ddrphy_dfi_p3_address = main_litedramcore_master_p3_address; -assign main_a7ddrphy_dfi_p3_bank = main_litedramcore_master_p3_bank; -assign main_a7ddrphy_dfi_p3_cas_n = main_litedramcore_master_p3_cas_n; -assign main_a7ddrphy_dfi_p3_cs_n = main_litedramcore_master_p3_cs_n; -assign main_a7ddrphy_dfi_p3_ras_n = main_litedramcore_master_p3_ras_n; -assign main_a7ddrphy_dfi_p3_we_n = main_litedramcore_master_p3_we_n; -assign main_a7ddrphy_dfi_p3_cke = main_litedramcore_master_p3_cke; -assign main_a7ddrphy_dfi_p3_odt = main_litedramcore_master_p3_odt; -assign main_a7ddrphy_dfi_p3_reset_n = main_litedramcore_master_p3_reset_n; -assign main_a7ddrphy_dfi_p3_act_n = main_litedramcore_master_p3_act_n; -assign main_a7ddrphy_dfi_p3_wrdata = main_litedramcore_master_p3_wrdata; -assign main_a7ddrphy_dfi_p3_wrdata_en = main_litedramcore_master_p3_wrdata_en; -assign main_a7ddrphy_dfi_p3_wrdata_mask = main_litedramcore_master_p3_wrdata_mask; -assign main_a7ddrphy_dfi_p3_rddata_en = main_litedramcore_master_p3_rddata_en; -assign main_litedramcore_master_p3_rddata = main_a7ddrphy_dfi_p3_rddata; -assign main_litedramcore_master_p3_rddata_valid = main_a7ddrphy_dfi_p3_rddata_valid; -assign main_litedramcore_slave_p0_address = main_litedramcore_dfi_p0_address; -assign main_litedramcore_slave_p0_bank = main_litedramcore_dfi_p0_bank; -assign main_litedramcore_slave_p0_cas_n = main_litedramcore_dfi_p0_cas_n; -assign main_litedramcore_slave_p0_cs_n = main_litedramcore_dfi_p0_cs_n; -assign main_litedramcore_slave_p0_ras_n = main_litedramcore_dfi_p0_ras_n; -assign main_litedramcore_slave_p0_we_n = main_litedramcore_dfi_p0_we_n; -assign main_litedramcore_slave_p0_cke = main_litedramcore_dfi_p0_cke; -assign main_litedramcore_slave_p0_odt = main_litedramcore_dfi_p0_odt; -assign main_litedramcore_slave_p0_reset_n = main_litedramcore_dfi_p0_reset_n; -assign main_litedramcore_slave_p0_act_n = main_litedramcore_dfi_p0_act_n; -assign main_litedramcore_slave_p0_wrdata = main_litedramcore_dfi_p0_wrdata; -assign main_litedramcore_slave_p0_wrdata_en = main_litedramcore_dfi_p0_wrdata_en; -assign main_litedramcore_slave_p0_wrdata_mask = main_litedramcore_dfi_p0_wrdata_mask; -assign main_litedramcore_slave_p0_rddata_en = main_litedramcore_dfi_p0_rddata_en; -assign main_litedramcore_dfi_p0_rddata = main_litedramcore_slave_p0_rddata; -assign main_litedramcore_dfi_p0_rddata_valid = main_litedramcore_slave_p0_rddata_valid; -assign main_litedramcore_slave_p1_address = main_litedramcore_dfi_p1_address; -assign main_litedramcore_slave_p1_bank = main_litedramcore_dfi_p1_bank; -assign main_litedramcore_slave_p1_cas_n = main_litedramcore_dfi_p1_cas_n; -assign main_litedramcore_slave_p1_cs_n = main_litedramcore_dfi_p1_cs_n; -assign main_litedramcore_slave_p1_ras_n = main_litedramcore_dfi_p1_ras_n; -assign main_litedramcore_slave_p1_we_n = main_litedramcore_dfi_p1_we_n; -assign main_litedramcore_slave_p1_cke = main_litedramcore_dfi_p1_cke; -assign main_litedramcore_slave_p1_odt = main_litedramcore_dfi_p1_odt; -assign main_litedramcore_slave_p1_reset_n = main_litedramcore_dfi_p1_reset_n; -assign main_litedramcore_slave_p1_act_n = main_litedramcore_dfi_p1_act_n; -assign main_litedramcore_slave_p1_wrdata = main_litedramcore_dfi_p1_wrdata; -assign main_litedramcore_slave_p1_wrdata_en = main_litedramcore_dfi_p1_wrdata_en; -assign main_litedramcore_slave_p1_wrdata_mask = main_litedramcore_dfi_p1_wrdata_mask; -assign main_litedramcore_slave_p1_rddata_en = main_litedramcore_dfi_p1_rddata_en; -assign main_litedramcore_dfi_p1_rddata = main_litedramcore_slave_p1_rddata; -assign main_litedramcore_dfi_p1_rddata_valid = main_litedramcore_slave_p1_rddata_valid; -assign main_litedramcore_slave_p2_address = main_litedramcore_dfi_p2_address; -assign main_litedramcore_slave_p2_bank = main_litedramcore_dfi_p2_bank; -assign main_litedramcore_slave_p2_cas_n = main_litedramcore_dfi_p2_cas_n; -assign main_litedramcore_slave_p2_cs_n = main_litedramcore_dfi_p2_cs_n; -assign main_litedramcore_slave_p2_ras_n = main_litedramcore_dfi_p2_ras_n; -assign main_litedramcore_slave_p2_we_n = main_litedramcore_dfi_p2_we_n; -assign main_litedramcore_slave_p2_cke = main_litedramcore_dfi_p2_cke; -assign main_litedramcore_slave_p2_odt = main_litedramcore_dfi_p2_odt; -assign main_litedramcore_slave_p2_reset_n = main_litedramcore_dfi_p2_reset_n; -assign main_litedramcore_slave_p2_act_n = main_litedramcore_dfi_p2_act_n; -assign main_litedramcore_slave_p2_wrdata = main_litedramcore_dfi_p2_wrdata; -assign main_litedramcore_slave_p2_wrdata_en = main_litedramcore_dfi_p2_wrdata_en; -assign main_litedramcore_slave_p2_wrdata_mask = main_litedramcore_dfi_p2_wrdata_mask; -assign main_litedramcore_slave_p2_rddata_en = main_litedramcore_dfi_p2_rddata_en; -assign main_litedramcore_dfi_p2_rddata = main_litedramcore_slave_p2_rddata; -assign main_litedramcore_dfi_p2_rddata_valid = main_litedramcore_slave_p2_rddata_valid; -assign main_litedramcore_slave_p3_address = main_litedramcore_dfi_p3_address; -assign main_litedramcore_slave_p3_bank = main_litedramcore_dfi_p3_bank; -assign main_litedramcore_slave_p3_cas_n = main_litedramcore_dfi_p3_cas_n; -assign main_litedramcore_slave_p3_cs_n = main_litedramcore_dfi_p3_cs_n; -assign main_litedramcore_slave_p3_ras_n = main_litedramcore_dfi_p3_ras_n; -assign main_litedramcore_slave_p3_we_n = main_litedramcore_dfi_p3_we_n; -assign main_litedramcore_slave_p3_cke = main_litedramcore_dfi_p3_cke; -assign main_litedramcore_slave_p3_odt = main_litedramcore_dfi_p3_odt; -assign main_litedramcore_slave_p3_reset_n = main_litedramcore_dfi_p3_reset_n; -assign main_litedramcore_slave_p3_act_n = main_litedramcore_dfi_p3_act_n; -assign main_litedramcore_slave_p3_wrdata = main_litedramcore_dfi_p3_wrdata; -assign main_litedramcore_slave_p3_wrdata_en = main_litedramcore_dfi_p3_wrdata_en; -assign main_litedramcore_slave_p3_wrdata_mask = main_litedramcore_dfi_p3_wrdata_mask; -assign main_litedramcore_slave_p3_rddata_en = main_litedramcore_dfi_p3_rddata_en; -assign main_litedramcore_dfi_p3_rddata = main_litedramcore_slave_p3_rddata; -assign main_litedramcore_dfi_p3_rddata_valid = main_litedramcore_slave_p3_rddata_valid; + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[8:1]; + end + 1'd1: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[9:2]; + end + 2'd2: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[10:3]; + end + 2'd3: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[11:4]; + end + 3'd4: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[12:5]; + end + 3'd5: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[13:6]; + end + 3'd6: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[14:7]; + end + 3'd7: begin + a7ddrphy_bitslip152 <= a7ddrphy_bitslip15_r1[15:8]; + end + endcase +end +assign a7ddrphy_dfi_p0_address = litedramcore_master_p0_address; +assign a7ddrphy_dfi_p0_bank = litedramcore_master_p0_bank; +assign a7ddrphy_dfi_p0_cas_n = litedramcore_master_p0_cas_n; +assign a7ddrphy_dfi_p0_cs_n = litedramcore_master_p0_cs_n; +assign a7ddrphy_dfi_p0_ras_n = litedramcore_master_p0_ras_n; +assign a7ddrphy_dfi_p0_we_n = litedramcore_master_p0_we_n; +assign a7ddrphy_dfi_p0_cke = litedramcore_master_p0_cke; +assign a7ddrphy_dfi_p0_odt = litedramcore_master_p0_odt; +assign a7ddrphy_dfi_p0_reset_n = litedramcore_master_p0_reset_n; +assign a7ddrphy_dfi_p0_act_n = litedramcore_master_p0_act_n; +assign a7ddrphy_dfi_p0_wrdata = litedramcore_master_p0_wrdata; +assign a7ddrphy_dfi_p0_wrdata_en = litedramcore_master_p0_wrdata_en; +assign a7ddrphy_dfi_p0_wrdata_mask = litedramcore_master_p0_wrdata_mask; +assign a7ddrphy_dfi_p0_rddata_en = litedramcore_master_p0_rddata_en; +assign litedramcore_master_p0_rddata = a7ddrphy_dfi_p0_rddata; +assign litedramcore_master_p0_rddata_valid = a7ddrphy_dfi_p0_rddata_valid; +assign a7ddrphy_dfi_p1_address = litedramcore_master_p1_address; +assign a7ddrphy_dfi_p1_bank = litedramcore_master_p1_bank; +assign a7ddrphy_dfi_p1_cas_n = litedramcore_master_p1_cas_n; +assign a7ddrphy_dfi_p1_cs_n = litedramcore_master_p1_cs_n; +assign a7ddrphy_dfi_p1_ras_n = litedramcore_master_p1_ras_n; +assign a7ddrphy_dfi_p1_we_n = litedramcore_master_p1_we_n; +assign a7ddrphy_dfi_p1_cke = litedramcore_master_p1_cke; +assign a7ddrphy_dfi_p1_odt = litedramcore_master_p1_odt; +assign a7ddrphy_dfi_p1_reset_n = litedramcore_master_p1_reset_n; +assign a7ddrphy_dfi_p1_act_n = litedramcore_master_p1_act_n; +assign a7ddrphy_dfi_p1_wrdata = litedramcore_master_p1_wrdata; +assign a7ddrphy_dfi_p1_wrdata_en = litedramcore_master_p1_wrdata_en; +assign a7ddrphy_dfi_p1_wrdata_mask = litedramcore_master_p1_wrdata_mask; +assign a7ddrphy_dfi_p1_rddata_en = litedramcore_master_p1_rddata_en; +assign litedramcore_master_p1_rddata = a7ddrphy_dfi_p1_rddata; +assign litedramcore_master_p1_rddata_valid = a7ddrphy_dfi_p1_rddata_valid; +assign a7ddrphy_dfi_p2_address = litedramcore_master_p2_address; +assign a7ddrphy_dfi_p2_bank = litedramcore_master_p2_bank; +assign a7ddrphy_dfi_p2_cas_n = litedramcore_master_p2_cas_n; +assign a7ddrphy_dfi_p2_cs_n = litedramcore_master_p2_cs_n; +assign a7ddrphy_dfi_p2_ras_n = litedramcore_master_p2_ras_n; +assign a7ddrphy_dfi_p2_we_n = litedramcore_master_p2_we_n; +assign a7ddrphy_dfi_p2_cke = litedramcore_master_p2_cke; +assign a7ddrphy_dfi_p2_odt = litedramcore_master_p2_odt; +assign a7ddrphy_dfi_p2_reset_n = litedramcore_master_p2_reset_n; +assign a7ddrphy_dfi_p2_act_n = litedramcore_master_p2_act_n; +assign a7ddrphy_dfi_p2_wrdata = litedramcore_master_p2_wrdata; +assign a7ddrphy_dfi_p2_wrdata_en = litedramcore_master_p2_wrdata_en; +assign a7ddrphy_dfi_p2_wrdata_mask = litedramcore_master_p2_wrdata_mask; +assign a7ddrphy_dfi_p2_rddata_en = litedramcore_master_p2_rddata_en; +assign litedramcore_master_p2_rddata = a7ddrphy_dfi_p2_rddata; +assign litedramcore_master_p2_rddata_valid = a7ddrphy_dfi_p2_rddata_valid; +assign a7ddrphy_dfi_p3_address = litedramcore_master_p3_address; +assign a7ddrphy_dfi_p3_bank = litedramcore_master_p3_bank; +assign a7ddrphy_dfi_p3_cas_n = litedramcore_master_p3_cas_n; +assign a7ddrphy_dfi_p3_cs_n = litedramcore_master_p3_cs_n; +assign a7ddrphy_dfi_p3_ras_n = litedramcore_master_p3_ras_n; +assign a7ddrphy_dfi_p3_we_n = litedramcore_master_p3_we_n; +assign a7ddrphy_dfi_p3_cke = litedramcore_master_p3_cke; +assign a7ddrphy_dfi_p3_odt = litedramcore_master_p3_odt; +assign a7ddrphy_dfi_p3_reset_n = litedramcore_master_p3_reset_n; +assign a7ddrphy_dfi_p3_act_n = litedramcore_master_p3_act_n; +assign a7ddrphy_dfi_p3_wrdata = litedramcore_master_p3_wrdata; +assign a7ddrphy_dfi_p3_wrdata_en = litedramcore_master_p3_wrdata_en; +assign a7ddrphy_dfi_p3_wrdata_mask = litedramcore_master_p3_wrdata_mask; +assign a7ddrphy_dfi_p3_rddata_en = litedramcore_master_p3_rddata_en; +assign litedramcore_master_p3_rddata = a7ddrphy_dfi_p3_rddata; +assign litedramcore_master_p3_rddata_valid = a7ddrphy_dfi_p3_rddata_valid; +assign litedramcore_slave_p0_address = litedramcore_dfi_p0_address; +assign litedramcore_slave_p0_bank = litedramcore_dfi_p0_bank; +assign litedramcore_slave_p0_cas_n = litedramcore_dfi_p0_cas_n; +assign litedramcore_slave_p0_cs_n = litedramcore_dfi_p0_cs_n; +assign litedramcore_slave_p0_ras_n = litedramcore_dfi_p0_ras_n; +assign litedramcore_slave_p0_we_n = litedramcore_dfi_p0_we_n; +assign litedramcore_slave_p0_cke = litedramcore_dfi_p0_cke; +assign litedramcore_slave_p0_odt = litedramcore_dfi_p0_odt; +assign litedramcore_slave_p0_reset_n = litedramcore_dfi_p0_reset_n; +assign litedramcore_slave_p0_act_n = litedramcore_dfi_p0_act_n; +assign litedramcore_slave_p0_wrdata = litedramcore_dfi_p0_wrdata; +assign litedramcore_slave_p0_wrdata_en = litedramcore_dfi_p0_wrdata_en; +assign litedramcore_slave_p0_wrdata_mask = litedramcore_dfi_p0_wrdata_mask; +assign litedramcore_slave_p0_rddata_en = litedramcore_dfi_p0_rddata_en; +assign litedramcore_dfi_p0_rddata = litedramcore_slave_p0_rddata; +assign litedramcore_dfi_p0_rddata_valid = litedramcore_slave_p0_rddata_valid; +assign litedramcore_slave_p1_address = litedramcore_dfi_p1_address; +assign litedramcore_slave_p1_bank = litedramcore_dfi_p1_bank; +assign litedramcore_slave_p1_cas_n = litedramcore_dfi_p1_cas_n; +assign litedramcore_slave_p1_cs_n = litedramcore_dfi_p1_cs_n; +assign litedramcore_slave_p1_ras_n = litedramcore_dfi_p1_ras_n; +assign litedramcore_slave_p1_we_n = litedramcore_dfi_p1_we_n; +assign litedramcore_slave_p1_cke = litedramcore_dfi_p1_cke; +assign litedramcore_slave_p1_odt = litedramcore_dfi_p1_odt; +assign litedramcore_slave_p1_reset_n = litedramcore_dfi_p1_reset_n; +assign litedramcore_slave_p1_act_n = litedramcore_dfi_p1_act_n; +assign litedramcore_slave_p1_wrdata = litedramcore_dfi_p1_wrdata; +assign litedramcore_slave_p1_wrdata_en = litedramcore_dfi_p1_wrdata_en; +assign litedramcore_slave_p1_wrdata_mask = litedramcore_dfi_p1_wrdata_mask; +assign litedramcore_slave_p1_rddata_en = litedramcore_dfi_p1_rddata_en; +assign litedramcore_dfi_p1_rddata = litedramcore_slave_p1_rddata; +assign litedramcore_dfi_p1_rddata_valid = litedramcore_slave_p1_rddata_valid; +assign litedramcore_slave_p2_address = litedramcore_dfi_p2_address; +assign litedramcore_slave_p2_bank = litedramcore_dfi_p2_bank; +assign litedramcore_slave_p2_cas_n = litedramcore_dfi_p2_cas_n; +assign litedramcore_slave_p2_cs_n = litedramcore_dfi_p2_cs_n; +assign litedramcore_slave_p2_ras_n = litedramcore_dfi_p2_ras_n; +assign litedramcore_slave_p2_we_n = litedramcore_dfi_p2_we_n; +assign litedramcore_slave_p2_cke = litedramcore_dfi_p2_cke; +assign litedramcore_slave_p2_odt = litedramcore_dfi_p2_odt; +assign litedramcore_slave_p2_reset_n = litedramcore_dfi_p2_reset_n; +assign litedramcore_slave_p2_act_n = litedramcore_dfi_p2_act_n; +assign litedramcore_slave_p2_wrdata = litedramcore_dfi_p2_wrdata; +assign litedramcore_slave_p2_wrdata_en = litedramcore_dfi_p2_wrdata_en; +assign litedramcore_slave_p2_wrdata_mask = litedramcore_dfi_p2_wrdata_mask; +assign litedramcore_slave_p2_rddata_en = litedramcore_dfi_p2_rddata_en; +assign litedramcore_dfi_p2_rddata = litedramcore_slave_p2_rddata; +assign litedramcore_dfi_p2_rddata_valid = litedramcore_slave_p2_rddata_valid; +assign litedramcore_slave_p3_address = litedramcore_dfi_p3_address; +assign litedramcore_slave_p3_bank = litedramcore_dfi_p3_bank; +assign litedramcore_slave_p3_cas_n = litedramcore_dfi_p3_cas_n; +assign litedramcore_slave_p3_cs_n = litedramcore_dfi_p3_cs_n; +assign litedramcore_slave_p3_ras_n = litedramcore_dfi_p3_ras_n; +assign litedramcore_slave_p3_we_n = litedramcore_dfi_p3_we_n; +assign litedramcore_slave_p3_cke = litedramcore_dfi_p3_cke; +assign litedramcore_slave_p3_odt = litedramcore_dfi_p3_odt; +assign litedramcore_slave_p3_reset_n = litedramcore_dfi_p3_reset_n; +assign litedramcore_slave_p3_act_n = litedramcore_dfi_p3_act_n; +assign litedramcore_slave_p3_wrdata = litedramcore_dfi_p3_wrdata; +assign litedramcore_slave_p3_wrdata_en = litedramcore_dfi_p3_wrdata_en; +assign litedramcore_slave_p3_wrdata_mask = litedramcore_dfi_p3_wrdata_mask; +assign litedramcore_slave_p3_rddata_en = litedramcore_dfi_p3_rddata_en; +assign litedramcore_dfi_p3_rddata = litedramcore_slave_p3_rddata; +assign litedramcore_dfi_p3_rddata_valid = litedramcore_slave_p3_rddata_valid; +always @(*) begin + litedramcore_csr_dfi_p0_rddata <= 32'd0; + if (litedramcore_sel) begin + end else begin + litedramcore_csr_dfi_p0_rddata <= litedramcore_master_p0_rddata; + end +end +always @(*) begin + litedramcore_csr_dfi_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + end else begin + litedramcore_csr_dfi_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end +end +always @(*) begin + litedramcore_csr_dfi_p1_rddata <= 32'd0; + if (litedramcore_sel) begin + end else begin + litedramcore_csr_dfi_p1_rddata <= litedramcore_master_p1_rddata; + end +end +always @(*) begin + litedramcore_csr_dfi_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + end else begin + litedramcore_csr_dfi_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end +end always @(*) begin - main_litedramcore_master_p3_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_rddata_en <= main_litedramcore_slave_p3_rddata_en; + litedramcore_csr_dfi_p2_rddata <= 32'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p3_rddata_en <= main_litedramcore_inti_p3_rddata_en; + litedramcore_csr_dfi_p2_rddata <= litedramcore_master_p2_rddata; end end always @(*) begin - main_litedramcore_master_p0_address <= 14'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_address <= main_litedramcore_slave_p0_address; + litedramcore_csr_dfi_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p0_address <= main_litedramcore_inti_p0_address; + litedramcore_csr_dfi_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; end end always @(*) begin - main_litedramcore_master_p0_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_bank <= main_litedramcore_slave_p0_bank; + litedramcore_csr_dfi_p3_rddata <= 32'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p0_bank <= main_litedramcore_inti_p0_bank; + litedramcore_csr_dfi_p3_rddata <= litedramcore_master_p3_rddata; end end always @(*) begin - main_litedramcore_master_p0_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cas_n <= main_litedramcore_slave_p0_cas_n; + litedramcore_csr_dfi_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin end else begin - main_litedramcore_master_p0_cas_n <= main_litedramcore_inti_p0_cas_n; + litedramcore_csr_dfi_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; end end always @(*) begin - main_litedramcore_master_p0_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cs_n <= main_litedramcore_slave_p0_cs_n; + litedramcore_ext_dfi_p0_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p0_rddata <= litedramcore_master_p0_rddata; + end else begin + end end else begin - main_litedramcore_master_p0_cs_n <= main_litedramcore_inti_p0_cs_n; end end always @(*) begin - main_litedramcore_master_p0_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_ras_n <= main_litedramcore_slave_p0_ras_n; + litedramcore_ext_dfi_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p0_ras_n <= main_litedramcore_inti_p0_ras_n; end end always @(*) begin - main_litedramcore_slave_p0_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p0_rddata <= main_litedramcore_master_p0_rddata; + litedramcore_ext_dfi_p1_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p1_rddata <= litedramcore_master_p1_rddata; + end else begin + end end else begin end end always @(*) begin - main_litedramcore_master_p0_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_we_n <= main_litedramcore_slave_p0_we_n; + litedramcore_ext_dfi_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p0_we_n <= main_litedramcore_inti_p0_we_n; end end always @(*) begin - main_litedramcore_slave_p0_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p0_rddata_valid <= main_litedramcore_master_p0_rddata_valid; + litedramcore_ext_dfi_p2_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p2_rddata <= litedramcore_master_p2_rddata; + end else begin + end end else begin end end always @(*) begin - main_litedramcore_master_p0_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_cke <= main_litedramcore_slave_p0_cke; + litedramcore_ext_dfi_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p0_cke <= main_litedramcore_inti_p0_cke; end end always @(*) begin - main_litedramcore_master_p0_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_odt <= main_litedramcore_slave_p0_odt; + litedramcore_slave_p0_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p0_rddata <= litedramcore_master_p0_rddata; + end end else begin - main_litedramcore_master_p0_odt <= main_litedramcore_inti_p0_odt; end end always @(*) begin - main_litedramcore_master_p0_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_reset_n <= main_litedramcore_slave_p0_reset_n; + litedramcore_slave_p0_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p0_rddata_valid <= litedramcore_master_p0_rddata_valid; + end end else begin - main_litedramcore_master_p0_reset_n <= main_litedramcore_inti_p0_reset_n; end end always @(*) begin - main_litedramcore_master_p0_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_act_n <= main_litedramcore_slave_p0_act_n; + litedramcore_ext_dfi_p3_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p3_rddata <= litedramcore_master_p3_rddata; + end else begin + end end else begin - main_litedramcore_master_p0_act_n <= main_litedramcore_inti_p0_act_n; end end always @(*) begin - main_litedramcore_master_p0_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata <= main_litedramcore_slave_p0_wrdata; + litedramcore_ext_dfi_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_ext_dfi_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; + end else begin + end end else begin - main_litedramcore_master_p0_wrdata <= main_litedramcore_inti_p0_wrdata; end end always @(*) begin - main_litedramcore_inti_p1_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_slave_p1_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p1_rddata <= litedramcore_master_p1_rddata; + end end else begin - main_litedramcore_inti_p1_rddata <= main_litedramcore_master_p1_rddata; end end always @(*) begin - main_litedramcore_master_p0_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata_en <= main_litedramcore_slave_p0_wrdata_en; + litedramcore_slave_p1_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p1_rddata_valid <= litedramcore_master_p1_rddata_valid; + end end else begin - main_litedramcore_master_p0_wrdata_en <= main_litedramcore_inti_p0_wrdata_en; end end always @(*) begin - main_litedramcore_inti_p1_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_slave_p2_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p2_rddata <= litedramcore_master_p2_rddata; + end end else begin - main_litedramcore_inti_p1_rddata_valid <= main_litedramcore_master_p1_rddata_valid; end end always @(*) begin - main_litedramcore_master_p0_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_wrdata_mask <= main_litedramcore_slave_p0_wrdata_mask; + litedramcore_slave_p2_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p2_rddata_valid <= litedramcore_master_p2_rddata_valid; + end end else begin - main_litedramcore_master_p0_wrdata_mask <= main_litedramcore_inti_p0_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p0_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p0_rddata_en <= main_litedramcore_slave_p0_rddata_en; + litedramcore_slave_p3_rddata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p3_rddata <= litedramcore_master_p3_rddata; + end end else begin - main_litedramcore_master_p0_rddata_en <= main_litedramcore_inti_p0_rddata_en; end end always @(*) begin - main_litedramcore_master_p1_address <= 14'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_address <= main_litedramcore_slave_p1_address; + litedramcore_slave_p3_rddata_valid <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + end else begin + litedramcore_slave_p3_rddata_valid <= litedramcore_master_p3_rddata_valid; + end end else begin - main_litedramcore_master_p1_address <= main_litedramcore_inti_p1_address; end end always @(*) begin - main_litedramcore_master_p1_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_bank <= main_litedramcore_slave_p1_bank; + litedramcore_master_p0_address <= 14'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_address <= litedramcore_ext_dfi_p0_address; + end else begin + litedramcore_master_p0_address <= litedramcore_slave_p0_address; + end end else begin - main_litedramcore_master_p1_bank <= main_litedramcore_inti_p1_bank; + litedramcore_master_p0_address <= litedramcore_csr_dfi_p0_address; end end always @(*) begin - main_litedramcore_master_p1_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cas_n <= main_litedramcore_slave_p1_cas_n; + litedramcore_master_p0_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_bank <= litedramcore_ext_dfi_p0_bank; + end else begin + litedramcore_master_p0_bank <= litedramcore_slave_p0_bank; + end end else begin - main_litedramcore_master_p1_cas_n <= main_litedramcore_inti_p1_cas_n; + litedramcore_master_p0_bank <= litedramcore_csr_dfi_p0_bank; end end always @(*) begin - main_litedramcore_master_p1_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cs_n <= main_litedramcore_slave_p1_cs_n; + litedramcore_master_p0_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cas_n <= litedramcore_ext_dfi_p0_cas_n; + end else begin + litedramcore_master_p0_cas_n <= litedramcore_slave_p0_cas_n; + end end else begin - main_litedramcore_master_p1_cs_n <= main_litedramcore_inti_p1_cs_n; + litedramcore_master_p0_cas_n <= litedramcore_csr_dfi_p0_cas_n; end end always @(*) begin - main_litedramcore_master_p1_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_ras_n <= main_litedramcore_slave_p1_ras_n; + litedramcore_master_p0_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cs_n <= litedramcore_ext_dfi_p0_cs_n; + end else begin + litedramcore_master_p0_cs_n <= litedramcore_slave_p0_cs_n; + end end else begin - main_litedramcore_master_p1_ras_n <= main_litedramcore_inti_p1_ras_n; + litedramcore_master_p0_cs_n <= litedramcore_csr_dfi_p0_cs_n; end end always @(*) begin - main_litedramcore_slave_p1_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p1_rddata <= main_litedramcore_master_p1_rddata; + litedramcore_master_p0_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_ras_n <= litedramcore_ext_dfi_p0_ras_n; + end else begin + litedramcore_master_p0_ras_n <= litedramcore_slave_p0_ras_n; + end end else begin + litedramcore_master_p0_ras_n <= litedramcore_csr_dfi_p0_ras_n; end end always @(*) begin - main_litedramcore_master_p1_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_we_n <= main_litedramcore_slave_p1_we_n; + litedramcore_master_p0_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_we_n <= litedramcore_ext_dfi_p0_we_n; + end else begin + litedramcore_master_p0_we_n <= litedramcore_slave_p0_we_n; + end end else begin - main_litedramcore_master_p1_we_n <= main_litedramcore_inti_p1_we_n; + litedramcore_master_p0_we_n <= litedramcore_csr_dfi_p0_we_n; end end always @(*) begin - main_litedramcore_slave_p1_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p1_rddata_valid <= main_litedramcore_master_p1_rddata_valid; + litedramcore_master_p0_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_cke <= litedramcore_ext_dfi_p0_cke; + end else begin + litedramcore_master_p0_cke <= litedramcore_slave_p0_cke; + end end else begin + litedramcore_master_p0_cke <= litedramcore_csr_dfi_p0_cke; end end always @(*) begin - main_litedramcore_master_p1_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_cke <= main_litedramcore_slave_p1_cke; + litedramcore_master_p0_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_odt <= litedramcore_ext_dfi_p0_odt; + end else begin + litedramcore_master_p0_odt <= litedramcore_slave_p0_odt; + end end else begin - main_litedramcore_master_p1_cke <= main_litedramcore_inti_p1_cke; + litedramcore_master_p0_odt <= litedramcore_csr_dfi_p0_odt; end end always @(*) begin - main_litedramcore_master_p1_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_odt <= main_litedramcore_slave_p1_odt; + litedramcore_master_p0_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_reset_n <= litedramcore_ext_dfi_p0_reset_n; + end else begin + litedramcore_master_p0_reset_n <= litedramcore_slave_p0_reset_n; + end + end else begin + litedramcore_master_p0_reset_n <= litedramcore_csr_dfi_p0_reset_n; + end +end +always @(*) begin + litedramcore_master_p0_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_act_n <= litedramcore_ext_dfi_p0_act_n; + end else begin + litedramcore_master_p0_act_n <= litedramcore_slave_p0_act_n; + end + end else begin + litedramcore_master_p0_act_n <= litedramcore_csr_dfi_p0_act_n; + end +end +always @(*) begin + litedramcore_master_p0_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata <= litedramcore_ext_dfi_p0_wrdata; + end else begin + litedramcore_master_p0_wrdata <= litedramcore_slave_p0_wrdata; + end + end else begin + litedramcore_master_p0_wrdata <= litedramcore_csr_dfi_p0_wrdata; + end +end +always @(*) begin + litedramcore_master_p0_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata_en <= litedramcore_ext_dfi_p0_wrdata_en; + end else begin + litedramcore_master_p0_wrdata_en <= litedramcore_slave_p0_wrdata_en; + end + end else begin + litedramcore_master_p0_wrdata_en <= litedramcore_csr_dfi_p0_wrdata_en; + end +end +always @(*) begin + litedramcore_master_p0_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_wrdata_mask <= litedramcore_ext_dfi_p0_wrdata_mask; + end else begin + litedramcore_master_p0_wrdata_mask <= litedramcore_slave_p0_wrdata_mask; + end end else begin - main_litedramcore_master_p1_odt <= main_litedramcore_inti_p1_odt; + litedramcore_master_p0_wrdata_mask <= litedramcore_csr_dfi_p0_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p1_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_reset_n <= main_litedramcore_slave_p1_reset_n; + litedramcore_master_p0_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p0_rddata_en <= litedramcore_ext_dfi_p0_rddata_en; + end else begin + litedramcore_master_p0_rddata_en <= litedramcore_slave_p0_rddata_en; + end end else begin - main_litedramcore_master_p1_reset_n <= main_litedramcore_inti_p1_reset_n; + litedramcore_master_p0_rddata_en <= litedramcore_csr_dfi_p0_rddata_en; end end always @(*) begin - main_litedramcore_master_p1_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_act_n <= main_litedramcore_slave_p1_act_n; + litedramcore_master_p1_address <= 14'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_address <= litedramcore_ext_dfi_p1_address; + end else begin + litedramcore_master_p1_address <= litedramcore_slave_p1_address; + end end else begin - main_litedramcore_master_p1_act_n <= main_litedramcore_inti_p1_act_n; + litedramcore_master_p1_address <= litedramcore_csr_dfi_p1_address; end end always @(*) begin - main_litedramcore_master_p1_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata <= main_litedramcore_slave_p1_wrdata; + litedramcore_master_p1_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_bank <= litedramcore_ext_dfi_p1_bank; + end else begin + litedramcore_master_p1_bank <= litedramcore_slave_p1_bank; + end end else begin - main_litedramcore_master_p1_wrdata <= main_litedramcore_inti_p1_wrdata; + litedramcore_master_p1_bank <= litedramcore_csr_dfi_p1_bank; end end always @(*) begin - main_litedramcore_inti_p2_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p1_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cas_n <= litedramcore_ext_dfi_p1_cas_n; + end else begin + litedramcore_master_p1_cas_n <= litedramcore_slave_p1_cas_n; + end end else begin - main_litedramcore_inti_p2_rddata <= main_litedramcore_master_p2_rddata; + litedramcore_master_p1_cas_n <= litedramcore_csr_dfi_p1_cas_n; end end always @(*) begin - main_litedramcore_master_p1_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata_en <= main_litedramcore_slave_p1_wrdata_en; + litedramcore_master_p1_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cs_n <= litedramcore_ext_dfi_p1_cs_n; + end else begin + litedramcore_master_p1_cs_n <= litedramcore_slave_p1_cs_n; + end end else begin - main_litedramcore_master_p1_wrdata_en <= main_litedramcore_inti_p1_wrdata_en; + litedramcore_master_p1_cs_n <= litedramcore_csr_dfi_p1_cs_n; end end always @(*) begin - main_litedramcore_inti_p2_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p1_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_ras_n <= litedramcore_ext_dfi_p1_ras_n; + end else begin + litedramcore_master_p1_ras_n <= litedramcore_slave_p1_ras_n; + end end else begin - main_litedramcore_inti_p2_rddata_valid <= main_litedramcore_master_p2_rddata_valid; + litedramcore_master_p1_ras_n <= litedramcore_csr_dfi_p1_ras_n; end end always @(*) begin - main_litedramcore_master_p1_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_wrdata_mask <= main_litedramcore_slave_p1_wrdata_mask; + litedramcore_master_p1_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_we_n <= litedramcore_ext_dfi_p1_we_n; + end else begin + litedramcore_master_p1_we_n <= litedramcore_slave_p1_we_n; + end end else begin - main_litedramcore_master_p1_wrdata_mask <= main_litedramcore_inti_p1_wrdata_mask; + litedramcore_master_p1_we_n <= litedramcore_csr_dfi_p1_we_n; end end always @(*) begin - main_litedramcore_master_p1_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p1_rddata_en <= main_litedramcore_slave_p1_rddata_en; + litedramcore_master_p1_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_cke <= litedramcore_ext_dfi_p1_cke; + end else begin + litedramcore_master_p1_cke <= litedramcore_slave_p1_cke; + end end else begin - main_litedramcore_master_p1_rddata_en <= main_litedramcore_inti_p1_rddata_en; + litedramcore_master_p1_cke <= litedramcore_csr_dfi_p1_cke; end end always @(*) begin - main_litedramcore_master_p2_address <= 14'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_address <= main_litedramcore_slave_p2_address; + litedramcore_master_p1_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_odt <= litedramcore_ext_dfi_p1_odt; + end else begin + litedramcore_master_p1_odt <= litedramcore_slave_p1_odt; + end end else begin - main_litedramcore_master_p2_address <= main_litedramcore_inti_p2_address; + litedramcore_master_p1_odt <= litedramcore_csr_dfi_p1_odt; end end always @(*) begin - main_litedramcore_master_p2_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_bank <= main_litedramcore_slave_p2_bank; + litedramcore_master_p1_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_reset_n <= litedramcore_ext_dfi_p1_reset_n; + end else begin + litedramcore_master_p1_reset_n <= litedramcore_slave_p1_reset_n; + end end else begin - main_litedramcore_master_p2_bank <= main_litedramcore_inti_p2_bank; + litedramcore_master_p1_reset_n <= litedramcore_csr_dfi_p1_reset_n; end end always @(*) begin - main_litedramcore_master_p2_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cas_n <= main_litedramcore_slave_p2_cas_n; + litedramcore_master_p1_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_act_n <= litedramcore_ext_dfi_p1_act_n; + end else begin + litedramcore_master_p1_act_n <= litedramcore_slave_p1_act_n; + end end else begin - main_litedramcore_master_p2_cas_n <= main_litedramcore_inti_p2_cas_n; + litedramcore_master_p1_act_n <= litedramcore_csr_dfi_p1_act_n; end end always @(*) begin - main_litedramcore_master_p2_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cs_n <= main_litedramcore_slave_p2_cs_n; + litedramcore_master_p1_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata <= litedramcore_ext_dfi_p1_wrdata; + end else begin + litedramcore_master_p1_wrdata <= litedramcore_slave_p1_wrdata; + end end else begin - main_litedramcore_master_p2_cs_n <= main_litedramcore_inti_p2_cs_n; + litedramcore_master_p1_wrdata <= litedramcore_csr_dfi_p1_wrdata; end end always @(*) begin - main_litedramcore_master_p2_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_ras_n <= main_litedramcore_slave_p2_ras_n; + litedramcore_master_p1_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata_en <= litedramcore_ext_dfi_p1_wrdata_en; + end else begin + litedramcore_master_p1_wrdata_en <= litedramcore_slave_p1_wrdata_en; + end end else begin - main_litedramcore_master_p2_ras_n <= main_litedramcore_inti_p2_ras_n; + litedramcore_master_p1_wrdata_en <= litedramcore_csr_dfi_p1_wrdata_en; end end always @(*) begin - main_litedramcore_slave_p2_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p2_rddata <= main_litedramcore_master_p2_rddata; + litedramcore_master_p1_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_wrdata_mask <= litedramcore_ext_dfi_p1_wrdata_mask; + end else begin + litedramcore_master_p1_wrdata_mask <= litedramcore_slave_p1_wrdata_mask; + end end else begin + litedramcore_master_p1_wrdata_mask <= litedramcore_csr_dfi_p1_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p2_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_we_n <= main_litedramcore_slave_p2_we_n; + litedramcore_master_p1_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p1_rddata_en <= litedramcore_ext_dfi_p1_rddata_en; + end else begin + litedramcore_master_p1_rddata_en <= litedramcore_slave_p1_rddata_en; + end end else begin - main_litedramcore_master_p2_we_n <= main_litedramcore_inti_p2_we_n; + litedramcore_master_p1_rddata_en <= litedramcore_csr_dfi_p1_rddata_en; end end always @(*) begin - main_litedramcore_slave_p2_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p2_rddata_valid <= main_litedramcore_master_p2_rddata_valid; + litedramcore_master_p2_address <= 14'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_address <= litedramcore_ext_dfi_p2_address; + end else begin + litedramcore_master_p2_address <= litedramcore_slave_p2_address; + end end else begin + litedramcore_master_p2_address <= litedramcore_csr_dfi_p2_address; end end always @(*) begin - main_litedramcore_master_p2_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_cke <= main_litedramcore_slave_p2_cke; + litedramcore_master_p2_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_bank <= litedramcore_ext_dfi_p2_bank; + end else begin + litedramcore_master_p2_bank <= litedramcore_slave_p2_bank; + end end else begin - main_litedramcore_master_p2_cke <= main_litedramcore_inti_p2_cke; + litedramcore_master_p2_bank <= litedramcore_csr_dfi_p2_bank; end end always @(*) begin - main_litedramcore_master_p2_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_odt <= main_litedramcore_slave_p2_odt; + litedramcore_master_p2_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cas_n <= litedramcore_ext_dfi_p2_cas_n; + end else begin + litedramcore_master_p2_cas_n <= litedramcore_slave_p2_cas_n; + end end else begin - main_litedramcore_master_p2_odt <= main_litedramcore_inti_p2_odt; + litedramcore_master_p2_cas_n <= litedramcore_csr_dfi_p2_cas_n; end end always @(*) begin - main_litedramcore_master_p2_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_reset_n <= main_litedramcore_slave_p2_reset_n; + litedramcore_master_p2_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cs_n <= litedramcore_ext_dfi_p2_cs_n; + end else begin + litedramcore_master_p2_cs_n <= litedramcore_slave_p2_cs_n; + end end else begin - main_litedramcore_master_p2_reset_n <= main_litedramcore_inti_p2_reset_n; + litedramcore_master_p2_cs_n <= litedramcore_csr_dfi_p2_cs_n; end end always @(*) begin - main_litedramcore_master_p2_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_act_n <= main_litedramcore_slave_p2_act_n; + litedramcore_master_p2_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_ras_n <= litedramcore_ext_dfi_p2_ras_n; + end else begin + litedramcore_master_p2_ras_n <= litedramcore_slave_p2_ras_n; + end end else begin - main_litedramcore_master_p2_act_n <= main_litedramcore_inti_p2_act_n; + litedramcore_master_p2_ras_n <= litedramcore_csr_dfi_p2_ras_n; end end always @(*) begin - main_litedramcore_master_p2_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata <= main_litedramcore_slave_p2_wrdata; + litedramcore_master_p2_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_we_n <= litedramcore_ext_dfi_p2_we_n; + end else begin + litedramcore_master_p2_we_n <= litedramcore_slave_p2_we_n; + end end else begin - main_litedramcore_master_p2_wrdata <= main_litedramcore_inti_p2_wrdata; + litedramcore_master_p2_we_n <= litedramcore_csr_dfi_p2_we_n; end end always @(*) begin - main_litedramcore_inti_p3_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p2_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_cke <= litedramcore_ext_dfi_p2_cke; + end else begin + litedramcore_master_p2_cke <= litedramcore_slave_p2_cke; + end end else begin - main_litedramcore_inti_p3_rddata <= main_litedramcore_master_p3_rddata; + litedramcore_master_p2_cke <= litedramcore_csr_dfi_p2_cke; end end always @(*) begin - main_litedramcore_master_p2_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata_en <= main_litedramcore_slave_p2_wrdata_en; + litedramcore_master_p2_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_odt <= litedramcore_ext_dfi_p2_odt; + end else begin + litedramcore_master_p2_odt <= litedramcore_slave_p2_odt; + end end else begin - main_litedramcore_master_p2_wrdata_en <= main_litedramcore_inti_p2_wrdata_en; + litedramcore_master_p2_odt <= litedramcore_csr_dfi_p2_odt; end end always @(*) begin - main_litedramcore_inti_p3_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p2_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_reset_n <= litedramcore_ext_dfi_p2_reset_n; + end else begin + litedramcore_master_p2_reset_n <= litedramcore_slave_p2_reset_n; + end end else begin - main_litedramcore_inti_p3_rddata_valid <= main_litedramcore_master_p3_rddata_valid; + litedramcore_master_p2_reset_n <= litedramcore_csr_dfi_p2_reset_n; end end always @(*) begin - main_litedramcore_master_p2_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_wrdata_mask <= main_litedramcore_slave_p2_wrdata_mask; + litedramcore_master_p2_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_act_n <= litedramcore_ext_dfi_p2_act_n; + end else begin + litedramcore_master_p2_act_n <= litedramcore_slave_p2_act_n; + end end else begin - main_litedramcore_master_p2_wrdata_mask <= main_litedramcore_inti_p2_wrdata_mask; + litedramcore_master_p2_act_n <= litedramcore_csr_dfi_p2_act_n; end end always @(*) begin - main_litedramcore_inti_p0_rddata <= 32'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p2_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata <= litedramcore_ext_dfi_p2_wrdata; + end else begin + litedramcore_master_p2_wrdata <= litedramcore_slave_p2_wrdata; + end end else begin - main_litedramcore_inti_p0_rddata <= main_litedramcore_master_p0_rddata; + litedramcore_master_p2_wrdata <= litedramcore_csr_dfi_p2_wrdata; end end always @(*) begin - main_litedramcore_master_p2_rddata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p2_rddata_en <= main_litedramcore_slave_p2_rddata_en; + litedramcore_master_p2_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata_en <= litedramcore_ext_dfi_p2_wrdata_en; + end else begin + litedramcore_master_p2_wrdata_en <= litedramcore_slave_p2_wrdata_en; + end end else begin - main_litedramcore_master_p2_rddata_en <= main_litedramcore_inti_p2_rddata_en; + litedramcore_master_p2_wrdata_en <= litedramcore_csr_dfi_p2_wrdata_en; end end always @(*) begin - main_litedramcore_master_p3_address <= 14'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_address <= main_litedramcore_slave_p3_address; + litedramcore_master_p2_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_wrdata_mask <= litedramcore_ext_dfi_p2_wrdata_mask; + end else begin + litedramcore_master_p2_wrdata_mask <= litedramcore_slave_p2_wrdata_mask; + end end else begin - main_litedramcore_master_p3_address <= main_litedramcore_inti_p3_address; + litedramcore_master_p2_wrdata_mask <= litedramcore_csr_dfi_p2_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p3_bank <= 3'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_bank <= main_litedramcore_slave_p3_bank; + litedramcore_master_p2_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p2_rddata_en <= litedramcore_ext_dfi_p2_rddata_en; + end else begin + litedramcore_master_p2_rddata_en <= litedramcore_slave_p2_rddata_en; + end end else begin - main_litedramcore_master_p3_bank <= main_litedramcore_inti_p3_bank; + litedramcore_master_p2_rddata_en <= litedramcore_csr_dfi_p2_rddata_en; end end always @(*) begin - main_litedramcore_inti_p0_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin + litedramcore_master_p3_address <= 14'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_address <= litedramcore_ext_dfi_p3_address; + end else begin + litedramcore_master_p3_address <= litedramcore_slave_p3_address; + end end else begin - main_litedramcore_inti_p0_rddata_valid <= main_litedramcore_master_p0_rddata_valid; + litedramcore_master_p3_address <= litedramcore_csr_dfi_p3_address; end end always @(*) begin - main_litedramcore_master_p3_cas_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cas_n <= main_litedramcore_slave_p3_cas_n; + litedramcore_master_p3_bank <= 3'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_bank <= litedramcore_ext_dfi_p3_bank; + end else begin + litedramcore_master_p3_bank <= litedramcore_slave_p3_bank; + end end else begin - main_litedramcore_master_p3_cas_n <= main_litedramcore_inti_p3_cas_n; + litedramcore_master_p3_bank <= litedramcore_csr_dfi_p3_bank; end end always @(*) begin - main_litedramcore_master_p3_cs_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cs_n <= main_litedramcore_slave_p3_cs_n; + litedramcore_master_p3_cas_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cas_n <= litedramcore_ext_dfi_p3_cas_n; + end else begin + litedramcore_master_p3_cas_n <= litedramcore_slave_p3_cas_n; + end end else begin - main_litedramcore_master_p3_cs_n <= main_litedramcore_inti_p3_cs_n; + litedramcore_master_p3_cas_n <= litedramcore_csr_dfi_p3_cas_n; end end always @(*) begin - main_litedramcore_master_p3_ras_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_ras_n <= main_litedramcore_slave_p3_ras_n; + litedramcore_master_p3_cs_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cs_n <= litedramcore_ext_dfi_p3_cs_n; + end else begin + litedramcore_master_p3_cs_n <= litedramcore_slave_p3_cs_n; + end end else begin - main_litedramcore_master_p3_ras_n <= main_litedramcore_inti_p3_ras_n; + litedramcore_master_p3_cs_n <= litedramcore_csr_dfi_p3_cs_n; end end always @(*) begin - main_litedramcore_slave_p3_rddata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p3_rddata <= main_litedramcore_master_p3_rddata; + litedramcore_master_p3_ras_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_ras_n <= litedramcore_ext_dfi_p3_ras_n; + end else begin + litedramcore_master_p3_ras_n <= litedramcore_slave_p3_ras_n; + end end else begin + litedramcore_master_p3_ras_n <= litedramcore_csr_dfi_p3_ras_n; end end always @(*) begin - main_litedramcore_master_p3_we_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_we_n <= main_litedramcore_slave_p3_we_n; + litedramcore_master_p3_we_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_we_n <= litedramcore_ext_dfi_p3_we_n; + end else begin + litedramcore_master_p3_we_n <= litedramcore_slave_p3_we_n; + end end else begin - main_litedramcore_master_p3_we_n <= main_litedramcore_inti_p3_we_n; + litedramcore_master_p3_we_n <= litedramcore_csr_dfi_p3_we_n; end end always @(*) begin - main_litedramcore_slave_p3_rddata_valid <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_slave_p3_rddata_valid <= main_litedramcore_master_p3_rddata_valid; + litedramcore_master_p3_cke <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_cke <= litedramcore_ext_dfi_p3_cke; + end else begin + litedramcore_master_p3_cke <= litedramcore_slave_p3_cke; + end end else begin + litedramcore_master_p3_cke <= litedramcore_csr_dfi_p3_cke; end end always @(*) begin - main_litedramcore_master_p3_cke <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_cke <= main_litedramcore_slave_p3_cke; + litedramcore_master_p3_odt <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_odt <= litedramcore_ext_dfi_p3_odt; + end else begin + litedramcore_master_p3_odt <= litedramcore_slave_p3_odt; + end end else begin - main_litedramcore_master_p3_cke <= main_litedramcore_inti_p3_cke; + litedramcore_master_p3_odt <= litedramcore_csr_dfi_p3_odt; end end always @(*) begin - main_litedramcore_master_p3_odt <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_odt <= main_litedramcore_slave_p3_odt; + litedramcore_master_p3_reset_n <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_reset_n <= litedramcore_ext_dfi_p3_reset_n; + end else begin + litedramcore_master_p3_reset_n <= litedramcore_slave_p3_reset_n; + end end else begin - main_litedramcore_master_p3_odt <= main_litedramcore_inti_p3_odt; + litedramcore_master_p3_reset_n <= litedramcore_csr_dfi_p3_reset_n; end end always @(*) begin - main_litedramcore_master_p3_reset_n <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_reset_n <= main_litedramcore_slave_p3_reset_n; + litedramcore_master_p3_act_n <= 1'd1; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_act_n <= litedramcore_ext_dfi_p3_act_n; + end else begin + litedramcore_master_p3_act_n <= litedramcore_slave_p3_act_n; + end end else begin - main_litedramcore_master_p3_reset_n <= main_litedramcore_inti_p3_reset_n; + litedramcore_master_p3_act_n <= litedramcore_csr_dfi_p3_act_n; end end always @(*) begin - main_litedramcore_master_p3_act_n <= 1'd1; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_act_n <= main_litedramcore_slave_p3_act_n; + litedramcore_master_p3_wrdata <= 32'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata <= litedramcore_ext_dfi_p3_wrdata; + end else begin + litedramcore_master_p3_wrdata <= litedramcore_slave_p3_wrdata; + end end else begin - main_litedramcore_master_p3_act_n <= main_litedramcore_inti_p3_act_n; + litedramcore_master_p3_wrdata <= litedramcore_csr_dfi_p3_wrdata; end end always @(*) begin - main_litedramcore_master_p3_wrdata <= 32'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata <= main_litedramcore_slave_p3_wrdata; + litedramcore_master_p3_wrdata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata_en <= litedramcore_ext_dfi_p3_wrdata_en; + end else begin + litedramcore_master_p3_wrdata_en <= litedramcore_slave_p3_wrdata_en; + end end else begin - main_litedramcore_master_p3_wrdata <= main_litedramcore_inti_p3_wrdata; + litedramcore_master_p3_wrdata_en <= litedramcore_csr_dfi_p3_wrdata_en; end end always @(*) begin - main_litedramcore_master_p3_wrdata_en <= 1'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata_en <= main_litedramcore_slave_p3_wrdata_en; + litedramcore_master_p3_wrdata_mask <= 4'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_wrdata_mask <= litedramcore_ext_dfi_p3_wrdata_mask; + end else begin + litedramcore_master_p3_wrdata_mask <= litedramcore_slave_p3_wrdata_mask; + end end else begin - main_litedramcore_master_p3_wrdata_en <= main_litedramcore_inti_p3_wrdata_en; + litedramcore_master_p3_wrdata_mask <= litedramcore_csr_dfi_p3_wrdata_mask; end end always @(*) begin - main_litedramcore_master_p3_wrdata_mask <= 4'd0; - if (main_litedramcore_sel) begin - main_litedramcore_master_p3_wrdata_mask <= main_litedramcore_slave_p3_wrdata_mask; + litedramcore_master_p3_rddata_en <= 1'd0; + if (litedramcore_sel) begin + if (litedramcore_ext_dfi_sel) begin + litedramcore_master_p3_rddata_en <= litedramcore_ext_dfi_p3_rddata_en; + end else begin + litedramcore_master_p3_rddata_en <= litedramcore_slave_p3_rddata_en; + end end else begin - main_litedramcore_master_p3_wrdata_mask <= main_litedramcore_inti_p3_wrdata_mask; + litedramcore_master_p3_rddata_en <= litedramcore_csr_dfi_p3_rddata_en; end end -assign main_litedramcore_inti_p0_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p1_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p2_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p3_cke = main_litedramcore_cke; -assign main_litedramcore_inti_p0_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p1_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p2_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p3_odt = main_litedramcore_odt; -assign main_litedramcore_inti_p0_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p1_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p2_reset_n = main_litedramcore_reset_n; -assign main_litedramcore_inti_p3_reset_n = main_litedramcore_reset_n; +assign litedramcore_csr_dfi_p0_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p1_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p2_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p3_cke = litedramcore_cke; +assign litedramcore_csr_dfi_p0_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p1_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p2_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p3_odt = litedramcore_odt; +assign litedramcore_csr_dfi_p0_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p1_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p2_reset_n = litedramcore_reset_n; +assign litedramcore_csr_dfi_p3_reset_n = litedramcore_reset_n; always @(*) begin - main_litedramcore_inti_p0_we_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_we_n <= (~main_litedramcore_phaseinjector0_command_storage[1]); + litedramcore_csr_dfi_p0_we_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_we_n <= (~litedramcore_phaseinjector0_csrfield_we); end else begin - main_litedramcore_inti_p0_we_n <= 1'd1; + litedramcore_csr_dfi_p0_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p0_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_cas_n <= (~main_litedramcore_phaseinjector0_command_storage[2]); + litedramcore_csr_dfi_p0_cas_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_cas_n <= (~litedramcore_phaseinjector0_csrfield_cas); end else begin - main_litedramcore_inti_p0_cas_n <= 1'd1; + litedramcore_csr_dfi_p0_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p0_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_cs_n <= {1{(~main_litedramcore_phaseinjector0_command_storage[0])}}; + litedramcore_csr_dfi_p0_cs_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_cs_n <= {1{(~litedramcore_phaseinjector0_csrfield_cs)}}; end else begin - main_litedramcore_inti_p0_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p0_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p0_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector0_command_issue_re) begin - main_litedramcore_inti_p0_ras_n <= (~main_litedramcore_phaseinjector0_command_storage[3]); + litedramcore_csr_dfi_p0_ras_n <= 1'd1; + if (litedramcore_phaseinjector0_command_issue_re) begin + litedramcore_csr_dfi_p0_ras_n <= (~litedramcore_phaseinjector0_csrfield_ras); end else begin - main_litedramcore_inti_p0_ras_n <= 1'd1; + litedramcore_csr_dfi_p0_ras_n <= 1'd1; end end -assign main_litedramcore_inti_p0_address = main_litedramcore_phaseinjector0_address_storage; -assign main_litedramcore_inti_p0_bank = main_litedramcore_phaseinjector0_baddress_storage; -assign main_litedramcore_inti_p0_wrdata_en = (main_litedramcore_phaseinjector0_command_issue_re & main_litedramcore_phaseinjector0_command_storage[4]); -assign main_litedramcore_inti_p0_rddata_en = (main_litedramcore_phaseinjector0_command_issue_re & main_litedramcore_phaseinjector0_command_storage[5]); -assign main_litedramcore_inti_p0_wrdata = main_litedramcore_phaseinjector0_wrdata_storage; -assign main_litedramcore_inti_p0_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p0_address = litedramcore_phaseinjector0_address_storage; +assign litedramcore_csr_dfi_p0_bank = litedramcore_phaseinjector0_baddress_storage; +assign litedramcore_csr_dfi_p0_wrdata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_csrfield_wren); +assign litedramcore_csr_dfi_p0_rddata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_csrfield_rden); +assign litedramcore_csr_dfi_p0_wrdata = litedramcore_phaseinjector0_wrdata_storage; +assign litedramcore_csr_dfi_p0_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p1_we_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_we_n <= (~main_litedramcore_phaseinjector1_command_storage[1]); + litedramcore_csr_dfi_p1_we_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_we_n <= (~litedramcore_phaseinjector1_csrfield_we); end else begin - main_litedramcore_inti_p1_we_n <= 1'd1; + litedramcore_csr_dfi_p1_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p1_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_cas_n <= (~main_litedramcore_phaseinjector1_command_storage[2]); + litedramcore_csr_dfi_p1_cas_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_cas_n <= (~litedramcore_phaseinjector1_csrfield_cas); end else begin - main_litedramcore_inti_p1_cas_n <= 1'd1; + litedramcore_csr_dfi_p1_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p1_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_cs_n <= {1{(~main_litedramcore_phaseinjector1_command_storage[0])}}; + litedramcore_csr_dfi_p1_cs_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_cs_n <= {1{(~litedramcore_phaseinjector1_csrfield_cs)}}; end else begin - main_litedramcore_inti_p1_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p1_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p1_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector1_command_issue_re) begin - main_litedramcore_inti_p1_ras_n <= (~main_litedramcore_phaseinjector1_command_storage[3]); + litedramcore_csr_dfi_p1_ras_n <= 1'd1; + if (litedramcore_phaseinjector1_command_issue_re) begin + litedramcore_csr_dfi_p1_ras_n <= (~litedramcore_phaseinjector1_csrfield_ras); end else begin - main_litedramcore_inti_p1_ras_n <= 1'd1; + litedramcore_csr_dfi_p1_ras_n <= 1'd1; end end -assign main_litedramcore_inti_p1_address = main_litedramcore_phaseinjector1_address_storage; -assign main_litedramcore_inti_p1_bank = main_litedramcore_phaseinjector1_baddress_storage; -assign main_litedramcore_inti_p1_wrdata_en = (main_litedramcore_phaseinjector1_command_issue_re & main_litedramcore_phaseinjector1_command_storage[4]); -assign main_litedramcore_inti_p1_rddata_en = (main_litedramcore_phaseinjector1_command_issue_re & main_litedramcore_phaseinjector1_command_storage[5]); -assign main_litedramcore_inti_p1_wrdata = main_litedramcore_phaseinjector1_wrdata_storage; -assign main_litedramcore_inti_p1_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p1_address = litedramcore_phaseinjector1_address_storage; +assign litedramcore_csr_dfi_p1_bank = litedramcore_phaseinjector1_baddress_storage; +assign litedramcore_csr_dfi_p1_wrdata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_csrfield_wren); +assign litedramcore_csr_dfi_p1_rddata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_csrfield_rden); +assign litedramcore_csr_dfi_p1_wrdata = litedramcore_phaseinjector1_wrdata_storage; +assign litedramcore_csr_dfi_p1_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p2_we_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_we_n <= (~main_litedramcore_phaseinjector2_command_storage[1]); + litedramcore_csr_dfi_p2_we_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_we_n <= (~litedramcore_phaseinjector2_csrfield_we); end else begin - main_litedramcore_inti_p2_we_n <= 1'd1; + litedramcore_csr_dfi_p2_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p2_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_cas_n <= (~main_litedramcore_phaseinjector2_command_storage[2]); + litedramcore_csr_dfi_p2_cas_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_cas_n <= (~litedramcore_phaseinjector2_csrfield_cas); end else begin - main_litedramcore_inti_p2_cas_n <= 1'd1; + litedramcore_csr_dfi_p2_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p2_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_cs_n <= {1{(~main_litedramcore_phaseinjector2_command_storage[0])}}; + litedramcore_csr_dfi_p2_cs_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_cs_n <= {1{(~litedramcore_phaseinjector2_csrfield_cs)}}; end else begin - main_litedramcore_inti_p2_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p2_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p2_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector2_command_issue_re) begin - main_litedramcore_inti_p2_ras_n <= (~main_litedramcore_phaseinjector2_command_storage[3]); + litedramcore_csr_dfi_p2_ras_n <= 1'd1; + if (litedramcore_phaseinjector2_command_issue_re) begin + litedramcore_csr_dfi_p2_ras_n <= (~litedramcore_phaseinjector2_csrfield_ras); end else begin - main_litedramcore_inti_p2_ras_n <= 1'd1; + litedramcore_csr_dfi_p2_ras_n <= 1'd1; end end -assign main_litedramcore_inti_p2_address = main_litedramcore_phaseinjector2_address_storage; -assign main_litedramcore_inti_p2_bank = main_litedramcore_phaseinjector2_baddress_storage; -assign main_litedramcore_inti_p2_wrdata_en = (main_litedramcore_phaseinjector2_command_issue_re & main_litedramcore_phaseinjector2_command_storage[4]); -assign main_litedramcore_inti_p2_rddata_en = (main_litedramcore_phaseinjector2_command_issue_re & main_litedramcore_phaseinjector2_command_storage[5]); -assign main_litedramcore_inti_p2_wrdata = main_litedramcore_phaseinjector2_wrdata_storage; -assign main_litedramcore_inti_p2_wrdata_mask = 1'd0; +assign litedramcore_csr_dfi_p2_address = litedramcore_phaseinjector2_address_storage; +assign litedramcore_csr_dfi_p2_bank = litedramcore_phaseinjector2_baddress_storage; +assign litedramcore_csr_dfi_p2_wrdata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_csrfield_wren); +assign litedramcore_csr_dfi_p2_rddata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_csrfield_rden); +assign litedramcore_csr_dfi_p2_wrdata = litedramcore_phaseinjector2_wrdata_storage; +assign litedramcore_csr_dfi_p2_wrdata_mask = 1'd0; always @(*) begin - main_litedramcore_inti_p3_we_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_we_n <= (~main_litedramcore_phaseinjector3_command_storage[1]); + litedramcore_csr_dfi_p3_we_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_we_n <= (~litedramcore_phaseinjector3_csrfield_we); end else begin - main_litedramcore_inti_p3_we_n <= 1'd1; + litedramcore_csr_dfi_p3_we_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p3_cas_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_cas_n <= (~main_litedramcore_phaseinjector3_command_storage[2]); + litedramcore_csr_dfi_p3_cas_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_cas_n <= (~litedramcore_phaseinjector3_csrfield_cas); end else begin - main_litedramcore_inti_p3_cas_n <= 1'd1; + litedramcore_csr_dfi_p3_cas_n <= 1'd1; end end always @(*) begin - main_litedramcore_inti_p3_cs_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_cs_n <= {1{(~main_litedramcore_phaseinjector3_command_storage[0])}}; + litedramcore_csr_dfi_p3_cs_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_cs_n <= {1{(~litedramcore_phaseinjector3_csrfield_cs)}}; end else begin - main_litedramcore_inti_p3_cs_n <= {1{1'd1}}; + litedramcore_csr_dfi_p3_cs_n <= {1{1'd1}}; end end always @(*) begin - main_litedramcore_inti_p3_ras_n <= 1'd1; - if (main_litedramcore_phaseinjector3_command_issue_re) begin - main_litedramcore_inti_p3_ras_n <= (~main_litedramcore_phaseinjector3_command_storage[3]); + litedramcore_csr_dfi_p3_ras_n <= 1'd1; + if (litedramcore_phaseinjector3_command_issue_re) begin + litedramcore_csr_dfi_p3_ras_n <= (~litedramcore_phaseinjector3_csrfield_ras); end else begin - main_litedramcore_inti_p3_ras_n <= 1'd1; + litedramcore_csr_dfi_p3_ras_n <= 1'd1; end end -assign main_litedramcore_inti_p3_address = main_litedramcore_phaseinjector3_address_storage; -assign main_litedramcore_inti_p3_bank = main_litedramcore_phaseinjector3_baddress_storage; -assign main_litedramcore_inti_p3_wrdata_en = (main_litedramcore_phaseinjector3_command_issue_re & main_litedramcore_phaseinjector3_command_storage[4]); -assign main_litedramcore_inti_p3_rddata_en = (main_litedramcore_phaseinjector3_command_issue_re & main_litedramcore_phaseinjector3_command_storage[5]); -assign main_litedramcore_inti_p3_wrdata = main_litedramcore_phaseinjector3_wrdata_storage; -assign main_litedramcore_inti_p3_wrdata_mask = 1'd0; -assign main_litedramcore_bankmachine0_req_valid = main_litedramcore_interface_bank0_valid; -assign main_litedramcore_interface_bank0_ready = main_litedramcore_bankmachine0_req_ready; -assign main_litedramcore_bankmachine0_req_we = main_litedramcore_interface_bank0_we; -assign main_litedramcore_bankmachine0_req_addr = main_litedramcore_interface_bank0_addr; -assign main_litedramcore_interface_bank0_lock = main_litedramcore_bankmachine0_req_lock; -assign main_litedramcore_interface_bank0_wdata_ready = main_litedramcore_bankmachine0_req_wdata_ready; -assign main_litedramcore_interface_bank0_rdata_valid = main_litedramcore_bankmachine0_req_rdata_valid; -assign main_litedramcore_bankmachine1_req_valid = main_litedramcore_interface_bank1_valid; -assign main_litedramcore_interface_bank1_ready = main_litedramcore_bankmachine1_req_ready; -assign main_litedramcore_bankmachine1_req_we = main_litedramcore_interface_bank1_we; -assign main_litedramcore_bankmachine1_req_addr = main_litedramcore_interface_bank1_addr; -assign main_litedramcore_interface_bank1_lock = main_litedramcore_bankmachine1_req_lock; -assign main_litedramcore_interface_bank1_wdata_ready = main_litedramcore_bankmachine1_req_wdata_ready; -assign main_litedramcore_interface_bank1_rdata_valid = main_litedramcore_bankmachine1_req_rdata_valid; -assign main_litedramcore_bankmachine2_req_valid = main_litedramcore_interface_bank2_valid; -assign main_litedramcore_interface_bank2_ready = main_litedramcore_bankmachine2_req_ready; -assign main_litedramcore_bankmachine2_req_we = main_litedramcore_interface_bank2_we; -assign main_litedramcore_bankmachine2_req_addr = main_litedramcore_interface_bank2_addr; -assign main_litedramcore_interface_bank2_lock = main_litedramcore_bankmachine2_req_lock; -assign main_litedramcore_interface_bank2_wdata_ready = main_litedramcore_bankmachine2_req_wdata_ready; -assign main_litedramcore_interface_bank2_rdata_valid = main_litedramcore_bankmachine2_req_rdata_valid; -assign main_litedramcore_bankmachine3_req_valid = main_litedramcore_interface_bank3_valid; -assign main_litedramcore_interface_bank3_ready = main_litedramcore_bankmachine3_req_ready; -assign main_litedramcore_bankmachine3_req_we = main_litedramcore_interface_bank3_we; -assign main_litedramcore_bankmachine3_req_addr = main_litedramcore_interface_bank3_addr; -assign main_litedramcore_interface_bank3_lock = main_litedramcore_bankmachine3_req_lock; -assign main_litedramcore_interface_bank3_wdata_ready = main_litedramcore_bankmachine3_req_wdata_ready; -assign main_litedramcore_interface_bank3_rdata_valid = main_litedramcore_bankmachine3_req_rdata_valid; -assign main_litedramcore_bankmachine4_req_valid = main_litedramcore_interface_bank4_valid; -assign main_litedramcore_interface_bank4_ready = main_litedramcore_bankmachine4_req_ready; -assign main_litedramcore_bankmachine4_req_we = main_litedramcore_interface_bank4_we; -assign main_litedramcore_bankmachine4_req_addr = main_litedramcore_interface_bank4_addr; -assign main_litedramcore_interface_bank4_lock = main_litedramcore_bankmachine4_req_lock; -assign main_litedramcore_interface_bank4_wdata_ready = main_litedramcore_bankmachine4_req_wdata_ready; -assign main_litedramcore_interface_bank4_rdata_valid = main_litedramcore_bankmachine4_req_rdata_valid; -assign main_litedramcore_bankmachine5_req_valid = main_litedramcore_interface_bank5_valid; -assign main_litedramcore_interface_bank5_ready = main_litedramcore_bankmachine5_req_ready; -assign main_litedramcore_bankmachine5_req_we = main_litedramcore_interface_bank5_we; -assign main_litedramcore_bankmachine5_req_addr = main_litedramcore_interface_bank5_addr; -assign main_litedramcore_interface_bank5_lock = main_litedramcore_bankmachine5_req_lock; -assign main_litedramcore_interface_bank5_wdata_ready = main_litedramcore_bankmachine5_req_wdata_ready; -assign main_litedramcore_interface_bank5_rdata_valid = main_litedramcore_bankmachine5_req_rdata_valid; -assign main_litedramcore_bankmachine6_req_valid = main_litedramcore_interface_bank6_valid; -assign main_litedramcore_interface_bank6_ready = main_litedramcore_bankmachine6_req_ready; -assign main_litedramcore_bankmachine6_req_we = main_litedramcore_interface_bank6_we; -assign main_litedramcore_bankmachine6_req_addr = main_litedramcore_interface_bank6_addr; -assign main_litedramcore_interface_bank6_lock = main_litedramcore_bankmachine6_req_lock; -assign main_litedramcore_interface_bank6_wdata_ready = main_litedramcore_bankmachine6_req_wdata_ready; -assign main_litedramcore_interface_bank6_rdata_valid = main_litedramcore_bankmachine6_req_rdata_valid; -assign main_litedramcore_bankmachine7_req_valid = main_litedramcore_interface_bank7_valid; -assign main_litedramcore_interface_bank7_ready = main_litedramcore_bankmachine7_req_ready; -assign main_litedramcore_bankmachine7_req_we = main_litedramcore_interface_bank7_we; -assign main_litedramcore_bankmachine7_req_addr = main_litedramcore_interface_bank7_addr; -assign main_litedramcore_interface_bank7_lock = main_litedramcore_bankmachine7_req_lock; -assign main_litedramcore_interface_bank7_wdata_ready = main_litedramcore_bankmachine7_req_wdata_ready; -assign main_litedramcore_interface_bank7_rdata_valid = main_litedramcore_bankmachine7_req_rdata_valid; -assign main_litedramcore_timer_wait = (~main_litedramcore_timer_done0); -assign main_litedramcore_postponer_req_i = main_litedramcore_timer_done0; -assign main_litedramcore_wants_refresh = main_litedramcore_postponer_req_o; -assign main_litedramcore_wants_zqcs = main_litedramcore_zqcs_timer_done0; -assign main_litedramcore_zqcs_timer_wait = (~main_litedramcore_zqcs_executer_done); -assign main_litedramcore_timer_done1 = (main_litedramcore_timer_count1 == 1'd0); -assign main_litedramcore_timer_done0 = main_litedramcore_timer_done1; -assign main_litedramcore_timer_count0 = main_litedramcore_timer_count1; -assign main_litedramcore_sequencer_start1 = (main_litedramcore_sequencer_start0 | (main_litedramcore_sequencer_count != 1'd0)); -assign main_litedramcore_sequencer_done0 = (main_litedramcore_sequencer_done1 & (main_litedramcore_sequencer_count == 1'd0)); -assign main_litedramcore_zqcs_timer_done1 = (main_litedramcore_zqcs_timer_count1 == 1'd0); -assign main_litedramcore_zqcs_timer_done0 = main_litedramcore_zqcs_timer_done1; -assign main_litedramcore_zqcs_timer_count0 = main_litedramcore_zqcs_timer_count1; -always @(*) begin - builder_refresher_next_state <= 2'd0; - builder_refresher_next_state <= builder_refresher_state; - case (builder_refresher_state) - 1'd1: begin - if (main_litedramcore_cmd_ready) begin - builder_refresher_next_state <= 2'd2; - end - end - 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin - builder_refresher_next_state <= 2'd3; +assign litedramcore_csr_dfi_p3_address = litedramcore_phaseinjector3_address_storage; +assign litedramcore_csr_dfi_p3_bank = litedramcore_phaseinjector3_baddress_storage; +assign litedramcore_csr_dfi_p3_wrdata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_csrfield_wren); +assign litedramcore_csr_dfi_p3_rddata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_csrfield_rden); +assign litedramcore_csr_dfi_p3_wrdata = litedramcore_phaseinjector3_wrdata_storage; +assign litedramcore_csr_dfi_p3_wrdata_mask = 1'd0; +assign litedramcore_bankmachine0_req_valid = litedramcore_interface_bank0_valid; +assign litedramcore_interface_bank0_ready = litedramcore_bankmachine0_req_ready; +assign litedramcore_bankmachine0_req_we = litedramcore_interface_bank0_we; +assign litedramcore_bankmachine0_req_addr = litedramcore_interface_bank0_addr; +assign litedramcore_interface_bank0_lock = litedramcore_bankmachine0_req_lock; +assign litedramcore_interface_bank0_wdata_ready = litedramcore_bankmachine0_req_wdata_ready; +assign litedramcore_interface_bank0_rdata_valid = litedramcore_bankmachine0_req_rdata_valid; +assign litedramcore_bankmachine1_req_valid = litedramcore_interface_bank1_valid; +assign litedramcore_interface_bank1_ready = litedramcore_bankmachine1_req_ready; +assign litedramcore_bankmachine1_req_we = litedramcore_interface_bank1_we; +assign litedramcore_bankmachine1_req_addr = litedramcore_interface_bank1_addr; +assign litedramcore_interface_bank1_lock = litedramcore_bankmachine1_req_lock; +assign litedramcore_interface_bank1_wdata_ready = litedramcore_bankmachine1_req_wdata_ready; +assign litedramcore_interface_bank1_rdata_valid = litedramcore_bankmachine1_req_rdata_valid; +assign litedramcore_bankmachine2_req_valid = litedramcore_interface_bank2_valid; +assign litedramcore_interface_bank2_ready = litedramcore_bankmachine2_req_ready; +assign litedramcore_bankmachine2_req_we = litedramcore_interface_bank2_we; +assign litedramcore_bankmachine2_req_addr = litedramcore_interface_bank2_addr; +assign litedramcore_interface_bank2_lock = litedramcore_bankmachine2_req_lock; +assign litedramcore_interface_bank2_wdata_ready = litedramcore_bankmachine2_req_wdata_ready; +assign litedramcore_interface_bank2_rdata_valid = litedramcore_bankmachine2_req_rdata_valid; +assign litedramcore_bankmachine3_req_valid = litedramcore_interface_bank3_valid; +assign litedramcore_interface_bank3_ready = litedramcore_bankmachine3_req_ready; +assign litedramcore_bankmachine3_req_we = litedramcore_interface_bank3_we; +assign litedramcore_bankmachine3_req_addr = litedramcore_interface_bank3_addr; +assign litedramcore_interface_bank3_lock = litedramcore_bankmachine3_req_lock; +assign litedramcore_interface_bank3_wdata_ready = litedramcore_bankmachine3_req_wdata_ready; +assign litedramcore_interface_bank3_rdata_valid = litedramcore_bankmachine3_req_rdata_valid; +assign litedramcore_bankmachine4_req_valid = litedramcore_interface_bank4_valid; +assign litedramcore_interface_bank4_ready = litedramcore_bankmachine4_req_ready; +assign litedramcore_bankmachine4_req_we = litedramcore_interface_bank4_we; +assign litedramcore_bankmachine4_req_addr = litedramcore_interface_bank4_addr; +assign litedramcore_interface_bank4_lock = litedramcore_bankmachine4_req_lock; +assign litedramcore_interface_bank4_wdata_ready = litedramcore_bankmachine4_req_wdata_ready; +assign litedramcore_interface_bank4_rdata_valid = litedramcore_bankmachine4_req_rdata_valid; +assign litedramcore_bankmachine5_req_valid = litedramcore_interface_bank5_valid; +assign litedramcore_interface_bank5_ready = litedramcore_bankmachine5_req_ready; +assign litedramcore_bankmachine5_req_we = litedramcore_interface_bank5_we; +assign litedramcore_bankmachine5_req_addr = litedramcore_interface_bank5_addr; +assign litedramcore_interface_bank5_lock = litedramcore_bankmachine5_req_lock; +assign litedramcore_interface_bank5_wdata_ready = litedramcore_bankmachine5_req_wdata_ready; +assign litedramcore_interface_bank5_rdata_valid = litedramcore_bankmachine5_req_rdata_valid; +assign litedramcore_bankmachine6_req_valid = litedramcore_interface_bank6_valid; +assign litedramcore_interface_bank6_ready = litedramcore_bankmachine6_req_ready; +assign litedramcore_bankmachine6_req_we = litedramcore_interface_bank6_we; +assign litedramcore_bankmachine6_req_addr = litedramcore_interface_bank6_addr; +assign litedramcore_interface_bank6_lock = litedramcore_bankmachine6_req_lock; +assign litedramcore_interface_bank6_wdata_ready = litedramcore_bankmachine6_req_wdata_ready; +assign litedramcore_interface_bank6_rdata_valid = litedramcore_bankmachine6_req_rdata_valid; +assign litedramcore_bankmachine7_req_valid = litedramcore_interface_bank7_valid; +assign litedramcore_interface_bank7_ready = litedramcore_bankmachine7_req_ready; +assign litedramcore_bankmachine7_req_we = litedramcore_interface_bank7_we; +assign litedramcore_bankmachine7_req_addr = litedramcore_interface_bank7_addr; +assign litedramcore_interface_bank7_lock = litedramcore_bankmachine7_req_lock; +assign litedramcore_interface_bank7_wdata_ready = litedramcore_bankmachine7_req_wdata_ready; +assign litedramcore_interface_bank7_rdata_valid = litedramcore_bankmachine7_req_rdata_valid; +assign litedramcore_timer_wait = (~litedramcore_timer_done0); +assign litedramcore_postponer_req_i = litedramcore_timer_done0; +assign litedramcore_wants_refresh = litedramcore_postponer_req_o; +assign litedramcore_wants_zqcs = litedramcore_zqcs_timer_done0; +assign litedramcore_zqcs_timer_wait = (~litedramcore_zqcs_executer_done); +assign litedramcore_timer_done1 = (litedramcore_timer_count1 == 1'd0); +assign litedramcore_timer_done0 = litedramcore_timer_done1; +assign litedramcore_timer_count0 = litedramcore_timer_count1; +assign litedramcore_sequencer_start1 = (litedramcore_sequencer_start0 | (litedramcore_sequencer_count != 1'd0)); +assign litedramcore_sequencer_done0 = (litedramcore_sequencer_done1 & (litedramcore_sequencer_count == 1'd0)); +assign litedramcore_zqcs_timer_done1 = (litedramcore_zqcs_timer_count1 == 1'd0); +assign litedramcore_zqcs_timer_done0 = litedramcore_zqcs_timer_done1; +assign litedramcore_zqcs_timer_count0 = litedramcore_zqcs_timer_count1; +always @(*) begin + litedramcore_refresher_next_state <= 2'd0; + litedramcore_refresher_next_state <= litedramcore_refresher_state; + case (litedramcore_refresher_state) + 1'd1: begin + if (litedramcore_cmd_ready) begin + litedramcore_refresher_next_state <= 2'd2; + end + end + 2'd2: begin + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin + litedramcore_refresher_next_state <= 2'd3; end else begin - builder_refresher_next_state <= 1'd0; + litedramcore_refresher_next_state <= 1'd0; end end end 2'd3: begin - if (main_litedramcore_zqcs_executer_done) begin - builder_refresher_next_state <= 1'd0; + if (litedramcore_zqcs_executer_done) begin + litedramcore_refresher_next_state <= 1'd0; end end default: begin if (1'd1) begin - if (main_litedramcore_wants_refresh) begin - builder_refresher_next_state <= 1'd1; + if (litedramcore_wants_refresh) begin + litedramcore_refresher_next_state <= 1'd1; end end end endcase end always @(*) begin - main_litedramcore_cmd_last <= 1'd0; - case (builder_refresher_state) + litedramcore_zqcs_executer_start <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin end 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin + litedramcore_zqcs_executer_start <= 1'd1; end else begin - main_litedramcore_cmd_last <= 1'd1; end end end 2'd3: begin - if (main_litedramcore_zqcs_executer_done) begin - main_litedramcore_cmd_last <= 1'd1; - end end default: begin end endcase end always @(*) begin - main_litedramcore_sequencer_start0 <= 1'd0; - case (builder_refresher_state) + litedramcore_cmd_last <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin - if (main_litedramcore_cmd_ready) begin - main_litedramcore_sequencer_start0 <= 1'd1; - end end 2'd2: begin + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin + end else begin + litedramcore_cmd_last <= 1'd1; + end + end end 2'd3: begin + if (litedramcore_zqcs_executer_done) begin + litedramcore_cmd_last <= 1'd1; + end end default: begin end endcase end always @(*) begin - main_litedramcore_cmd_valid <= 1'd0; - case (builder_refresher_state) + litedramcore_sequencer_start0 <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin - main_litedramcore_cmd_valid <= 1'd1; + if (litedramcore_cmd_ready) begin + litedramcore_sequencer_start0 <= 1'd1; + end end 2'd2: begin - main_litedramcore_cmd_valid <= 1'd1; - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin - end else begin - main_litedramcore_cmd_valid <= 1'd0; - end - end end 2'd3: begin - main_litedramcore_cmd_valid <= 1'd1; - if (main_litedramcore_zqcs_executer_done) begin - main_litedramcore_cmd_valid <= 1'd0; - end end default: begin end endcase end always @(*) begin - main_litedramcore_zqcs_executer_start <= 1'd0; - case (builder_refresher_state) + litedramcore_cmd_valid <= 1'd0; + case (litedramcore_refresher_state) 1'd1: begin + litedramcore_cmd_valid <= 1'd1; end 2'd2: begin - if (main_litedramcore_sequencer_done0) begin - if (main_litedramcore_wants_zqcs) begin - main_litedramcore_zqcs_executer_start <= 1'd1; + litedramcore_cmd_valid <= 1'd1; + if (litedramcore_sequencer_done0) begin + if (litedramcore_wants_zqcs) begin end else begin + litedramcore_cmd_valid <= 1'd0; end end end 2'd3: begin + litedramcore_cmd_valid <= 1'd1; + if (litedramcore_zqcs_executer_done) begin + litedramcore_cmd_valid <= 1'd0; + end end default: begin end endcase end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine0_req_valid; -assign main_litedramcore_bankmachine0_req_ready = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine0_req_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine0_req_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_valid = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine0_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_source_ready = (main_litedramcore_bankmachine0_req_wdata_ready | main_litedramcore_bankmachine0_req_rdata_valid); -assign main_litedramcore_bankmachine0_req_lock = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine0_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine0_row_hit = (main_litedramcore_bankmachine0_row == main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine0_cmd_payload_ba = 1'd0; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine0_req_valid; +assign litedramcore_bankmachine0_req_ready = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine0_req_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine0_req_addr; +assign litedramcore_bankmachine0_cmd_buffer_sink_valid = litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine0_cmd_buffer_sink_ready; +assign litedramcore_bankmachine0_cmd_buffer_sink_first = litedramcore_bankmachine0_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine0_cmd_buffer_sink_last = litedramcore_bankmachine0_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine0_cmd_buffer_sink_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_sink_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_source_ready = (litedramcore_bankmachine0_req_wdata_ready | litedramcore_bankmachine0_req_rdata_valid); +assign litedramcore_bankmachine0_req_lock = (litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine0_cmd_buffer_source_valid); +assign litedramcore_bankmachine0_row_hit = (litedramcore_bankmachine0_row == litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine0_cmd_payload_ba = 1'd0; always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine0_row_col_n_addr_sel) begin - main_litedramcore_bankmachine0_cmd_payload_a <= main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7]; + litedramcore_bankmachine0_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine0_row_col_n_addr_sel) begin + litedramcore_bankmachine0_cmd_payload_a <= litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7]; end else begin - main_litedramcore_bankmachine0_cmd_payload_a <= ((main_litedramcore_bankmachine0_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + litedramcore_bankmachine0_cmd_payload_a <= ((litedramcore_bankmachine0_auto_precharge <<< 4'd10) | {litedramcore_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); end end -assign main_litedramcore_bankmachine0_twtpcon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_cmd_payload_is_write); -assign main_litedramcore_bankmachine0_trccon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_row_open); -assign main_litedramcore_bankmachine0_trascon_valid = ((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_ready) & main_litedramcore_bankmachine0_row_open); +assign litedramcore_bankmachine0_twtpcon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_cmd_payload_is_write); +assign litedramcore_bankmachine0_trccon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_row_open); +assign litedramcore_bankmachine0_trascon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_row_open); always @(*) begin - main_litedramcore_bankmachine0_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine0_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine0_auto_precharge <= (main_litedramcore_bankmachine0_row_close == 1'd0); + litedramcore_bankmachine0_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine0_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine0_auto_precharge <= (litedramcore_bankmachine0_row_close == 1'd0); end end end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign {main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = main_litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_first = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_last = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce - 1'd1); + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine0_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine0_cmd_buffer_lookahead_produce - 1'd1); end else begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce; + litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine0_cmd_buffer_lookahead_produce; end end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine0_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine0_cmd_buffer_source_valid) | main_litedramcore_bankmachine0_cmd_buffer_source_ready); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | litedramcore_bankmachine0_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine0_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine0_cmd_buffer_sink_ready = ((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine0_next_state <= 4'd0; - builder_bankmachine0_next_state <= builder_bankmachine0_state; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_next_state <= 4'd0; + litedramcore_bankmachine0_next_state <= litedramcore_bankmachine0_state; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - if (main_litedramcore_bankmachine0_cmd_ready) begin - builder_bankmachine0_next_state <= 3'd5; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + if (litedramcore_bankmachine0_cmd_ready) begin + litedramcore_bankmachine0_next_state <= 3'd5; end end end 2'd2: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - builder_bankmachine0_next_state <= 3'd5; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_next_state <= 3'd5; end end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - if (main_litedramcore_bankmachine0_cmd_ready) begin - builder_bankmachine0_next_state <= 3'd7; + if (litedramcore_bankmachine0_trccon_ready) begin + if (litedramcore_bankmachine0_cmd_ready) begin + litedramcore_bankmachine0_next_state <= 3'd7; end end end 3'd4: begin - if ((~main_litedramcore_bankmachine0_refresh_req)) begin - builder_bankmachine0_next_state <= 1'd0; + if ((~litedramcore_bankmachine0_refresh_req)) begin + litedramcore_bankmachine0_next_state <= 1'd0; end end 3'd5: begin - builder_bankmachine0_next_state <= 3'd6; + litedramcore_bankmachine0_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine0_next_state <= 2'd3; + litedramcore_bankmachine0_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine0_next_state <= 4'd8; + litedramcore_bankmachine0_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine0_next_state <= 1'd0; + litedramcore_bankmachine0_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin - builder_bankmachine0_next_state <= 3'd4; + if (litedramcore_bankmachine0_refresh_req) begin + litedramcore_bankmachine0_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if ((main_litedramcore_bankmachine0_cmd_ready & main_litedramcore_bankmachine0_auto_precharge)) begin - builder_bankmachine0_next_state <= 2'd2; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if ((litedramcore_bankmachine0_cmd_ready & litedramcore_bankmachine0_auto_precharge)) begin + litedramcore_bankmachine0_next_state <= 2'd2; end end else begin - builder_bankmachine0_next_state <= 1'd1; + litedramcore_bankmachine0_next_state <= 1'd1; end end else begin - builder_bankmachine0_next_state <= 2'd3; + litedramcore_bankmachine0_next_state <= 2'd3; end end end @@ -4333,18 +4752,82 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; + end + 2'd2: begin + end + 2'd3: begin + end + 3'd4: begin + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + if (litedramcore_bankmachine0_refresh_req) begin + end else begin + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine0_req_rdata_valid <= litedramcore_bankmachine0_cmd_ready; + end + end else begin + end + end else begin + end + end + end + end + endcase +end +always @(*) begin + litedramcore_bankmachine0_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine0_state) + 1'd1: begin + end + 2'd2: begin + end + 2'd3: begin + end + 3'd4: begin + if (litedramcore_bankmachine0_twtpcon_ready) begin + litedramcore_bankmachine0_refresh_gnt <= 1'd1; + end + end + 3'd5: begin + end + 3'd6: begin + end + 3'd7: begin + end + 4'd8: begin + end + default: begin + end + endcase +end +always @(*) begin + litedramcore_bankmachine0_cmd_valid <= 1'd0; + case (litedramcore_bankmachine0_state) + 1'd1: begin + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; end end 3'd4: begin @@ -4358,12 +4841,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - main_litedramcore_bankmachine0_cmd_valid <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + litedramcore_bankmachine0_cmd_valid <= 1'd1; end else begin end end else begin @@ -4374,15 +4857,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_row_open <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_row_open <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_row_open <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_row_open <= 1'd1; end end 3'd4: begin @@ -4400,18 +4883,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_row_close <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_row_close <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; + litedramcore_bankmachine0_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; + litedramcore_bankmachine0_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine0_row_close <= 1'd1; + litedramcore_bankmachine0_row_close <= 1'd1; end 3'd5: begin end @@ -4426,8 +4909,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_cas <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4445,12 +4928,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - main_litedramcore_bankmachine0_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + litedramcore_bankmachine0_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -4461,18 +4944,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -4490,11 +4973,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -4512,13 +4995,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -4531,15 +5014,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -4557,22 +5040,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin - if ((main_litedramcore_bankmachine0_twtpcon_ready & main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine0_trccon_ready) begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine0_trccon_ready) begin + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -4587,8 +5070,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4606,14 +5089,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine0_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine0_cmd_payload_is_read <= 1'd1; end end else begin end @@ -4625,8 +5108,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4644,13 +5127,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -4663,8 +5146,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_req_wdata_ready <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine0_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine0_state) 1'd1: begin end 2'd2: begin @@ -4682,13 +5165,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine0_refresh_req) begin end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine0_req_wdata_ready <= main_litedramcore_bankmachine0_cmd_ready; + if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine0_row_opened) begin + if (litedramcore_bankmachine0_row_hit) begin + if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine0_req_wdata_ready <= litedramcore_bankmachine0_cmd_ready; end else begin end end else begin @@ -4700,38 +5183,127 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine0_req_rdata_valid <= 1'd0; - case (builder_bankmachine0_state) - 1'd1: begin +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine1_req_valid; +assign litedramcore_bankmachine1_req_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine1_req_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine1_req_addr; +assign litedramcore_bankmachine1_cmd_buffer_sink_valid = litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine1_cmd_buffer_sink_ready; +assign litedramcore_bankmachine1_cmd_buffer_sink_first = litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine1_cmd_buffer_sink_last = litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine1_cmd_buffer_sink_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_sink_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_source_ready = (litedramcore_bankmachine1_req_wdata_ready | litedramcore_bankmachine1_req_rdata_valid); +assign litedramcore_bankmachine1_req_lock = (litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine1_cmd_buffer_source_valid); +assign litedramcore_bankmachine1_row_hit = (litedramcore_bankmachine1_row == litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine1_cmd_payload_ba = 1'd1; +always @(*) begin + litedramcore_bankmachine1_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine1_row_col_n_addr_sel) begin + litedramcore_bankmachine1_cmd_payload_a <= litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7]; + end else begin + litedramcore_bankmachine1_cmd_payload_a <= ((litedramcore_bankmachine1_auto_precharge <<< 4'd10) | {litedramcore_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine1_twtpcon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_cmd_payload_is_write); +assign litedramcore_bankmachine1_trccon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_row_open); +assign litedramcore_bankmachine1_trascon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_row_open); +always @(*) begin + litedramcore_bankmachine1_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine1_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine1_auto_precharge <= (litedramcore_bankmachine1_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_first = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_last = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine1_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine1_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine1_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | litedramcore_bankmachine1_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine1_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine1_cmd_buffer_sink_ready = ((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine1_next_state <= 4'd0; + litedramcore_bankmachine1_next_state <= litedramcore_bankmachine1_state; + case (litedramcore_bankmachine1_state) + 1'd1: begin + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + if (litedramcore_bankmachine1_cmd_ready) begin + litedramcore_bankmachine1_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine1_trccon_ready) begin + if (litedramcore_bankmachine1_cmd_ready) begin + litedramcore_bankmachine1_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine1_refresh_req)) begin + litedramcore_bankmachine1_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine1_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine1_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine1_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine1_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine0_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin + litedramcore_bankmachine1_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine0_row_opened) begin - if (main_litedramcore_bankmachine0_row_hit) begin - if (main_litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine0_req_rdata_valid <= main_litedramcore_bankmachine0_cmd_ready; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if ((litedramcore_bankmachine1_cmd_ready & litedramcore_bankmachine1_auto_precharge)) begin + litedramcore_bankmachine1_next_state <= 2'd2; end end else begin + litedramcore_bankmachine1_next_state <= 1'd1; end end else begin + litedramcore_bankmachine1_next_state <= 2'd3; end end end @@ -4739,8 +5311,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine0_refresh_gnt <= 1'd0; - case (builder_bankmachine0_state) + litedramcore_bankmachine1_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -4748,9 +5320,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine0_twtpcon_ready) begin - main_litedramcore_bankmachine0_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -4761,149 +5330,63 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine1_refresh_req) begin + end else begin + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine1_req_rdata_valid <= litedramcore_bankmachine1_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine1_req_valid; -assign main_litedramcore_bankmachine1_req_ready = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine1_req_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine1_req_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_valid = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine1_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_source_ready = (main_litedramcore_bankmachine1_req_wdata_ready | main_litedramcore_bankmachine1_req_rdata_valid); -assign main_litedramcore_bankmachine1_req_lock = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine1_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine1_row_hit = (main_litedramcore_bankmachine1_row == main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine1_cmd_payload_ba = 1'd1; -always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine1_row_col_n_addr_sel) begin - main_litedramcore_bankmachine1_cmd_payload_a <= main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7]; - end else begin - main_litedramcore_bankmachine1_cmd_payload_a <= ((main_litedramcore_bankmachine1_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine1_twtpcon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_cmd_payload_is_write); -assign main_litedramcore_bankmachine1_trccon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_row_open); -assign main_litedramcore_bankmachine1_trascon_valid = ((main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_ready) & main_litedramcore_bankmachine1_row_open); -always @(*) begin - main_litedramcore_bankmachine1_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine1_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine1_auto_precharge <= (main_litedramcore_bankmachine1_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign {main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = main_litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine1_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine1_cmd_buffer_source_valid) | main_litedramcore_bankmachine1_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine1_next_state <= 4'd0; - builder_bankmachine1_next_state <= builder_bankmachine1_state; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - if (main_litedramcore_bankmachine1_cmd_ready) begin - builder_bankmachine1_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - builder_bankmachine1_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - if (main_litedramcore_bankmachine1_cmd_ready) begin - builder_bankmachine1_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine1_refresh_req)) begin - builder_bankmachine1_next_state <= 1'd0; + if (litedramcore_bankmachine1_twtpcon_ready) begin + litedramcore_bankmachine1_refresh_gnt <= 1'd1; end end 3'd5: begin - builder_bankmachine1_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine1_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine1_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine1_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin - builder_bankmachine1_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if ((main_litedramcore_bankmachine1_cmd_ready & main_litedramcore_bankmachine1_auto_precharge)) begin - builder_bankmachine1_next_state <= 2'd2; - end - end else begin - builder_bankmachine1_next_state <= 1'd1; - end - end else begin - builder_bankmachine1_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_valid <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end end 3'd4: begin @@ -4917,12 +5400,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - main_litedramcore_bankmachine1_cmd_valid <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + litedramcore_bankmachine1_cmd_valid <= 1'd1; end else begin end end else begin @@ -4933,15 +5416,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_row_open <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_row_open <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_row_open <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_row_open <= 1'd1; end end 3'd4: begin @@ -4959,18 +5442,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_row_close <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_row_close <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; + litedramcore_bankmachine1_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; + litedramcore_bankmachine1_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine1_row_close <= 1'd1; + litedramcore_bankmachine1_row_close <= 1'd1; end 3'd5: begin end @@ -4985,8 +5468,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_cas <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5004,12 +5487,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - main_litedramcore_bankmachine1_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + litedramcore_bankmachine1_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -5020,18 +5503,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -5049,11 +5532,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -5071,13 +5554,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -5090,15 +5573,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -5116,22 +5599,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin - if ((main_litedramcore_bankmachine1_twtpcon_ready & main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine1_trccon_ready) begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine1_trccon_ready) begin + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -5146,8 +5629,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5165,14 +5648,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine1_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine1_cmd_payload_is_read <= 1'd1; end end else begin end @@ -5184,8 +5667,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5203,13 +5686,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -5222,8 +5705,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_req_wdata_ready <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine1_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine1_state) 1'd1: begin end 2'd2: begin @@ -5241,13 +5724,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine1_refresh_req) begin end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine1_req_wdata_ready <= main_litedramcore_bankmachine1_cmd_ready; + if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine1_row_opened) begin + if (litedramcore_bankmachine1_row_hit) begin + if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine1_req_wdata_ready <= litedramcore_bankmachine1_cmd_ready; end else begin end end else begin @@ -5259,38 +5742,127 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine1_req_rdata_valid <= 1'd0; - case (builder_bankmachine1_state) - 1'd1: begin +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine2_req_valid; +assign litedramcore_bankmachine2_req_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine2_req_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine2_req_addr; +assign litedramcore_bankmachine2_cmd_buffer_sink_valid = litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine2_cmd_buffer_sink_ready; +assign litedramcore_bankmachine2_cmd_buffer_sink_first = litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine2_cmd_buffer_sink_last = litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine2_cmd_buffer_sink_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_sink_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_source_ready = (litedramcore_bankmachine2_req_wdata_ready | litedramcore_bankmachine2_req_rdata_valid); +assign litedramcore_bankmachine2_req_lock = (litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine2_cmd_buffer_source_valid); +assign litedramcore_bankmachine2_row_hit = (litedramcore_bankmachine2_row == litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine2_cmd_payload_ba = 2'd2; +always @(*) begin + litedramcore_bankmachine2_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine2_row_col_n_addr_sel) begin + litedramcore_bankmachine2_cmd_payload_a <= litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7]; + end else begin + litedramcore_bankmachine2_cmd_payload_a <= ((litedramcore_bankmachine2_auto_precharge <<< 4'd10) | {litedramcore_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine2_twtpcon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_cmd_payload_is_write); +assign litedramcore_bankmachine2_trccon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_row_open); +assign litedramcore_bankmachine2_trascon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_row_open); +always @(*) begin + litedramcore_bankmachine2_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine2_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine2_auto_precharge <= (litedramcore_bankmachine2_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_first = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_last = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine2_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine2_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine2_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | litedramcore_bankmachine2_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine2_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine2_cmd_buffer_sink_ready = ((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine2_next_state <= 4'd0; + litedramcore_bankmachine2_next_state <= litedramcore_bankmachine2_state; + case (litedramcore_bankmachine2_state) + 1'd1: begin + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + if (litedramcore_bankmachine2_cmd_ready) begin + litedramcore_bankmachine2_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine2_trccon_ready) begin + if (litedramcore_bankmachine2_cmd_ready) begin + litedramcore_bankmachine2_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine2_refresh_req)) begin + litedramcore_bankmachine2_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine2_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine2_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine2_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine2_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine1_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin + litedramcore_bankmachine2_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine1_row_opened) begin - if (main_litedramcore_bankmachine1_row_hit) begin - if (main_litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine1_req_rdata_valid <= main_litedramcore_bankmachine1_cmd_ready; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if ((litedramcore_bankmachine2_cmd_ready & litedramcore_bankmachine2_auto_precharge)) begin + litedramcore_bankmachine2_next_state <= 2'd2; end end else begin + litedramcore_bankmachine2_next_state <= 1'd1; end end else begin + litedramcore_bankmachine2_next_state <= 2'd3; end end end @@ -5298,8 +5870,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine1_refresh_gnt <= 1'd0; - case (builder_bankmachine1_state) + litedramcore_bankmachine2_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5307,9 +5879,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine1_twtpcon_ready) begin - main_litedramcore_bankmachine1_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -5320,149 +5889,63 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine2_refresh_req) begin + end else begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine2_req_rdata_valid <= litedramcore_bankmachine2_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine2_req_valid; -assign main_litedramcore_bankmachine2_req_ready = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine2_req_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine2_req_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_valid = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine2_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_source_ready = (main_litedramcore_bankmachine2_req_wdata_ready | main_litedramcore_bankmachine2_req_rdata_valid); -assign main_litedramcore_bankmachine2_req_lock = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine2_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine2_row_hit = (main_litedramcore_bankmachine2_row == main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine2_cmd_payload_ba = 2'd2; always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine2_row_col_n_addr_sel) begin - main_litedramcore_bankmachine2_cmd_payload_a <= main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7]; - end else begin - main_litedramcore_bankmachine2_cmd_payload_a <= ((main_litedramcore_bankmachine2_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine2_twtpcon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_cmd_payload_is_write); -assign main_litedramcore_bankmachine2_trccon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_row_open); -assign main_litedramcore_bankmachine2_trascon_valid = ((main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_ready) & main_litedramcore_bankmachine2_row_open); -always @(*) begin - main_litedramcore_bankmachine2_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine2_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine2_auto_precharge <= (main_litedramcore_bankmachine2_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign {main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = main_litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine2_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine2_cmd_buffer_source_valid) | main_litedramcore_bankmachine2_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine2_next_state <= 4'd0; - builder_bankmachine2_next_state <= builder_bankmachine2_state; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - if (main_litedramcore_bankmachine2_cmd_ready) begin - builder_bankmachine2_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - builder_bankmachine2_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - if (main_litedramcore_bankmachine2_cmd_ready) begin - builder_bankmachine2_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine2_refresh_req)) begin - builder_bankmachine2_next_state <= 1'd0; + if (litedramcore_bankmachine2_twtpcon_ready) begin + litedramcore_bankmachine2_refresh_gnt <= 1'd1; end end 3'd5: begin - builder_bankmachine2_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine2_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine2_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine2_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin - builder_bankmachine2_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if ((main_litedramcore_bankmachine2_cmd_ready & main_litedramcore_bankmachine2_auto_precharge)) begin - builder_bankmachine2_next_state <= 2'd2; - end - end else begin - builder_bankmachine2_next_state <= 1'd1; - end - end else begin - builder_bankmachine2_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_valid <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; end end 3'd4: begin @@ -5476,12 +5959,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - main_litedramcore_bankmachine2_cmd_valid <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + litedramcore_bankmachine2_cmd_valid <= 1'd1; end else begin end end else begin @@ -5492,15 +5975,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_row_open <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_row_open <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_row_open <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_row_open <= 1'd1; end end 3'd4: begin @@ -5518,18 +6001,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_row_close <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_row_close <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; + litedramcore_bankmachine2_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; + litedramcore_bankmachine2_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine2_row_close <= 1'd1; + litedramcore_bankmachine2_row_close <= 1'd1; end 3'd5: begin end @@ -5544,8 +6027,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_cas <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5563,12 +6046,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - main_litedramcore_bankmachine2_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + litedramcore_bankmachine2_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -5579,18 +6062,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -5608,11 +6091,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -5630,13 +6113,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -5649,15 +6132,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -5675,22 +6158,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin - if ((main_litedramcore_bankmachine2_twtpcon_ready & main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine2_trccon_ready) begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine2_trccon_ready) begin + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -5705,8 +6188,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5724,14 +6207,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine2_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine2_cmd_payload_is_read <= 1'd1; end end else begin end @@ -5743,8 +6226,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5762,13 +6245,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -5781,8 +6264,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_req_wdata_ready <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine2_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine2_state) 1'd1: begin end 2'd2: begin @@ -5800,13 +6283,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine2_refresh_req) begin end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine2_req_wdata_ready <= main_litedramcore_bankmachine2_cmd_ready; + if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine2_row_opened) begin + if (litedramcore_bankmachine2_row_hit) begin + if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine2_req_wdata_ready <= litedramcore_bankmachine2_cmd_ready; end else begin end end else begin @@ -5818,38 +6301,127 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine2_req_rdata_valid <= 1'd0; - case (builder_bankmachine2_state) - 1'd1: begin +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine3_req_valid; +assign litedramcore_bankmachine3_req_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine3_req_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine3_req_addr; +assign litedramcore_bankmachine3_cmd_buffer_sink_valid = litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine3_cmd_buffer_sink_ready; +assign litedramcore_bankmachine3_cmd_buffer_sink_first = litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine3_cmd_buffer_sink_last = litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine3_cmd_buffer_sink_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_sink_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_source_ready = (litedramcore_bankmachine3_req_wdata_ready | litedramcore_bankmachine3_req_rdata_valid); +assign litedramcore_bankmachine3_req_lock = (litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine3_cmd_buffer_source_valid); +assign litedramcore_bankmachine3_row_hit = (litedramcore_bankmachine3_row == litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine3_cmd_payload_ba = 2'd3; +always @(*) begin + litedramcore_bankmachine3_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine3_row_col_n_addr_sel) begin + litedramcore_bankmachine3_cmd_payload_a <= litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7]; + end else begin + litedramcore_bankmachine3_cmd_payload_a <= ((litedramcore_bankmachine3_auto_precharge <<< 4'd10) | {litedramcore_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine3_twtpcon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_cmd_payload_is_write); +assign litedramcore_bankmachine3_trccon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_row_open); +assign litedramcore_bankmachine3_trascon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_row_open); +always @(*) begin + litedramcore_bankmachine3_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine3_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine3_auto_precharge <= (litedramcore_bankmachine3_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_first = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_last = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine3_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine3_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine3_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | litedramcore_bankmachine3_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine3_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine3_cmd_buffer_sink_ready = ((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine3_next_state <= 4'd0; + litedramcore_bankmachine3_next_state <= litedramcore_bankmachine3_state; + case (litedramcore_bankmachine3_state) + 1'd1: begin + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + if (litedramcore_bankmachine3_cmd_ready) begin + litedramcore_bankmachine3_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine3_trccon_ready) begin + if (litedramcore_bankmachine3_cmd_ready) begin + litedramcore_bankmachine3_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine3_refresh_req)) begin + litedramcore_bankmachine3_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine3_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine3_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine3_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine3_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine2_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin + litedramcore_bankmachine3_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine2_row_opened) begin - if (main_litedramcore_bankmachine2_row_hit) begin - if (main_litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine2_req_rdata_valid <= main_litedramcore_bankmachine2_cmd_ready; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if ((litedramcore_bankmachine3_cmd_ready & litedramcore_bankmachine3_auto_precharge)) begin + litedramcore_bankmachine3_next_state <= 2'd2; end end else begin + litedramcore_bankmachine3_next_state <= 1'd1; end end else begin + litedramcore_bankmachine3_next_state <= 2'd3; end end end @@ -5857,8 +6429,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine2_refresh_gnt <= 1'd0; - case (builder_bankmachine2_state) + litedramcore_bankmachine3_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -5866,9 +6438,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine2_twtpcon_ready) begin - main_litedramcore_bankmachine2_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -5879,149 +6448,63 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine3_refresh_req) begin + end else begin + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine3_req_rdata_valid <= litedramcore_bankmachine3_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine3_req_valid; -assign main_litedramcore_bankmachine3_req_ready = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine3_req_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine3_req_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_valid = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine3_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_source_ready = (main_litedramcore_bankmachine3_req_wdata_ready | main_litedramcore_bankmachine3_req_rdata_valid); -assign main_litedramcore_bankmachine3_req_lock = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine3_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine3_row_hit = (main_litedramcore_bankmachine3_row == main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine3_cmd_payload_ba = 2'd3; -always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine3_row_col_n_addr_sel) begin - main_litedramcore_bankmachine3_cmd_payload_a <= main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7]; - end else begin - main_litedramcore_bankmachine3_cmd_payload_a <= ((main_litedramcore_bankmachine3_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine3_twtpcon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_cmd_payload_is_write); -assign main_litedramcore_bankmachine3_trccon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_row_open); -assign main_litedramcore_bankmachine3_trascon_valid = ((main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_ready) & main_litedramcore_bankmachine3_row_open); -always @(*) begin - main_litedramcore_bankmachine3_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine3_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine3_auto_precharge <= (main_litedramcore_bankmachine3_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign {main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = main_litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine3_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine3_cmd_buffer_source_valid) | main_litedramcore_bankmachine3_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine3_next_state <= 4'd0; - builder_bankmachine3_next_state <= builder_bankmachine3_state; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - if (main_litedramcore_bankmachine3_cmd_ready) begin - builder_bankmachine3_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - builder_bankmachine3_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - if (main_litedramcore_bankmachine3_cmd_ready) begin - builder_bankmachine3_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine3_refresh_req)) begin - builder_bankmachine3_next_state <= 1'd0; + if (litedramcore_bankmachine3_twtpcon_ready) begin + litedramcore_bankmachine3_refresh_gnt <= 1'd1; end end 3'd5: begin - builder_bankmachine3_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine3_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine3_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine3_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin - builder_bankmachine3_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if ((main_litedramcore_bankmachine3_cmd_ready & main_litedramcore_bankmachine3_auto_precharge)) begin - builder_bankmachine3_next_state <= 2'd2; - end - end else begin - builder_bankmachine3_next_state <= 1'd1; - end - end else begin - builder_bankmachine3_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_valid <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end end 3'd4: begin @@ -6035,12 +6518,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - main_litedramcore_bankmachine3_cmd_valid <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + litedramcore_bankmachine3_cmd_valid <= 1'd1; end else begin end end else begin @@ -6051,15 +6534,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_row_open <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_row_open <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_row_open <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_row_open <= 1'd1; end end 3'd4: begin @@ -6077,18 +6560,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_row_close <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_row_close <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; + litedramcore_bankmachine3_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; + litedramcore_bankmachine3_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine3_row_close <= 1'd1; + litedramcore_bankmachine3_row_close <= 1'd1; end 3'd5: begin end @@ -6103,8 +6586,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_cas <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6122,12 +6605,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - main_litedramcore_bankmachine3_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + litedramcore_bankmachine3_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -6138,18 +6621,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -6167,11 +6650,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -6189,13 +6672,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -6208,15 +6691,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -6234,22 +6717,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin - if ((main_litedramcore_bankmachine3_twtpcon_ready & main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine3_trccon_ready) begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine3_trccon_ready) begin + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -6264,8 +6747,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6283,14 +6766,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine3_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine3_cmd_payload_is_read <= 1'd1; end end else begin end @@ -6302,8 +6785,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6321,13 +6804,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -6340,8 +6823,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_req_wdata_ready <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine3_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine3_state) 1'd1: begin end 2'd2: begin @@ -6359,13 +6842,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine3_refresh_req) begin end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine3_req_wdata_ready <= main_litedramcore_bankmachine3_cmd_ready; + if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine3_row_opened) begin + if (litedramcore_bankmachine3_row_hit) begin + if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine3_req_wdata_ready <= litedramcore_bankmachine3_cmd_ready; end else begin end end else begin @@ -6377,38 +6860,127 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine3_req_rdata_valid <= 1'd0; - case (builder_bankmachine3_state) - 1'd1: begin +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine4_req_valid; +assign litedramcore_bankmachine4_req_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine4_req_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine4_req_addr; +assign litedramcore_bankmachine4_cmd_buffer_sink_valid = litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine4_cmd_buffer_sink_ready; +assign litedramcore_bankmachine4_cmd_buffer_sink_first = litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine4_cmd_buffer_sink_last = litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine4_cmd_buffer_sink_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_sink_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_source_ready = (litedramcore_bankmachine4_req_wdata_ready | litedramcore_bankmachine4_req_rdata_valid); +assign litedramcore_bankmachine4_req_lock = (litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine4_cmd_buffer_source_valid); +assign litedramcore_bankmachine4_row_hit = (litedramcore_bankmachine4_row == litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine4_cmd_payload_ba = 3'd4; +always @(*) begin + litedramcore_bankmachine4_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine4_row_col_n_addr_sel) begin + litedramcore_bankmachine4_cmd_payload_a <= litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7]; + end else begin + litedramcore_bankmachine4_cmd_payload_a <= ((litedramcore_bankmachine4_auto_precharge <<< 4'd10) | {litedramcore_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine4_twtpcon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_cmd_payload_is_write); +assign litedramcore_bankmachine4_trccon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_row_open); +assign litedramcore_bankmachine4_trascon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_row_open); +always @(*) begin + litedramcore_bankmachine4_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine4_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine4_auto_precharge <= (litedramcore_bankmachine4_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_first = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_last = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine4_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine4_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine4_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | litedramcore_bankmachine4_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine4_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine4_cmd_buffer_sink_ready = ((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine4_next_state <= 4'd0; + litedramcore_bankmachine4_next_state <= litedramcore_bankmachine4_state; + case (litedramcore_bankmachine4_state) + 1'd1: begin + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + if (litedramcore_bankmachine4_cmd_ready) begin + litedramcore_bankmachine4_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine4_trccon_ready) begin + if (litedramcore_bankmachine4_cmd_ready) begin + litedramcore_bankmachine4_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine4_refresh_req)) begin + litedramcore_bankmachine4_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine4_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine4_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine4_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine4_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine3_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin + litedramcore_bankmachine4_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine3_row_opened) begin - if (main_litedramcore_bankmachine3_row_hit) begin - if (main_litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine3_req_rdata_valid <= main_litedramcore_bankmachine3_cmd_ready; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if ((litedramcore_bankmachine4_cmd_ready & litedramcore_bankmachine4_auto_precharge)) begin + litedramcore_bankmachine4_next_state <= 2'd2; end end else begin + litedramcore_bankmachine4_next_state <= 1'd1; end end else begin + litedramcore_bankmachine4_next_state <= 2'd3; end end end @@ -6416,8 +6988,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine3_refresh_gnt <= 1'd0; - case (builder_bankmachine3_state) + litedramcore_bankmachine4_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6425,9 +6997,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine3_twtpcon_ready) begin - main_litedramcore_bankmachine3_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -6438,149 +7007,63 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine4_refresh_req) begin + end else begin + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine4_req_rdata_valid <= litedramcore_bankmachine4_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine4_req_valid; -assign main_litedramcore_bankmachine4_req_ready = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine4_req_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine4_req_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_valid = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine4_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_source_ready = (main_litedramcore_bankmachine4_req_wdata_ready | main_litedramcore_bankmachine4_req_rdata_valid); -assign main_litedramcore_bankmachine4_req_lock = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine4_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine4_row_hit = (main_litedramcore_bankmachine4_row == main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine4_cmd_payload_ba = 3'd4; -always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine4_row_col_n_addr_sel) begin - main_litedramcore_bankmachine4_cmd_payload_a <= main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7]; - end else begin - main_litedramcore_bankmachine4_cmd_payload_a <= ((main_litedramcore_bankmachine4_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine4_twtpcon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_cmd_payload_is_write); -assign main_litedramcore_bankmachine4_trccon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_row_open); -assign main_litedramcore_bankmachine4_trascon_valid = ((main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_ready) & main_litedramcore_bankmachine4_row_open); -always @(*) begin - main_litedramcore_bankmachine4_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine4_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine4_auto_precharge <= (main_litedramcore_bankmachine4_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign {main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = main_litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready; always @(*) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine4_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine4_cmd_buffer_source_valid) | main_litedramcore_bankmachine4_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine4_next_state <= 4'd0; - builder_bankmachine4_next_state <= builder_bankmachine4_state; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - if (main_litedramcore_bankmachine4_cmd_ready) begin - builder_bankmachine4_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - builder_bankmachine4_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - if (main_litedramcore_bankmachine4_cmd_ready) begin - builder_bankmachine4_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine4_refresh_req)) begin - builder_bankmachine4_next_state <= 1'd0; + if (litedramcore_bankmachine4_twtpcon_ready) begin + litedramcore_bankmachine4_refresh_gnt <= 1'd1; end end 3'd5: begin - builder_bankmachine4_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine4_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine4_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine4_next_state <= 1'd0; end - default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin - builder_bankmachine4_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if ((main_litedramcore_bankmachine4_cmd_ready & main_litedramcore_bankmachine4_auto_precharge)) begin - builder_bankmachine4_next_state <= 2'd2; - end - end else begin - builder_bankmachine4_next_state <= 1'd1; - end - end else begin - builder_bankmachine4_next_state <= 2'd3; - end - end - end + default: begin end endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_valid <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; end end 3'd4: begin @@ -6594,12 +7077,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - main_litedramcore_bankmachine4_cmd_valid <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + litedramcore_bankmachine4_cmd_valid <= 1'd1; end else begin end end else begin @@ -6610,15 +7093,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_row_open <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_row_open <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_row_open <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_row_open <= 1'd1; end end 3'd4: begin @@ -6636,18 +7119,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_row_close <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_row_close <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; + litedramcore_bankmachine4_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; + litedramcore_bankmachine4_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine4_row_close <= 1'd1; + litedramcore_bankmachine4_row_close <= 1'd1; end 3'd5: begin end @@ -6662,8 +7145,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_cas <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6681,12 +7164,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - main_litedramcore_bankmachine4_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + litedramcore_bankmachine4_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -6697,18 +7180,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -6726,11 +7209,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -6748,13 +7231,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -6767,15 +7250,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -6793,22 +7276,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin - if ((main_litedramcore_bankmachine4_twtpcon_ready & main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine4_trccon_ready) begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine4_trccon_ready) begin + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -6823,8 +7306,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6842,14 +7325,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine4_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine4_cmd_payload_is_read <= 1'd1; end end else begin end @@ -6861,8 +7344,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6880,13 +7363,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -6899,8 +7382,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_req_wdata_ready <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine4_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine4_state) 1'd1: begin end 2'd2: begin @@ -6918,13 +7401,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine4_refresh_req) begin end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine4_req_wdata_ready <= main_litedramcore_bankmachine4_cmd_ready; + if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine4_row_opened) begin + if (litedramcore_bankmachine4_row_hit) begin + if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine4_req_wdata_ready <= litedramcore_bankmachine4_cmd_ready; end else begin end end else begin @@ -6936,38 +7419,127 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine4_req_rdata_valid <= 1'd0; - case (builder_bankmachine4_state) - 1'd1: begin +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine5_req_valid; +assign litedramcore_bankmachine5_req_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine5_req_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine5_req_addr; +assign litedramcore_bankmachine5_cmd_buffer_sink_valid = litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine5_cmd_buffer_sink_ready; +assign litedramcore_bankmachine5_cmd_buffer_sink_first = litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine5_cmd_buffer_sink_last = litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine5_cmd_buffer_sink_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_sink_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_source_ready = (litedramcore_bankmachine5_req_wdata_ready | litedramcore_bankmachine5_req_rdata_valid); +assign litedramcore_bankmachine5_req_lock = (litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine5_cmd_buffer_source_valid); +assign litedramcore_bankmachine5_row_hit = (litedramcore_bankmachine5_row == litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine5_cmd_payload_ba = 3'd5; +always @(*) begin + litedramcore_bankmachine5_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine5_row_col_n_addr_sel) begin + litedramcore_bankmachine5_cmd_payload_a <= litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7]; + end else begin + litedramcore_bankmachine5_cmd_payload_a <= ((litedramcore_bankmachine5_auto_precharge <<< 4'd10) | {litedramcore_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine5_twtpcon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_cmd_payload_is_write); +assign litedramcore_bankmachine5_trccon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_row_open); +assign litedramcore_bankmachine5_trascon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_row_open); +always @(*) begin + litedramcore_bankmachine5_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine5_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine5_auto_precharge <= (litedramcore_bankmachine5_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_first = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_last = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine5_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine5_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine5_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | litedramcore_bankmachine5_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine5_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine5_cmd_buffer_sink_ready = ((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine5_next_state <= 4'd0; + litedramcore_bankmachine5_next_state <= litedramcore_bankmachine5_state; + case (litedramcore_bankmachine5_state) + 1'd1: begin + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + if (litedramcore_bankmachine5_cmd_ready) begin + litedramcore_bankmachine5_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine5_trccon_ready) begin + if (litedramcore_bankmachine5_cmd_ready) begin + litedramcore_bankmachine5_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine5_refresh_req)) begin + litedramcore_bankmachine5_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine5_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine5_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine5_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine5_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine4_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin + litedramcore_bankmachine5_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine4_row_opened) begin - if (main_litedramcore_bankmachine4_row_hit) begin - if (main_litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine4_req_rdata_valid <= main_litedramcore_bankmachine4_cmd_ready; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if ((litedramcore_bankmachine5_cmd_ready & litedramcore_bankmachine5_auto_precharge)) begin + litedramcore_bankmachine5_next_state <= 2'd2; end end else begin + litedramcore_bankmachine5_next_state <= 1'd1; end end else begin + litedramcore_bankmachine5_next_state <= 2'd3; end end end @@ -6975,8 +7547,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine4_refresh_gnt <= 1'd0; - case (builder_bankmachine4_state) + litedramcore_bankmachine5_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -6984,9 +7556,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine4_twtpcon_ready) begin - main_litedramcore_bankmachine4_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -6997,149 +7566,63 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine5_refresh_req) begin + end else begin + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine5_req_rdata_valid <= litedramcore_bankmachine5_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine5_req_valid; -assign main_litedramcore_bankmachine5_req_ready = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine5_req_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine5_req_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_valid = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine5_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_source_ready = (main_litedramcore_bankmachine5_req_wdata_ready | main_litedramcore_bankmachine5_req_rdata_valid); -assign main_litedramcore_bankmachine5_req_lock = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine5_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine5_row_hit = (main_litedramcore_bankmachine5_row == main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine5_cmd_payload_ba = 3'd5; -always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine5_row_col_n_addr_sel) begin - main_litedramcore_bankmachine5_cmd_payload_a <= main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7]; - end else begin - main_litedramcore_bankmachine5_cmd_payload_a <= ((main_litedramcore_bankmachine5_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine5_twtpcon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_cmd_payload_is_write); -assign main_litedramcore_bankmachine5_trccon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_row_open); -assign main_litedramcore_bankmachine5_trascon_valid = ((main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_ready) & main_litedramcore_bankmachine5_row_open); -always @(*) begin - main_litedramcore_bankmachine5_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine5_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine5_auto_precharge <= (main_litedramcore_bankmachine5_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign {main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = main_litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine5_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine5_cmd_buffer_source_valid) | main_litedramcore_bankmachine5_cmd_buffer_source_ready); always @(*) begin - builder_bankmachine5_next_state <= 4'd0; - builder_bankmachine5_next_state <= builder_bankmachine5_state; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - if (main_litedramcore_bankmachine5_cmd_ready) begin - builder_bankmachine5_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - builder_bankmachine5_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - if (main_litedramcore_bankmachine5_cmd_ready) begin - builder_bankmachine5_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine5_refresh_req)) begin - builder_bankmachine5_next_state <= 1'd0; + if (litedramcore_bankmachine5_twtpcon_ready) begin + litedramcore_bankmachine5_refresh_gnt <= 1'd1; end end 3'd5: begin - builder_bankmachine5_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine5_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine5_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine5_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin - builder_bankmachine5_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if ((main_litedramcore_bankmachine5_cmd_ready & main_litedramcore_bankmachine5_auto_precharge)) begin - builder_bankmachine5_next_state <= 2'd2; - end - end else begin - builder_bankmachine5_next_state <= 1'd1; - end - end else begin - builder_bankmachine5_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_valid <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; end end 3'd4: begin @@ -7153,12 +7636,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - main_litedramcore_bankmachine5_cmd_valid <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + litedramcore_bankmachine5_cmd_valid <= 1'd1; end else begin end end else begin @@ -7169,15 +7652,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_row_open <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_row_open <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_row_open <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_row_open <= 1'd1; end end 3'd4: begin @@ -7195,18 +7678,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_row_close <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_row_close <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; + litedramcore_bankmachine5_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; + litedramcore_bankmachine5_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine5_row_close <= 1'd1; + litedramcore_bankmachine5_row_close <= 1'd1; end 3'd5: begin end @@ -7221,8 +7704,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_cas <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7240,12 +7723,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - main_litedramcore_bankmachine5_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + litedramcore_bankmachine5_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -7256,18 +7739,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -7285,11 +7768,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -7307,13 +7790,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -7326,15 +7809,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -7352,22 +7835,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin - if ((main_litedramcore_bankmachine5_twtpcon_ready & main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine5_trccon_ready) begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine5_trccon_ready) begin + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -7382,8 +7865,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7401,14 +7884,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine5_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine5_cmd_payload_is_read <= 1'd1; end end else begin end @@ -7420,8 +7903,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7439,13 +7922,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -7458,8 +7941,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_req_wdata_ready <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine5_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine5_state) 1'd1: begin end 2'd2: begin @@ -7477,13 +7960,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine5_refresh_req) begin end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine5_req_wdata_ready <= main_litedramcore_bankmachine5_cmd_ready; + if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine5_row_opened) begin + if (litedramcore_bankmachine5_row_hit) begin + if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine5_req_wdata_ready <= litedramcore_bankmachine5_cmd_ready; end else begin end end else begin @@ -7495,38 +7978,127 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine5_req_rdata_valid <= 1'd0; - case (builder_bankmachine5_state) - 1'd1: begin +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine6_req_valid; +assign litedramcore_bankmachine6_req_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine6_req_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine6_req_addr; +assign litedramcore_bankmachine6_cmd_buffer_sink_valid = litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine6_cmd_buffer_sink_ready; +assign litedramcore_bankmachine6_cmd_buffer_sink_first = litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine6_cmd_buffer_sink_last = litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine6_cmd_buffer_sink_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_sink_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_source_ready = (litedramcore_bankmachine6_req_wdata_ready | litedramcore_bankmachine6_req_rdata_valid); +assign litedramcore_bankmachine6_req_lock = (litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine6_cmd_buffer_source_valid); +assign litedramcore_bankmachine6_row_hit = (litedramcore_bankmachine6_row == litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine6_cmd_payload_ba = 3'd6; +always @(*) begin + litedramcore_bankmachine6_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine6_row_col_n_addr_sel) begin + litedramcore_bankmachine6_cmd_payload_a <= litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7]; + end else begin + litedramcore_bankmachine6_cmd_payload_a <= ((litedramcore_bankmachine6_auto_precharge <<< 4'd10) | {litedramcore_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine6_twtpcon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_cmd_payload_is_write); +assign litedramcore_bankmachine6_trccon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_row_open); +assign litedramcore_bankmachine6_trascon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_row_open); +always @(*) begin + litedramcore_bankmachine6_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine6_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine6_auto_precharge <= (litedramcore_bankmachine6_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_first = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_last = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine6_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine6_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine6_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | litedramcore_bankmachine6_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine6_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine6_cmd_buffer_sink_ready = ((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine6_next_state <= 4'd0; + litedramcore_bankmachine6_next_state <= litedramcore_bankmachine6_state; + case (litedramcore_bankmachine6_state) + 1'd1: begin + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + if (litedramcore_bankmachine6_cmd_ready) begin + litedramcore_bankmachine6_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine6_trccon_ready) begin + if (litedramcore_bankmachine6_cmd_ready) begin + litedramcore_bankmachine6_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine6_refresh_req)) begin + litedramcore_bankmachine6_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine6_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine6_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine6_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine6_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine5_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin + litedramcore_bankmachine6_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine5_row_opened) begin - if (main_litedramcore_bankmachine5_row_hit) begin - if (main_litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine5_req_rdata_valid <= main_litedramcore_bankmachine5_cmd_ready; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if ((litedramcore_bankmachine6_cmd_ready & litedramcore_bankmachine6_auto_precharge)) begin + litedramcore_bankmachine6_next_state <= 2'd2; end end else begin + litedramcore_bankmachine6_next_state <= 1'd1; end end else begin + litedramcore_bankmachine6_next_state <= 2'd3; end end end @@ -7534,8 +8106,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine5_refresh_gnt <= 1'd0; - case (builder_bankmachine5_state) + litedramcore_bankmachine6_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -7543,9 +8115,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine5_twtpcon_ready) begin - main_litedramcore_bankmachine5_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -7556,149 +8125,63 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine6_refresh_req) begin + end else begin + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine6_req_rdata_valid <= litedramcore_bankmachine6_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine6_req_valid; -assign main_litedramcore_bankmachine6_req_ready = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine6_req_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine6_req_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_valid = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine6_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_source_ready = (main_litedramcore_bankmachine6_req_wdata_ready | main_litedramcore_bankmachine6_req_rdata_valid); -assign main_litedramcore_bankmachine6_req_lock = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine6_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine6_row_hit = (main_litedramcore_bankmachine6_row == main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine6_cmd_payload_ba = 3'd6; always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine6_row_col_n_addr_sel) begin - main_litedramcore_bankmachine6_cmd_payload_a <= main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7]; - end else begin - main_litedramcore_bankmachine6_cmd_payload_a <= ((main_litedramcore_bankmachine6_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine6_twtpcon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_cmd_payload_is_write); -assign main_litedramcore_bankmachine6_trccon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_row_open); -assign main_litedramcore_bankmachine6_trascon_valid = ((main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_ready) & main_litedramcore_bankmachine6_row_open); -always @(*) begin - main_litedramcore_bankmachine6_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine6_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine6_auto_precharge <= (main_litedramcore_bankmachine6_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign {main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = main_litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine6_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine6_cmd_buffer_source_valid) | main_litedramcore_bankmachine6_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine6_next_state <= 4'd0; - builder_bankmachine6_next_state <= builder_bankmachine6_state; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - if (main_litedramcore_bankmachine6_cmd_ready) begin - builder_bankmachine6_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - builder_bankmachine6_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - if (main_litedramcore_bankmachine6_cmd_ready) begin - builder_bankmachine6_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine6_refresh_req)) begin - builder_bankmachine6_next_state <= 1'd0; + if (litedramcore_bankmachine6_twtpcon_ready) begin + litedramcore_bankmachine6_refresh_gnt <= 1'd1; end end 3'd5: begin - builder_bankmachine6_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine6_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine6_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine6_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin - builder_bankmachine6_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if ((main_litedramcore_bankmachine6_cmd_ready & main_litedramcore_bankmachine6_auto_precharge)) begin - builder_bankmachine6_next_state <= 2'd2; - end - end else begin - builder_bankmachine6_next_state <= 1'd1; - end - end else begin - builder_bankmachine6_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_valid <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; end end 3'd4: begin @@ -7712,12 +8195,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - main_litedramcore_bankmachine6_cmd_valid <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + litedramcore_bankmachine6_cmd_valid <= 1'd1; end else begin end end else begin @@ -7728,15 +8211,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_row_open <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_open <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_row_open <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_row_open <= 1'd1; end end 3'd4: begin @@ -7754,18 +8237,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_row_close <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_close <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; + litedramcore_bankmachine6_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; + litedramcore_bankmachine6_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine6_row_close <= 1'd1; + litedramcore_bankmachine6_row_close <= 1'd1; end 3'd5: begin end @@ -7780,8 +8263,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_cas <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -7799,12 +8282,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - main_litedramcore_bankmachine6_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + litedramcore_bankmachine6_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -7815,18 +8298,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -7844,11 +8327,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -7866,13 +8349,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -7885,15 +8368,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -7911,22 +8394,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin - if ((main_litedramcore_bankmachine6_twtpcon_ready & main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine6_trccon_ready) begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine6_trccon_ready) begin + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; + litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -7941,8 +8424,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -7960,14 +8443,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin end else begin - main_litedramcore_bankmachine6_cmd_payload_is_read <= 1'd1; + litedramcore_bankmachine6_cmd_payload_is_read <= 1'd1; end end else begin end @@ -7979,8 +8462,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -7998,13 +8481,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -8017,8 +8500,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_req_wdata_ready <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine6_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine6_state) 1'd1: begin end 2'd2: begin @@ -8036,13 +8519,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine6_refresh_req) begin end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine6_req_wdata_ready <= main_litedramcore_bankmachine6_cmd_ready; + if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine6_row_opened) begin + if (litedramcore_bankmachine6_row_hit) begin + if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine6_req_wdata_ready <= litedramcore_bankmachine6_cmd_ready; end else begin end end else begin @@ -8054,38 +8537,127 @@ always @(*) begin end endcase end -always @(*) begin - main_litedramcore_bankmachine6_req_rdata_valid <= 1'd0; - case (builder_bankmachine6_state) - 1'd1: begin +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine7_req_valid; +assign litedramcore_bankmachine7_req_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine7_req_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine7_req_addr; +assign litedramcore_bankmachine7_cmd_buffer_sink_valid = litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine7_cmd_buffer_sink_ready; +assign litedramcore_bankmachine7_cmd_buffer_sink_first = litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; +assign litedramcore_bankmachine7_cmd_buffer_sink_last = litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; +assign litedramcore_bankmachine7_cmd_buffer_sink_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_sink_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_source_ready = (litedramcore_bankmachine7_req_wdata_ready | litedramcore_bankmachine7_req_rdata_valid); +assign litedramcore_bankmachine7_req_lock = (litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine7_cmd_buffer_source_valid); +assign litedramcore_bankmachine7_row_hit = (litedramcore_bankmachine7_row == litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7]); +assign litedramcore_bankmachine7_cmd_payload_ba = 3'd7; +always @(*) begin + litedramcore_bankmachine7_cmd_payload_a <= 14'd0; + if (litedramcore_bankmachine7_row_col_n_addr_sel) begin + litedramcore_bankmachine7_cmd_payload_a <= litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7]; + end else begin + litedramcore_bankmachine7_cmd_payload_a <= ((litedramcore_bankmachine7_auto_precharge <<< 4'd10) | {litedramcore_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); + end +end +assign litedramcore_bankmachine7_twtpcon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_cmd_payload_is_write); +assign litedramcore_bankmachine7_trccon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_row_open); +assign litedramcore_bankmachine7_trascon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_row_open); +always @(*) begin + litedramcore_bankmachine7_auto_precharge <= 1'd0; + if ((litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine7_cmd_buffer_source_valid)) begin + if ((litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7])) begin + litedramcore_bankmachine7_auto_precharge <= (litedramcore_bankmachine7_row_close == 1'd0); + end + end +end +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we}; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_first = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_last = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; +always @(*) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 4'd0; + if (litedramcore_bankmachine7_cmd_buffer_lookahead_replace) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine7_cmd_buffer_lookahead_produce - 1'd1); + end else begin + litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine7_cmd_buffer_lookahead_produce; + end +end +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | litedramcore_bankmachine7_cmd_buffer_lookahead_replace)); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine7_cmd_buffer_lookahead_consume; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 5'd16); +assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 1'd0); +assign litedramcore_bankmachine7_cmd_buffer_sink_ready = ((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready); +always @(*) begin + litedramcore_bankmachine7_next_state <= 4'd0; + litedramcore_bankmachine7_next_state <= litedramcore_bankmachine7_state; + case (litedramcore_bankmachine7_state) + 1'd1: begin + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + if (litedramcore_bankmachine7_cmd_ready) begin + litedramcore_bankmachine7_next_state <= 3'd5; + end + end end 2'd2: begin + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_next_state <= 3'd5; + end end 2'd3: begin + if (litedramcore_bankmachine7_trccon_ready) begin + if (litedramcore_bankmachine7_cmd_ready) begin + litedramcore_bankmachine7_next_state <= 3'd7; + end + end end 3'd4: begin + if ((~litedramcore_bankmachine7_refresh_req)) begin + litedramcore_bankmachine7_next_state <= 1'd0; + end end 3'd5: begin + litedramcore_bankmachine7_next_state <= 3'd6; end 3'd6: begin + litedramcore_bankmachine7_next_state <= 2'd3; end 3'd7: begin + litedramcore_bankmachine7_next_state <= 4'd8; end 4'd8: begin + litedramcore_bankmachine7_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine6_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin + litedramcore_bankmachine7_next_state <= 3'd4; end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine6_row_opened) begin - if (main_litedramcore_bankmachine6_row_hit) begin - if (main_litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine6_req_rdata_valid <= main_litedramcore_bankmachine6_cmd_ready; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if ((litedramcore_bankmachine7_cmd_ready & litedramcore_bankmachine7_auto_precharge)) begin + litedramcore_bankmachine7_next_state <= 2'd2; end end else begin + litedramcore_bankmachine7_next_state <= 1'd1; end end else begin + litedramcore_bankmachine7_next_state <= 2'd3; end end end @@ -8093,8 +8665,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine6_refresh_gnt <= 1'd0; - case (builder_bankmachine6_state) + litedramcore_bankmachine7_req_rdata_valid <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8102,9 +8674,6 @@ always @(*) begin 2'd3: begin end 3'd4: begin - if (main_litedramcore_bankmachine6_twtpcon_ready) begin - main_litedramcore_bankmachine6_refresh_gnt <= 1'd1; - end end 3'd5: begin end @@ -8115,149 +8684,63 @@ always @(*) begin 4'd8: begin end default: begin + if (litedramcore_bankmachine7_refresh_req) begin + end else begin + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + end else begin + litedramcore_bankmachine7_req_rdata_valid <= litedramcore_bankmachine7_cmd_ready; + end + end else begin + end + end else begin + end + end + end end endcase end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid = main_litedramcore_bankmachine7_req_valid; -assign main_litedramcore_bankmachine7_req_ready = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we = main_litedramcore_bankmachine7_req_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = main_litedramcore_bankmachine7_req_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_valid = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready = main_litedramcore_bankmachine7_cmd_buffer_sink_ready; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_source_ready = (main_litedramcore_bankmachine7_req_wdata_ready | main_litedramcore_bankmachine7_req_rdata_valid); -assign main_litedramcore_bankmachine7_req_lock = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid | main_litedramcore_bankmachine7_cmd_buffer_source_valid); -assign main_litedramcore_bankmachine7_row_hit = (main_litedramcore_bankmachine7_row == main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7]); -assign main_litedramcore_bankmachine7_cmd_payload_ba = 3'd7; -always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_a <= 14'd0; - if (main_litedramcore_bankmachine7_row_col_n_addr_sel) begin - main_litedramcore_bankmachine7_cmd_payload_a <= main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7]; - end else begin - main_litedramcore_bankmachine7_cmd_payload_a <= ((main_litedramcore_bankmachine7_auto_precharge <<< 4'd10) | {main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}}); - end -end -assign main_litedramcore_bankmachine7_twtpcon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_cmd_payload_is_write); -assign main_litedramcore_bankmachine7_trccon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_row_open); -assign main_litedramcore_bankmachine7_trascon_valid = ((main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_ready) & main_litedramcore_bankmachine7_row_open); always @(*) begin - main_litedramcore_bankmachine7_auto_precharge <= 1'd0; - if ((main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid & main_litedramcore_bankmachine7_cmd_buffer_source_valid)) begin - if ((main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr[20:7] != main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7])) begin - main_litedramcore_bankmachine7_auto_precharge <= (main_litedramcore_bankmachine7_row_close == 1'd0); - end - end -end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we}; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign {main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_first = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_last = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = main_litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready; -always @(*) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 4'd0; - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce - 1'd1); - end else begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce; - end -end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace)); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr = main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level != 5'd16); -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level != 1'd0); -assign main_litedramcore_bankmachine7_cmd_buffer_sink_ready = ((~main_litedramcore_bankmachine7_cmd_buffer_source_valid) | main_litedramcore_bankmachine7_cmd_buffer_source_ready); -always @(*) begin - builder_bankmachine7_next_state <= 4'd0; - builder_bankmachine7_next_state <= builder_bankmachine7_state; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_refresh_gnt <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - if (main_litedramcore_bankmachine7_cmd_ready) begin - builder_bankmachine7_next_state <= 3'd5; - end - end end 2'd2: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - builder_bankmachine7_next_state <= 3'd5; - end end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - if (main_litedramcore_bankmachine7_cmd_ready) begin - builder_bankmachine7_next_state <= 3'd7; - end - end end 3'd4: begin - if ((~main_litedramcore_bankmachine7_refresh_req)) begin - builder_bankmachine7_next_state <= 1'd0; + if (litedramcore_bankmachine7_twtpcon_ready) begin + litedramcore_bankmachine7_refresh_gnt <= 1'd1; end end 3'd5: begin - builder_bankmachine7_next_state <= 3'd6; end 3'd6: begin - builder_bankmachine7_next_state <= 2'd3; end 3'd7: begin - builder_bankmachine7_next_state <= 4'd8; end 4'd8: begin - builder_bankmachine7_next_state <= 1'd0; end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - builder_bankmachine7_next_state <= 3'd4; - end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if ((main_litedramcore_bankmachine7_cmd_ready & main_litedramcore_bankmachine7_auto_precharge)) begin - builder_bankmachine7_next_state <= 2'd2; - end - end else begin - builder_bankmachine7_next_state <= 1'd1; - end - end else begin - builder_bankmachine7_next_state <= 2'd3; - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_valid <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; end end 3'd4: begin @@ -8271,12 +8754,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - main_litedramcore_bankmachine7_cmd_valid <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + litedramcore_bankmachine7_cmd_valid <= 1'd1; end else begin end end else begin @@ -8287,15 +8770,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_row_open <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_open <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_row_open <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_row_open <= 1'd1; end end 3'd4: begin @@ -8313,18 +8796,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_row_close <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_close <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; + litedramcore_bankmachine7_row_close <= 1'd1; end 2'd2: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; + litedramcore_bankmachine7_row_close <= 1'd1; end 2'd3: begin end 3'd4: begin - main_litedramcore_bankmachine7_row_close <= 1'd1; + litedramcore_bankmachine7_row_close <= 1'd1; end 3'd5: begin end @@ -8339,8 +8822,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_cas <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_cas <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8358,12 +8841,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - main_litedramcore_bankmachine7_cmd_payload_cas <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + litedramcore_bankmachine7_cmd_payload_cas <= 1'd1; end else begin end end else begin @@ -8374,18 +8857,18 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_ras <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_payload_ras <= 1'd1; end end 3'd4: begin @@ -8403,11 +8886,11 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_we <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd1; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_we <= 1'd1; end end 2'd2: begin @@ -8425,13 +8908,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_cmd_payload_we <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_cmd_payload_we <= 1'd1; end else begin end end else begin @@ -8444,15 +8927,15 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd1; end end 3'd4: begin @@ -8470,45 +8953,22 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin - if ((main_litedramcore_bankmachine7_twtpcon_ready & main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; + if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; end end 2'd2: begin end 2'd3: begin - if (main_litedramcore_bankmachine7_trccon_ready) begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; + if (litedramcore_bankmachine7_trccon_ready) begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; end end 3'd4: begin - main_litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0; - case (builder_bankmachine7_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin + litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1; end 3'd5: begin end @@ -8519,27 +8979,12 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin - end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - end else begin - main_litedramcore_bankmachine7_cmd_payload_is_read <= 1'd1; - end - end else begin - end - end else begin - end - end - end end endcase end always @(*) begin - main_litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8557,14 +9002,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_cmd_payload_is_write <= 1'd1; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin end else begin + litedramcore_bankmachine7_cmd_payload_is_read <= 1'd1; end end else begin end @@ -8576,8 +9021,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_req_wdata_ready <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8595,13 +9040,13 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin - main_litedramcore_bankmachine7_req_wdata_ready <= main_litedramcore_bankmachine7_cmd_ready; + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_cmd_payload_is_write <= 1'd1; end else begin end end else begin @@ -8614,8 +9059,8 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_bankmachine7_req_rdata_valid <= 1'd0; - case (builder_bankmachine7_state) + litedramcore_bankmachine7_req_wdata_ready <= 1'd0; + case (litedramcore_bankmachine7_state) 1'd1: begin end 2'd2: begin @@ -8633,14 +9078,14 @@ always @(*) begin 4'd8: begin end default: begin - if (main_litedramcore_bankmachine7_refresh_req) begin + if (litedramcore_bankmachine7_refresh_req) begin end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_valid) begin - if (main_litedramcore_bankmachine7_row_opened) begin - if (main_litedramcore_bankmachine7_row_hit) begin - if (main_litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin + if (litedramcore_bankmachine7_row_opened) begin + if (litedramcore_bankmachine7_row_hit) begin + if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin + litedramcore_bankmachine7_req_wdata_ready <= litedramcore_bankmachine7_cmd_ready; end else begin - main_litedramcore_bankmachine7_req_rdata_valid <= main_litedramcore_bankmachine7_cmd_ready; end end else begin end @@ -8651,288 +9096,266 @@ always @(*) begin end endcase end +assign litedramcore_rdcmdphase = (a7ddrphy_rdphase_storage - 1'd1); +assign litedramcore_wrcmdphase = (a7ddrphy_wrphase_storage - 1'd1); +assign litedramcore_trrdcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))); +assign litedramcore_tfawcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))); +assign litedramcore_ras_allowed = (litedramcore_trrdcon_ready & litedramcore_tfawcon_ready); +assign litedramcore_tccdcon_valid = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_cmd_payload_is_write | litedramcore_choose_req_cmd_payload_is_read)); +assign litedramcore_cas_allowed = litedramcore_tccdcon_ready; +assign litedramcore_twtrcon_valid = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); +assign litedramcore_read_available = ((((((((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_payload_is_read) | (litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_payload_is_read)) | (litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_payload_is_read)) | (litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_payload_is_read)) | (litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_payload_is_read)) | (litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_payload_is_read)) | (litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_payload_is_read)) | (litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_payload_is_read)); +assign litedramcore_write_available = ((((((((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_payload_is_write) | (litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_payload_is_write)) | (litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_payload_is_write)) | (litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_payload_is_write)) | (litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_payload_is_write)) | (litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_payload_is_write)) | (litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_payload_is_write)) | (litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_payload_is_write)); +assign litedramcore_max_time0 = (litedramcore_time0 == 1'd0); +assign litedramcore_max_time1 = (litedramcore_time1 == 1'd0); +assign litedramcore_bankmachine0_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine1_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine2_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine3_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine4_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine5_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine6_refresh_req = litedramcore_cmd_valid; +assign litedramcore_bankmachine7_refresh_req = litedramcore_cmd_valid; +assign litedramcore_go_to_refresh = (((((((litedramcore_bankmachine0_refresh_gnt & litedramcore_bankmachine1_refresh_gnt) & litedramcore_bankmachine2_refresh_gnt) & litedramcore_bankmachine3_refresh_gnt) & litedramcore_bankmachine4_refresh_gnt) & litedramcore_bankmachine5_refresh_gnt) & litedramcore_bankmachine6_refresh_gnt) & litedramcore_bankmachine7_refresh_gnt); +assign litedramcore_interface_rdata = {litedramcore_dfi_p3_rddata, litedramcore_dfi_p2_rddata, litedramcore_dfi_p1_rddata, litedramcore_dfi_p0_rddata}; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata; +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); +assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we); always @(*) begin - main_litedramcore_bankmachine7_refresh_gnt <= 1'd0; - case (builder_bankmachine7_state) - 1'd1: begin - end - 2'd2: begin - end - 2'd3: begin - end - 3'd4: begin - if (main_litedramcore_bankmachine7_twtpcon_ready) begin - main_litedramcore_bankmachine7_refresh_gnt <= 1'd1; - end - end - 3'd5: begin - end - 3'd6: begin - end - 3'd7: begin - end - 4'd8: begin - end - default: begin - end - endcase -end -assign main_litedramcore_rdcmdphase = (main_a7ddrphy_rdphase_storage - 1'd1); -assign main_litedramcore_wrcmdphase = (main_a7ddrphy_wrphase_storage - 1'd1); -assign main_litedramcore_trrdcon_valid = ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & ((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))); -assign main_litedramcore_tfawcon_valid = ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & ((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))); -assign main_litedramcore_ras_allowed = (main_litedramcore_trrdcon_ready & main_litedramcore_tfawcon_ready); -assign main_litedramcore_tccdcon_valid = ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_cmd_payload_is_write | main_litedramcore_choose_req_cmd_payload_is_read)); -assign main_litedramcore_cas_allowed = main_litedramcore_tccdcon_ready; -assign main_litedramcore_twtrcon_valid = ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); -assign main_litedramcore_read_available = ((((((((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_payload_is_read) | (main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_payload_is_read)) | (main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_payload_is_read)) | (main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_payload_is_read)) | (main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_payload_is_read)) | (main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_payload_is_read)) | (main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_payload_is_read)) | (main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_payload_is_read)); -assign main_litedramcore_write_available = ((((((((main_litedramcore_bankmachine0_cmd_valid & main_litedramcore_bankmachine0_cmd_payload_is_write) | (main_litedramcore_bankmachine1_cmd_valid & main_litedramcore_bankmachine1_cmd_payload_is_write)) | (main_litedramcore_bankmachine2_cmd_valid & main_litedramcore_bankmachine2_cmd_payload_is_write)) | (main_litedramcore_bankmachine3_cmd_valid & main_litedramcore_bankmachine3_cmd_payload_is_write)) | (main_litedramcore_bankmachine4_cmd_valid & main_litedramcore_bankmachine4_cmd_payload_is_write)) | (main_litedramcore_bankmachine5_cmd_valid & main_litedramcore_bankmachine5_cmd_payload_is_write)) | (main_litedramcore_bankmachine6_cmd_valid & main_litedramcore_bankmachine6_cmd_payload_is_write)) | (main_litedramcore_bankmachine7_cmd_valid & main_litedramcore_bankmachine7_cmd_payload_is_write)); -assign main_litedramcore_max_time0 = (main_litedramcore_time0 == 1'd0); -assign main_litedramcore_max_time1 = (main_litedramcore_time1 == 1'd0); -assign main_litedramcore_bankmachine0_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine1_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine2_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine3_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine4_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine5_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine6_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_bankmachine7_refresh_req = main_litedramcore_cmd_valid; -assign main_litedramcore_go_to_refresh = (((((((main_litedramcore_bankmachine0_refresh_gnt & main_litedramcore_bankmachine1_refresh_gnt) & main_litedramcore_bankmachine2_refresh_gnt) & main_litedramcore_bankmachine3_refresh_gnt) & main_litedramcore_bankmachine4_refresh_gnt) & main_litedramcore_bankmachine5_refresh_gnt) & main_litedramcore_bankmachine6_refresh_gnt) & main_litedramcore_bankmachine7_refresh_gnt); -assign main_litedramcore_interface_rdata = {main_litedramcore_dfi_p3_rddata, main_litedramcore_dfi_p2_rddata, main_litedramcore_dfi_p1_rddata, main_litedramcore_dfi_p0_rddata}; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata, main_litedramcore_dfi_p2_wrdata, main_litedramcore_dfi_p1_wrdata, main_litedramcore_dfi_p0_wrdata} = main_litedramcore_interface_wdata; -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -assign {main_litedramcore_dfi_p3_wrdata_mask, main_litedramcore_dfi_p2_wrdata_mask, main_litedramcore_dfi_p1_wrdata_mask, main_litedramcore_dfi_p0_wrdata_mask} = (~main_litedramcore_interface_wdata_we); -always @(*) begin - main_litedramcore_choose_cmd_valids <= 8'd0; - main_litedramcore_choose_cmd_valids[0] <= (main_litedramcore_bankmachine0_cmd_valid & (((main_litedramcore_bankmachine0_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine0_cmd_payload_ras & (~main_litedramcore_bankmachine0_cmd_payload_cas)) & (~main_litedramcore_bankmachine0_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine0_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine0_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[1] <= (main_litedramcore_bankmachine1_cmd_valid & (((main_litedramcore_bankmachine1_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine1_cmd_payload_ras & (~main_litedramcore_bankmachine1_cmd_payload_cas)) & (~main_litedramcore_bankmachine1_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine1_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine1_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[2] <= (main_litedramcore_bankmachine2_cmd_valid & (((main_litedramcore_bankmachine2_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine2_cmd_payload_ras & (~main_litedramcore_bankmachine2_cmd_payload_cas)) & (~main_litedramcore_bankmachine2_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine2_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine2_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[3] <= (main_litedramcore_bankmachine3_cmd_valid & (((main_litedramcore_bankmachine3_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine3_cmd_payload_ras & (~main_litedramcore_bankmachine3_cmd_payload_cas)) & (~main_litedramcore_bankmachine3_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine3_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine3_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[4] <= (main_litedramcore_bankmachine4_cmd_valid & (((main_litedramcore_bankmachine4_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine4_cmd_payload_ras & (~main_litedramcore_bankmachine4_cmd_payload_cas)) & (~main_litedramcore_bankmachine4_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine4_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine4_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[5] <= (main_litedramcore_bankmachine5_cmd_valid & (((main_litedramcore_bankmachine5_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine5_cmd_payload_ras & (~main_litedramcore_bankmachine5_cmd_payload_cas)) & (~main_litedramcore_bankmachine5_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine5_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine5_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[6] <= (main_litedramcore_bankmachine6_cmd_valid & (((main_litedramcore_bankmachine6_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine6_cmd_payload_ras & (~main_litedramcore_bankmachine6_cmd_payload_cas)) & (~main_litedramcore_bankmachine6_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine6_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine6_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); - main_litedramcore_choose_cmd_valids[7] <= (main_litedramcore_bankmachine7_cmd_valid & (((main_litedramcore_bankmachine7_cmd_payload_is_cmd & main_litedramcore_choose_cmd_want_cmds) & ((~((main_litedramcore_bankmachine7_cmd_payload_ras & (~main_litedramcore_bankmachine7_cmd_payload_cas)) & (~main_litedramcore_bankmachine7_cmd_payload_we))) | main_litedramcore_choose_cmd_want_activates)) | ((main_litedramcore_bankmachine7_cmd_payload_is_read == main_litedramcore_choose_cmd_want_reads) & (main_litedramcore_bankmachine7_cmd_payload_is_write == main_litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids <= 8'd0; + litedramcore_choose_cmd_valids[0] <= (litedramcore_bankmachine0_cmd_valid & (((litedramcore_bankmachine0_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine0_cmd_payload_ras & (~litedramcore_bankmachine0_cmd_payload_cas)) & (~litedramcore_bankmachine0_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine0_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine0_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[1] <= (litedramcore_bankmachine1_cmd_valid & (((litedramcore_bankmachine1_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine1_cmd_payload_ras & (~litedramcore_bankmachine1_cmd_payload_cas)) & (~litedramcore_bankmachine1_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine1_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine1_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[2] <= (litedramcore_bankmachine2_cmd_valid & (((litedramcore_bankmachine2_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine2_cmd_payload_ras & (~litedramcore_bankmachine2_cmd_payload_cas)) & (~litedramcore_bankmachine2_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine2_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine2_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[3] <= (litedramcore_bankmachine3_cmd_valid & (((litedramcore_bankmachine3_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine3_cmd_payload_ras & (~litedramcore_bankmachine3_cmd_payload_cas)) & (~litedramcore_bankmachine3_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine3_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine3_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[4] <= (litedramcore_bankmachine4_cmd_valid & (((litedramcore_bankmachine4_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine4_cmd_payload_ras & (~litedramcore_bankmachine4_cmd_payload_cas)) & (~litedramcore_bankmachine4_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine4_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine4_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[5] <= (litedramcore_bankmachine5_cmd_valid & (((litedramcore_bankmachine5_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine5_cmd_payload_ras & (~litedramcore_bankmachine5_cmd_payload_cas)) & (~litedramcore_bankmachine5_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine5_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine5_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[6] <= (litedramcore_bankmachine6_cmd_valid & (((litedramcore_bankmachine6_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine6_cmd_payload_ras & (~litedramcore_bankmachine6_cmd_payload_cas)) & (~litedramcore_bankmachine6_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine6_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine6_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); + litedramcore_choose_cmd_valids[7] <= (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_cmd_want_writes)))); end -assign main_litedramcore_choose_cmd_request = main_litedramcore_choose_cmd_valids; -assign main_litedramcore_choose_cmd_cmd_valid = builder_rhs_array_muxed0; -assign main_litedramcore_choose_cmd_cmd_payload_a = builder_rhs_array_muxed1; -assign main_litedramcore_choose_cmd_cmd_payload_ba = builder_rhs_array_muxed2; -assign main_litedramcore_choose_cmd_cmd_payload_is_read = builder_rhs_array_muxed3; -assign main_litedramcore_choose_cmd_cmd_payload_is_write = builder_rhs_array_muxed4; -assign main_litedramcore_choose_cmd_cmd_payload_is_cmd = builder_rhs_array_muxed5; +assign litedramcore_choose_cmd_request = litedramcore_choose_cmd_valids; +assign litedramcore_choose_cmd_cmd_valid = rhs_array_muxed0; +assign litedramcore_choose_cmd_cmd_payload_a = rhs_array_muxed1; +assign litedramcore_choose_cmd_cmd_payload_ba = rhs_array_muxed2; +assign litedramcore_choose_cmd_cmd_payload_is_read = rhs_array_muxed3; +assign litedramcore_choose_cmd_cmd_payload_is_write = rhs_array_muxed4; +assign litedramcore_choose_cmd_cmd_payload_is_cmd = rhs_array_muxed5; always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_cas <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_cas <= builder_t_array_muxed0; + litedramcore_choose_cmd_cmd_payload_cas <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_cas <= t_array_muxed0; end end always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_ras <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_ras <= builder_t_array_muxed1; + litedramcore_choose_cmd_cmd_payload_ras <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_ras <= t_array_muxed1; end end always @(*) begin - main_litedramcore_choose_cmd_cmd_payload_we <= 1'd0; - if (main_litedramcore_choose_cmd_cmd_valid) begin - main_litedramcore_choose_cmd_cmd_payload_we <= builder_t_array_muxed2; + litedramcore_choose_cmd_cmd_payload_we <= 1'd0; + if (litedramcore_choose_cmd_cmd_valid) begin + litedramcore_choose_cmd_cmd_payload_we <= t_array_muxed2; end end always @(*) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 1'd0))) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd1; + litedramcore_bankmachine0_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 1'd0))) begin + litedramcore_bankmachine0_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 1'd0))) begin - main_litedramcore_bankmachine0_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 1'd0))) begin + litedramcore_bankmachine0_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 1'd1))) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd1; + litedramcore_bankmachine1_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 1'd1))) begin + litedramcore_bankmachine1_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 1'd1))) begin - main_litedramcore_bankmachine1_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 1'd1))) begin + litedramcore_bankmachine1_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 2'd2))) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd1; + litedramcore_bankmachine2_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 2'd2))) begin + litedramcore_bankmachine2_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 2'd2))) begin - main_litedramcore_bankmachine2_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 2'd2))) begin + litedramcore_bankmachine2_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 2'd3))) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd1; + litedramcore_bankmachine3_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 2'd3))) begin + litedramcore_bankmachine3_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 2'd3))) begin - main_litedramcore_bankmachine3_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 2'd3))) begin + litedramcore_bankmachine3_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd4))) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd1; + litedramcore_bankmachine4_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd4))) begin + litedramcore_bankmachine4_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd4))) begin - main_litedramcore_bankmachine4_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd4))) begin + litedramcore_bankmachine4_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd5))) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd1; + litedramcore_bankmachine5_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd5))) begin + litedramcore_bankmachine5_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd5))) begin - main_litedramcore_bankmachine5_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd5))) begin + litedramcore_bankmachine5_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd6))) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd1; + litedramcore_bankmachine6_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd6))) begin + litedramcore_bankmachine6_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd6))) begin - main_litedramcore_bankmachine6_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd6))) begin + litedramcore_bankmachine6_cmd_ready <= 1'd1; end end always @(*) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd0; - if (((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & (main_litedramcore_choose_cmd_grant == 3'd7))) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd1; + litedramcore_bankmachine7_cmd_ready <= 1'd0; + if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd7))) begin + litedramcore_bankmachine7_cmd_ready <= 1'd1; end - if (((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & (main_litedramcore_choose_req_grant == 3'd7))) begin - main_litedramcore_bankmachine7_cmd_ready <= 1'd1; + if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd7))) begin + litedramcore_bankmachine7_cmd_ready <= 1'd1; end end -assign main_litedramcore_choose_cmd_ce = (main_litedramcore_choose_cmd_cmd_ready | (~main_litedramcore_choose_cmd_cmd_valid)); +assign litedramcore_choose_cmd_ce = (litedramcore_choose_cmd_cmd_ready | (~litedramcore_choose_cmd_cmd_valid)); always @(*) begin - main_litedramcore_choose_req_valids <= 8'd0; - main_litedramcore_choose_req_valids[0] <= (main_litedramcore_bankmachine0_cmd_valid & (((main_litedramcore_bankmachine0_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine0_cmd_payload_ras & (~main_litedramcore_bankmachine0_cmd_payload_cas)) & (~main_litedramcore_bankmachine0_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine0_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine0_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[1] <= (main_litedramcore_bankmachine1_cmd_valid & (((main_litedramcore_bankmachine1_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine1_cmd_payload_ras & (~main_litedramcore_bankmachine1_cmd_payload_cas)) & (~main_litedramcore_bankmachine1_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine1_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine1_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[2] <= (main_litedramcore_bankmachine2_cmd_valid & (((main_litedramcore_bankmachine2_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine2_cmd_payload_ras & (~main_litedramcore_bankmachine2_cmd_payload_cas)) & (~main_litedramcore_bankmachine2_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine2_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine2_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[3] <= (main_litedramcore_bankmachine3_cmd_valid & (((main_litedramcore_bankmachine3_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine3_cmd_payload_ras & (~main_litedramcore_bankmachine3_cmd_payload_cas)) & (~main_litedramcore_bankmachine3_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine3_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine3_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[4] <= (main_litedramcore_bankmachine4_cmd_valid & (((main_litedramcore_bankmachine4_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine4_cmd_payload_ras & (~main_litedramcore_bankmachine4_cmd_payload_cas)) & (~main_litedramcore_bankmachine4_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine4_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine4_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[5] <= (main_litedramcore_bankmachine5_cmd_valid & (((main_litedramcore_bankmachine5_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine5_cmd_payload_ras & (~main_litedramcore_bankmachine5_cmd_payload_cas)) & (~main_litedramcore_bankmachine5_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine5_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine5_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[6] <= (main_litedramcore_bankmachine6_cmd_valid & (((main_litedramcore_bankmachine6_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine6_cmd_payload_ras & (~main_litedramcore_bankmachine6_cmd_payload_cas)) & (~main_litedramcore_bankmachine6_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine6_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine6_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); - main_litedramcore_choose_req_valids[7] <= (main_litedramcore_bankmachine7_cmd_valid & (((main_litedramcore_bankmachine7_cmd_payload_is_cmd & main_litedramcore_choose_req_want_cmds) & ((~((main_litedramcore_bankmachine7_cmd_payload_ras & (~main_litedramcore_bankmachine7_cmd_payload_cas)) & (~main_litedramcore_bankmachine7_cmd_payload_we))) | main_litedramcore_choose_req_want_activates)) | ((main_litedramcore_bankmachine7_cmd_payload_is_read == main_litedramcore_choose_req_want_reads) & (main_litedramcore_bankmachine7_cmd_payload_is_write == main_litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids <= 8'd0; + litedramcore_choose_req_valids[0] <= (litedramcore_bankmachine0_cmd_valid & (((litedramcore_bankmachine0_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine0_cmd_payload_ras & (~litedramcore_bankmachine0_cmd_payload_cas)) & (~litedramcore_bankmachine0_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine0_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine0_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[1] <= (litedramcore_bankmachine1_cmd_valid & (((litedramcore_bankmachine1_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine1_cmd_payload_ras & (~litedramcore_bankmachine1_cmd_payload_cas)) & (~litedramcore_bankmachine1_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine1_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine1_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[2] <= (litedramcore_bankmachine2_cmd_valid & (((litedramcore_bankmachine2_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine2_cmd_payload_ras & (~litedramcore_bankmachine2_cmd_payload_cas)) & (~litedramcore_bankmachine2_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine2_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine2_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[3] <= (litedramcore_bankmachine3_cmd_valid & (((litedramcore_bankmachine3_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine3_cmd_payload_ras & (~litedramcore_bankmachine3_cmd_payload_cas)) & (~litedramcore_bankmachine3_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine3_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine3_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[4] <= (litedramcore_bankmachine4_cmd_valid & (((litedramcore_bankmachine4_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine4_cmd_payload_ras & (~litedramcore_bankmachine4_cmd_payload_cas)) & (~litedramcore_bankmachine4_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine4_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine4_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[5] <= (litedramcore_bankmachine5_cmd_valid & (((litedramcore_bankmachine5_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine5_cmd_payload_ras & (~litedramcore_bankmachine5_cmd_payload_cas)) & (~litedramcore_bankmachine5_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine5_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine5_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[6] <= (litedramcore_bankmachine6_cmd_valid & (((litedramcore_bankmachine6_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine6_cmd_payload_ras & (~litedramcore_bankmachine6_cmd_payload_cas)) & (~litedramcore_bankmachine6_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine6_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine6_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); + litedramcore_choose_req_valids[7] <= (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_req_want_writes)))); end -assign main_litedramcore_choose_req_request = main_litedramcore_choose_req_valids; -assign main_litedramcore_choose_req_cmd_valid = builder_rhs_array_muxed6; -assign main_litedramcore_choose_req_cmd_payload_a = builder_rhs_array_muxed7; -assign main_litedramcore_choose_req_cmd_payload_ba = builder_rhs_array_muxed8; -assign main_litedramcore_choose_req_cmd_payload_is_read = builder_rhs_array_muxed9; -assign main_litedramcore_choose_req_cmd_payload_is_write = builder_rhs_array_muxed10; -assign main_litedramcore_choose_req_cmd_payload_is_cmd = builder_rhs_array_muxed11; +assign litedramcore_choose_req_request = litedramcore_choose_req_valids; +assign litedramcore_choose_req_cmd_valid = rhs_array_muxed6; +assign litedramcore_choose_req_cmd_payload_a = rhs_array_muxed7; +assign litedramcore_choose_req_cmd_payload_ba = rhs_array_muxed8; +assign litedramcore_choose_req_cmd_payload_is_read = rhs_array_muxed9; +assign litedramcore_choose_req_cmd_payload_is_write = rhs_array_muxed10; +assign litedramcore_choose_req_cmd_payload_is_cmd = rhs_array_muxed11; always @(*) begin - main_litedramcore_choose_req_cmd_payload_cas <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_cas <= builder_t_array_muxed3; + litedramcore_choose_req_cmd_payload_cas <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_cas <= t_array_muxed3; end end always @(*) begin - main_litedramcore_choose_req_cmd_payload_ras <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_ras <= builder_t_array_muxed4; + litedramcore_choose_req_cmd_payload_ras <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_ras <= t_array_muxed4; end end always @(*) begin - main_litedramcore_choose_req_cmd_payload_we <= 1'd0; - if (main_litedramcore_choose_req_cmd_valid) begin - main_litedramcore_choose_req_cmd_payload_we <= builder_t_array_muxed5; + litedramcore_choose_req_cmd_payload_we <= 1'd0; + if (litedramcore_choose_req_cmd_valid) begin + litedramcore_choose_req_cmd_payload_we <= t_array_muxed5; end end -assign main_litedramcore_choose_req_ce = (main_litedramcore_choose_req_cmd_ready | (~main_litedramcore_choose_req_cmd_valid)); -assign main_litedramcore_dfi_p0_reset_n = 1'd1; -assign main_litedramcore_dfi_p0_cke = {1{main_litedramcore_steerer0}}; -assign main_litedramcore_dfi_p0_odt = {1{main_litedramcore_steerer1}}; -assign main_litedramcore_dfi_p1_reset_n = 1'd1; -assign main_litedramcore_dfi_p1_cke = {1{main_litedramcore_steerer2}}; -assign main_litedramcore_dfi_p1_odt = {1{main_litedramcore_steerer3}}; -assign main_litedramcore_dfi_p2_reset_n = 1'd1; -assign main_litedramcore_dfi_p2_cke = {1{main_litedramcore_steerer4}}; -assign main_litedramcore_dfi_p2_odt = {1{main_litedramcore_steerer5}}; -assign main_litedramcore_dfi_p3_reset_n = 1'd1; -assign main_litedramcore_dfi_p3_cke = {1{main_litedramcore_steerer6}}; -assign main_litedramcore_dfi_p3_odt = {1{main_litedramcore_steerer7}}; -assign main_litedramcore_tfawcon_count = ((((main_litedramcore_tfawcon_window[0] + main_litedramcore_tfawcon_window[1]) + main_litedramcore_tfawcon_window[2]) + main_litedramcore_tfawcon_window[3]) + main_litedramcore_tfawcon_window[4]); +assign litedramcore_choose_req_ce = (litedramcore_choose_req_cmd_ready | (~litedramcore_choose_req_cmd_valid)); +assign litedramcore_dfi_p0_reset_n = 1'd1; +assign litedramcore_dfi_p0_cke = {1{litedramcore_steerer0}}; +assign litedramcore_dfi_p0_odt = {1{litedramcore_steerer1}}; +assign litedramcore_dfi_p1_reset_n = 1'd1; +assign litedramcore_dfi_p1_cke = {1{litedramcore_steerer2}}; +assign litedramcore_dfi_p1_odt = {1{litedramcore_steerer3}}; +assign litedramcore_dfi_p2_reset_n = 1'd1; +assign litedramcore_dfi_p2_cke = {1{litedramcore_steerer4}}; +assign litedramcore_dfi_p2_odt = {1{litedramcore_steerer5}}; +assign litedramcore_dfi_p3_reset_n = 1'd1; +assign litedramcore_dfi_p3_cke = {1{litedramcore_steerer6}}; +assign litedramcore_dfi_p3_odt = {1{litedramcore_steerer7}}; +assign litedramcore_tfawcon_count = ((((litedramcore_tfawcon_window[0] + litedramcore_tfawcon_window[1]) + litedramcore_tfawcon_window[2]) + litedramcore_tfawcon_window[3]) + litedramcore_tfawcon_window[4]); always @(*) begin - builder_multiplexer_next_state <= 4'd0; - builder_multiplexer_next_state <= builder_multiplexer_state; - case (builder_multiplexer_state) + litedramcore_multiplexer_next_state <= 4'd0; + litedramcore_multiplexer_next_state <= litedramcore_multiplexer_state; + case (litedramcore_multiplexer_state) 1'd1: begin - if (main_litedramcore_read_available) begin - if (((~main_litedramcore_write_available) | main_litedramcore_max_time1)) begin - builder_multiplexer_next_state <= 2'd3; + if (litedramcore_read_available) begin + if (((~litedramcore_write_available) | litedramcore_max_time1)) begin + litedramcore_multiplexer_next_state <= 2'd3; end end - if (main_litedramcore_go_to_refresh) begin - builder_multiplexer_next_state <= 2'd2; + if (litedramcore_go_to_refresh) begin + litedramcore_multiplexer_next_state <= 2'd2; end end 2'd2: begin - if (main_litedramcore_cmd_last) begin - builder_multiplexer_next_state <= 1'd0; + if (litedramcore_cmd_last) begin + litedramcore_multiplexer_next_state <= 1'd0; end end 2'd3: begin - if (main_litedramcore_twtrcon_ready) begin - builder_multiplexer_next_state <= 1'd0; + if (litedramcore_twtrcon_ready) begin + litedramcore_multiplexer_next_state <= 1'd0; end end 3'd4: begin - builder_multiplexer_next_state <= 3'd5; + litedramcore_multiplexer_next_state <= 3'd5; end 3'd5: begin - builder_multiplexer_next_state <= 3'd6; + litedramcore_multiplexer_next_state <= 3'd6; end 3'd6: begin - builder_multiplexer_next_state <= 3'd7; + litedramcore_multiplexer_next_state <= 3'd7; end 3'd7: begin - builder_multiplexer_next_state <= 4'd8; + litedramcore_multiplexer_next_state <= 4'd8; end 4'd8: begin - builder_multiplexer_next_state <= 4'd9; + litedramcore_multiplexer_next_state <= 4'd9; end 4'd9: begin - builder_multiplexer_next_state <= 4'd10; + litedramcore_multiplexer_next_state <= 4'd10; end 4'd10: begin - builder_multiplexer_next_state <= 1'd1; + litedramcore_multiplexer_next_state <= 1'd1; end default: begin - if (main_litedramcore_write_available) begin - if (((~main_litedramcore_read_available) | main_litedramcore_max_time0)) begin - builder_multiplexer_next_state <= 3'd4; + if (litedramcore_write_available) begin + if (((~litedramcore_read_available) | litedramcore_max_time0)) begin + litedramcore_multiplexer_next_state <= 3'd4; end end - if (main_litedramcore_go_to_refresh) begin - builder_multiplexer_next_state <= 2'd2; + if (litedramcore_go_to_refresh) begin + litedramcore_multiplexer_next_state <= 2'd2; end end endcase end always @(*) begin - main_litedramcore_choose_cmd_want_activates <= 1'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel0 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_want_activates <= main_litedramcore_ras_allowed; + litedramcore_steerer_sel0 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 1'd0)) begin + litedramcore_steerer_sel0 <= 2'd2; + end + if ((litedramcore_wrcmdphase == 1'd0)) begin + litedramcore_steerer_sel0 <= 1'd1; end end 2'd2: begin + litedramcore_steerer_sel0 <= 2'd3; end 2'd3: begin end @@ -8951,26 +9374,23 @@ always @(*) begin 4'd10: begin end default: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_want_activates <= main_litedramcore_ras_allowed; + litedramcore_steerer_sel0 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 1'd0)) begin + litedramcore_steerer_sel0 <= 2'd2; + end + if ((litedramcore_rdcmdphase == 1'd0)) begin + litedramcore_steerer_sel0 <= 1'd1; end end endcase end always @(*) begin - main_litedramcore_steerer_sel3 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel3 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 2'd2; - end - if ((main_litedramcore_wrcmdphase == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 1'd1; - end end 2'd2: begin + litedramcore_cmd_ready <= 1'd1; end 2'd3: begin end @@ -8989,20 +9409,20 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel3 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 2'd2; - end - if ((main_litedramcore_rdcmdphase == 2'd3)) begin - main_litedramcore_steerer_sel3 <= 1'd1; - end end endcase end always @(*) begin - main_litedramcore_en0 <= 1'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel1 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin + litedramcore_steerer_sel1 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 1'd1)) begin + litedramcore_steerer_sel1 <= 2'd2; + end + if ((litedramcore_wrcmdphase == 1'd1)) begin + litedramcore_steerer_sel1 <= 1'd1; + end end 2'd2: begin end @@ -9023,17 +9443,26 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_en0 <= 1'd1; + litedramcore_steerer_sel1 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 1'd1)) begin + litedramcore_steerer_sel1 <= 2'd2; + end + if ((litedramcore_rdcmdphase == 1'd1)) begin + litedramcore_steerer_sel1 <= 1'd1; + end end endcase end always @(*) begin - main_litedramcore_choose_cmd_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel2 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_cmd_ready <= ((~((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))) | main_litedramcore_ras_allowed); + litedramcore_steerer_sel2 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 2'd2)) begin + litedramcore_steerer_sel2 <= 2'd2; + end + if ((litedramcore_wrcmdphase == 2'd2)) begin + litedramcore_steerer_sel2 <= 1'd1; end end 2'd2: begin @@ -9055,17 +9484,24 @@ always @(*) begin 4'd10: begin end default: begin - if (1'd0) begin - end else begin - main_litedramcore_choose_cmd_cmd_ready <= ((~((main_litedramcore_choose_cmd_cmd_payload_ras & (~main_litedramcore_choose_cmd_cmd_payload_cas)) & (~main_litedramcore_choose_cmd_cmd_payload_we))) | main_litedramcore_ras_allowed); + litedramcore_steerer_sel2 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 2'd2)) begin + litedramcore_steerer_sel2 <= 2'd2; + end + if ((litedramcore_rdcmdphase == 2'd2)) begin + litedramcore_steerer_sel2 <= 1'd1; end end endcase end always @(*) begin - main_litedramcore_choose_req_want_reads <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_cmd_want_activates <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin + if (1'd0) begin + end else begin + litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed; + end end 2'd2: begin end @@ -9086,15 +9522,24 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_choose_req_want_reads <= 1'd1; + if (1'd0) begin + end else begin + litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed; + end end endcase end always @(*) begin - main_litedramcore_choose_req_want_writes <= 1'd0; - case (builder_multiplexer_state) + litedramcore_steerer_sel3 <= 2'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_choose_req_want_writes <= 1'd1; + litedramcore_steerer_sel3 <= 1'd0; + if ((a7ddrphy_wrphase_storage == 2'd3)) begin + litedramcore_steerer_sel3 <= 2'd2; + end + if ((litedramcore_wrcmdphase == 2'd3)) begin + litedramcore_steerer_sel3 <= 1'd1; + end end 2'd2: begin end @@ -9115,18 +9560,20 @@ always @(*) begin 4'd10: begin end default: begin + litedramcore_steerer_sel3 <= 1'd0; + if ((a7ddrphy_rdphase_storage == 2'd3)) begin + litedramcore_steerer_sel3 <= 2'd2; + end + if ((litedramcore_rdcmdphase == 2'd3)) begin + litedramcore_steerer_sel3 <= 1'd1; + end end endcase end always @(*) begin - main_litedramcore_choose_req_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_en0 <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - if (1'd0) begin - main_litedramcore_choose_req_cmd_ready <= (main_litedramcore_cas_allowed & ((~((main_litedramcore_choose_req_cmd_payload_ras & (~main_litedramcore_choose_req_cmd_payload_cas)) & (~main_litedramcore_choose_req_cmd_payload_we))) | main_litedramcore_ras_allowed)); - end else begin - main_litedramcore_choose_req_cmd_ready <= main_litedramcore_cas_allowed; - end end 2'd2: begin end @@ -9147,19 +9594,18 @@ always @(*) begin 4'd10: begin end default: begin - if (1'd0) begin - main_litedramcore_choose_req_cmd_ready <= (main_litedramcore_cas_allowed & ((~((main_litedramcore_choose_req_cmd_payload_ras & (~main_litedramcore_choose_req_cmd_payload_cas)) & (~main_litedramcore_choose_req_cmd_payload_we))) | main_litedramcore_ras_allowed)); - end else begin - main_litedramcore_choose_req_cmd_ready <= main_litedramcore_cas_allowed; - end + litedramcore_en0 <= 1'd1; end endcase end always @(*) begin - main_litedramcore_en1 <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_cmd_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_en1 <= 1'd1; + if (1'd0) begin + end else begin + litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed); + end end 2'd2: begin end @@ -9180,23 +9626,19 @@ always @(*) begin 4'd10: begin end default: begin + if (1'd0) begin + end else begin + litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed); + end end endcase end always @(*) begin - main_litedramcore_steerer_sel0 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_want_reads <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel0 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 2'd2; - end - if ((main_litedramcore_wrcmdphase == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 1'd1; - end end 2'd2: begin - main_litedramcore_steerer_sel0 <= 2'd3; end 2'd3: begin end @@ -9215,23 +9657,17 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel0 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 2'd2; - end - if ((main_litedramcore_rdcmdphase == 1'd0)) begin - main_litedramcore_steerer_sel0 <= 1'd1; - end + litedramcore_choose_req_want_reads <= 1'd1; end endcase end always @(*) begin - main_litedramcore_cmd_ready <= 1'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_want_writes <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin + litedramcore_choose_req_want_writes <= 1'd1; end 2'd2: begin - main_litedramcore_cmd_ready <= 1'd1; end 2'd3: begin end @@ -9254,15 +9690,13 @@ always @(*) begin endcase end always @(*) begin - main_litedramcore_steerer_sel1 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_choose_req_cmd_ready <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel1 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 2'd2; - end - if ((main_litedramcore_wrcmdphase == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 1'd1; + if (1'd0) begin + litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed)); + end else begin + litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed; end end 2'd2: begin @@ -9284,27 +9718,19 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel1 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 2'd2; - end - if ((main_litedramcore_rdcmdphase == 1'd1)) begin - main_litedramcore_steerer_sel1 <= 1'd1; + if (1'd0) begin + litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed)); + end else begin + litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed; end end endcase end always @(*) begin - main_litedramcore_steerer_sel2 <= 2'd0; - case (builder_multiplexer_state) + litedramcore_en1 <= 1'd0; + case (litedramcore_multiplexer_state) 1'd1: begin - main_litedramcore_steerer_sel2 <= 1'd0; - if ((main_a7ddrphy_wrphase_storage == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 2'd2; - end - if ((main_litedramcore_wrcmdphase == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 1'd1; - end + litedramcore_en1 <= 1'd1; end 2'd2: begin end @@ -9325,1994 +9751,2011 @@ always @(*) begin 4'd10: begin end default: begin - main_litedramcore_steerer_sel2 <= 1'd0; - if ((main_a7ddrphy_rdphase_storage == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 2'd2; - end - if ((main_litedramcore_rdcmdphase == 2'd2)) begin - main_litedramcore_steerer_sel2 <= 1'd1; - end end endcase end -assign builder_roundrobin0_request = {(((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin0_ce = ((~main_litedramcore_interface_bank0_valid) & (~main_litedramcore_interface_bank0_lock)); -assign main_litedramcore_interface_bank0_addr = builder_rhs_array_muxed12; -assign main_litedramcore_interface_bank0_we = builder_rhs_array_muxed13; -assign main_litedramcore_interface_bank0_valid = builder_rhs_array_muxed14; -assign builder_roundrobin1_request = {(((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin1_ce = ((~main_litedramcore_interface_bank1_valid) & (~main_litedramcore_interface_bank1_lock)); -assign main_litedramcore_interface_bank1_addr = builder_rhs_array_muxed15; -assign main_litedramcore_interface_bank1_we = builder_rhs_array_muxed16; -assign main_litedramcore_interface_bank1_valid = builder_rhs_array_muxed17; -assign builder_roundrobin2_request = {(((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin2_ce = ((~main_litedramcore_interface_bank2_valid) & (~main_litedramcore_interface_bank2_lock)); -assign main_litedramcore_interface_bank2_addr = builder_rhs_array_muxed18; -assign main_litedramcore_interface_bank2_we = builder_rhs_array_muxed19; -assign main_litedramcore_interface_bank2_valid = builder_rhs_array_muxed20; -assign builder_roundrobin3_request = {(((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin3_ce = ((~main_litedramcore_interface_bank3_valid) & (~main_litedramcore_interface_bank3_lock)); -assign main_litedramcore_interface_bank3_addr = builder_rhs_array_muxed21; -assign main_litedramcore_interface_bank3_we = builder_rhs_array_muxed22; -assign main_litedramcore_interface_bank3_valid = builder_rhs_array_muxed23; -assign builder_roundrobin4_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin4_ce = ((~main_litedramcore_interface_bank4_valid) & (~main_litedramcore_interface_bank4_lock)); -assign main_litedramcore_interface_bank4_addr = builder_rhs_array_muxed24; -assign main_litedramcore_interface_bank4_we = builder_rhs_array_muxed25; -assign main_litedramcore_interface_bank4_valid = builder_rhs_array_muxed26; -assign builder_roundrobin5_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin5_ce = ((~main_litedramcore_interface_bank5_valid) & (~main_litedramcore_interface_bank5_lock)); -assign main_litedramcore_interface_bank5_addr = builder_rhs_array_muxed27; -assign main_litedramcore_interface_bank5_we = builder_rhs_array_muxed28; -assign main_litedramcore_interface_bank5_valid = builder_rhs_array_muxed29; -assign builder_roundrobin6_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin6_ce = ((~main_litedramcore_interface_bank6_valid) & (~main_litedramcore_interface_bank6_lock)); -assign main_litedramcore_interface_bank6_addr = builder_rhs_array_muxed30; -assign main_litedramcore_interface_bank6_we = builder_rhs_array_muxed31; -assign main_litedramcore_interface_bank6_valid = builder_rhs_array_muxed32; -assign builder_roundrobin7_request = {(((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))))) & main_user_port_cmd_valid)}; -assign builder_roundrobin7_ce = ((~main_litedramcore_interface_bank7_valid) & (~main_litedramcore_interface_bank7_lock)); -assign main_litedramcore_interface_bank7_addr = builder_rhs_array_muxed33; -assign main_litedramcore_interface_bank7_we = builder_rhs_array_muxed34; -assign main_litedramcore_interface_bank7_valid = builder_rhs_array_muxed35; -assign main_user_port_cmd_ready = ((((((((1'd0 | (((builder_roundrobin0_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank0_ready)) | (((builder_roundrobin1_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank1_ready)) | (((builder_roundrobin2_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank2_ready)) | (((builder_roundrobin3_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank3_ready)) | (((builder_roundrobin4_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank4_ready)) | (((builder_roundrobin5_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank5_ready)) | (((builder_roundrobin6_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0)))))) & main_litedramcore_interface_bank6_ready)) | (((builder_roundrobin7_grant == 1'd0) & ((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0)))))) & main_litedramcore_interface_bank7_ready)); -assign main_user_port_wdata_ready = builder_new_master_wdata_ready1; -assign main_user_port_rdata_valid = builder_new_master_rdata_valid8; +assign litedramcore_roundrobin0_request = {(((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin0_ce = ((~litedramcore_interface_bank0_valid) & (~litedramcore_interface_bank0_lock)); +assign litedramcore_interface_bank0_addr = rhs_array_muxed12; +assign litedramcore_interface_bank0_we = rhs_array_muxed13; +assign litedramcore_interface_bank0_valid = rhs_array_muxed14; +assign litedramcore_roundrobin1_request = {(((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin1_ce = ((~litedramcore_interface_bank1_valid) & (~litedramcore_interface_bank1_lock)); +assign litedramcore_interface_bank1_addr = rhs_array_muxed15; +assign litedramcore_interface_bank1_we = rhs_array_muxed16; +assign litedramcore_interface_bank1_valid = rhs_array_muxed17; +assign litedramcore_roundrobin2_request = {(((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin2_ce = ((~litedramcore_interface_bank2_valid) & (~litedramcore_interface_bank2_lock)); +assign litedramcore_interface_bank2_addr = rhs_array_muxed18; +assign litedramcore_interface_bank2_we = rhs_array_muxed19; +assign litedramcore_interface_bank2_valid = rhs_array_muxed20; +assign litedramcore_roundrobin3_request = {(((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin3_ce = ((~litedramcore_interface_bank3_valid) & (~litedramcore_interface_bank3_lock)); +assign litedramcore_interface_bank3_addr = rhs_array_muxed21; +assign litedramcore_interface_bank3_we = rhs_array_muxed22; +assign litedramcore_interface_bank3_valid = rhs_array_muxed23; +assign litedramcore_roundrobin4_request = {(((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin4_ce = ((~litedramcore_interface_bank4_valid) & (~litedramcore_interface_bank4_lock)); +assign litedramcore_interface_bank4_addr = rhs_array_muxed24; +assign litedramcore_interface_bank4_we = rhs_array_muxed25; +assign litedramcore_interface_bank4_valid = rhs_array_muxed26; +assign litedramcore_roundrobin5_request = {(((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin5_ce = ((~litedramcore_interface_bank5_valid) & (~litedramcore_interface_bank5_lock)); +assign litedramcore_interface_bank5_addr = rhs_array_muxed27; +assign litedramcore_interface_bank5_we = rhs_array_muxed28; +assign litedramcore_interface_bank5_valid = rhs_array_muxed29; +assign litedramcore_roundrobin6_request = {(((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin6_ce = ((~litedramcore_interface_bank6_valid) & (~litedramcore_interface_bank6_lock)); +assign litedramcore_interface_bank6_addr = rhs_array_muxed30; +assign litedramcore_interface_bank6_we = rhs_array_muxed31; +assign litedramcore_interface_bank6_valid = rhs_array_muxed32; +assign litedramcore_roundrobin7_request = {(((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))))) & user_port_cmd_valid)}; +assign litedramcore_roundrobin7_ce = ((~litedramcore_interface_bank7_valid) & (~litedramcore_interface_bank7_lock)); +assign litedramcore_interface_bank7_addr = rhs_array_muxed33; +assign litedramcore_interface_bank7_we = rhs_array_muxed34; +assign litedramcore_interface_bank7_valid = rhs_array_muxed35; +assign user_port_cmd_ready = ((((((((1'd0 | (((litedramcore_roundrobin0_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank0_ready)) | (((litedramcore_roundrobin1_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank1_ready)) | (((litedramcore_roundrobin2_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank2_ready)) | (((litedramcore_roundrobin3_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank3_ready)) | (((litedramcore_roundrobin4_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank4_ready)) | (((litedramcore_roundrobin5_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank5_ready)) | (((litedramcore_roundrobin6_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank6_ready)) | (((litedramcore_roundrobin7_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0)))))) & litedramcore_interface_bank7_ready)); +assign user_port_wdata_ready = litedramcore_new_master_wdata_ready1; +assign user_port_rdata_valid = litedramcore_new_master_rdata_valid8; always @(*) begin - main_litedramcore_interface_wdata <= 128'd0; - case ({builder_new_master_wdata_ready1}) + litedramcore_interface_wdata <= 128'd0; + case ({litedramcore_new_master_wdata_ready1}) 1'd1: begin - main_litedramcore_interface_wdata <= main_user_port_wdata_payload_data; + litedramcore_interface_wdata <= user_port_wdata_payload_data; end default: begin - main_litedramcore_interface_wdata <= 1'd0; + litedramcore_interface_wdata <= 1'd0; end endcase end always @(*) begin - main_litedramcore_interface_wdata_we <= 16'd0; - case ({builder_new_master_wdata_ready1}) + litedramcore_interface_wdata_we <= 16'd0; + case ({litedramcore_new_master_wdata_ready1}) 1'd1: begin - main_litedramcore_interface_wdata_we <= main_user_port_wdata_payload_we; + litedramcore_interface_wdata_we <= user_port_wdata_payload_we; end default: begin - main_litedramcore_interface_wdata_we <= 1'd0; + litedramcore_interface_wdata_we <= 1'd0; end endcase end -assign main_user_port_rdata_payload_data = main_litedramcore_interface_rdata; -assign builder_roundrobin0_grant = 1'd0; -assign builder_roundrobin1_grant = 1'd0; -assign builder_roundrobin2_grant = 1'd0; -assign builder_roundrobin3_grant = 1'd0; -assign builder_roundrobin4_grant = 1'd0; -assign builder_roundrobin5_grant = 1'd0; -assign builder_roundrobin6_grant = 1'd0; -assign builder_roundrobin7_grant = 1'd0; +assign user_port_rdata_payload_data = litedramcore_interface_rdata; +assign litedramcore_roundrobin0_grant = 1'd0; +assign litedramcore_roundrobin1_grant = 1'd0; +assign litedramcore_roundrobin2_grant = 1'd0; +assign litedramcore_roundrobin3_grant = 1'd0; +assign litedramcore_roundrobin4_grant = 1'd0; +assign litedramcore_roundrobin5_grant = 1'd0; +assign litedramcore_roundrobin6_grant = 1'd0; +assign litedramcore_roundrobin7_grant = 1'd0; always @(*) begin - builder_next_state <= 2'd0; - builder_next_state <= builder_state; - case (builder_state) + litedramcore_next_state <= 2'd0; + litedramcore_next_state <= litedramcore_state; + case (litedramcore_state) 1'd1: begin - builder_next_state <= 2'd2; + litedramcore_next_state <= 2'd2; end 2'd2: begin - builder_next_state <= 1'd0; + litedramcore_next_state <= 1'd0; end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_next_state <= 1'd1; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_next_state <= 1'd1; end end endcase end always @(*) begin - builder_litedramcore_dat_w_next_value0 <= 32'd0; - case (builder_state) + litedramcore_we_next_value2 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_we_next_value2 <= 1'd0; end 2'd2: begin end default: begin - builder_litedramcore_dat_w_next_value0 <= builder_litedramcore_wishbone_dat_w; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_we_next_value2 <= (litedramcore_wishbone_we & (litedramcore_wishbone_sel != 1'd0)); + end end endcase end always @(*) begin - builder_litedramcore_dat_w_next_value_ce0 <= 1'd0; - case (builder_state) + litedramcore_we_next_value_ce2 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_we_next_value_ce2 <= 1'd1; end 2'd2: begin end default: begin - builder_litedramcore_dat_w_next_value_ce0 <= 1'd1; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_we_next_value_ce2 <= 1'd1; + end end endcase end always @(*) begin - builder_litedramcore_wishbone_ack <= 1'd0; - case (builder_state) + litedramcore_wishbone_ack <= 1'd0; + case (litedramcore_state) 1'd1: begin end 2'd2: begin - builder_litedramcore_wishbone_ack <= 1'd1; + litedramcore_wishbone_ack <= 1'd1; end default: begin end endcase end always @(*) begin - builder_litedramcore_adr_next_value1 <= 14'd0; - case (builder_state) + litedramcore_wishbone_dat_r <= 32'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_adr_next_value1 <= 1'd0; end 2'd2: begin + litedramcore_wishbone_dat_r <= litedramcore_dat_r; end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_adr_next_value1 <= builder_litedramcore_wishbone_adr; - end end endcase end always @(*) begin - builder_litedramcore_adr_next_value_ce1 <= 1'd0; - case (builder_state) + litedramcore_dat_w_next_value0 <= 32'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_adr_next_value_ce1 <= 1'd1; end 2'd2: begin end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_adr_next_value_ce1 <= 1'd1; - end + litedramcore_dat_w_next_value0 <= litedramcore_wishbone_dat_w; end endcase end always @(*) begin - builder_litedramcore_we_next_value2 <= 1'd0; - case (builder_state) + litedramcore_dat_w_next_value_ce0 <= 1'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_we_next_value2 <= 1'd0; end 2'd2: begin end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_we_next_value2 <= (builder_litedramcore_wishbone_we & (builder_litedramcore_wishbone_sel != 1'd0)); - end + litedramcore_dat_w_next_value_ce0 <= 1'd1; end endcase end always @(*) begin - builder_litedramcore_we_next_value_ce2 <= 1'd0; - case (builder_state) + litedramcore_adr_next_value1 <= 14'd0; + case (litedramcore_state) 1'd1: begin - builder_litedramcore_we_next_value_ce2 <= 1'd1; + litedramcore_adr_next_value1 <= 1'd0; end 2'd2: begin end default: begin - if ((builder_litedramcore_wishbone_cyc & builder_litedramcore_wishbone_stb)) begin - builder_litedramcore_we_next_value_ce2 <= 1'd1; + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_adr_next_value1 <= litedramcore_wishbone_adr; end end endcase end always @(*) begin - builder_litedramcore_wishbone_dat_r <= 32'd0; - case (builder_state) + litedramcore_adr_next_value_ce1 <= 1'd0; + case (litedramcore_state) 1'd1: begin + litedramcore_adr_next_value_ce1 <= 1'd1; end 2'd2: begin - builder_litedramcore_wishbone_dat_r <= builder_litedramcore_dat_r; end default: begin + if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin + litedramcore_adr_next_value_ce1 <= 1'd1; + end end endcase end -assign builder_litedramcore_wishbone_adr = main_wb_bus_adr; -assign builder_litedramcore_wishbone_dat_w = main_wb_bus_dat_w; -assign main_wb_bus_dat_r = builder_litedramcore_wishbone_dat_r; -assign builder_litedramcore_wishbone_sel = main_wb_bus_sel; -assign builder_litedramcore_wishbone_cyc = main_wb_bus_cyc; -assign builder_litedramcore_wishbone_stb = main_wb_bus_stb; -assign main_wb_bus_ack = builder_litedramcore_wishbone_ack; -assign builder_litedramcore_wishbone_we = main_wb_bus_we; -assign builder_litedramcore_wishbone_cti = main_wb_bus_cti; -assign builder_litedramcore_wishbone_bte = main_wb_bus_bte; -assign main_wb_bus_err = builder_litedramcore_wishbone_err; -assign builder_csrbank0_sel = (builder_interface0_bank_bus_adr[13:9] == 1'd0); -assign builder_csrbank0_init_done0_r = builder_interface0_bank_bus_dat_w[0]; +assign litedramcore_wishbone_adr = wb_bus_adr; +assign litedramcore_wishbone_dat_w = wb_bus_dat_w; +assign wb_bus_dat_r = litedramcore_wishbone_dat_r; +assign litedramcore_wishbone_sel = wb_bus_sel; +assign litedramcore_wishbone_cyc = wb_bus_cyc; +assign litedramcore_wishbone_stb = wb_bus_stb; +assign wb_bus_ack = litedramcore_wishbone_ack; +assign litedramcore_wishbone_we = wb_bus_we; +assign litedramcore_wishbone_cti = wb_bus_cti; +assign litedramcore_wishbone_bte = wb_bus_bte; +assign wb_bus_err = litedramcore_wishbone_err; +assign csrbank0_sel = (interface0_bank_bus_adr[13:9] == 1'd0); +assign csrbank0_init_done0_r = interface0_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank0_init_done0_we <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank0_init_done0_we <= (~builder_interface0_bank_bus_we); + csrbank0_init_done0_we <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd0))) begin + csrbank0_init_done0_we <= (~interface0_bank_bus_we); end end always @(*) begin - builder_csrbank0_init_done0_re <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank0_init_done0_re <= builder_interface0_bank_bus_we; + csrbank0_init_done0_re <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd0))) begin + csrbank0_init_done0_re <= interface0_bank_bus_we; end end -assign builder_csrbank0_init_error0_r = builder_interface0_bank_bus_dat_w[0]; +assign csrbank0_init_error0_r = interface0_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank0_init_error0_we <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank0_init_error0_we <= (~builder_interface0_bank_bus_we); + csrbank0_init_error0_re <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd1))) begin + csrbank0_init_error0_re <= interface0_bank_bus_we; end end always @(*) begin - builder_csrbank0_init_error0_re <= 1'd0; - if ((builder_csrbank0_sel & (builder_interface0_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank0_init_error0_re <= builder_interface0_bank_bus_we; + csrbank0_init_error0_we <= 1'd0; + if ((csrbank0_sel & (interface0_bank_bus_adr[8:0] == 1'd1))) begin + csrbank0_init_error0_we <= (~interface0_bank_bus_we); end end -assign builder_csrbank0_init_done0_w = main_init_done_storage; -assign builder_csrbank0_init_error0_w = main_init_error_storage; -assign builder_csrbank1_sel = (builder_interface1_bank_bus_adr[13:9] == 1'd1); -assign builder_csrbank1_rst0_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank0_init_done0_w = init_done_storage; +assign csrbank0_init_error0_w = init_error_storage; +assign csrbank1_sel = (interface1_bank_bus_adr[13:9] == 1'd1); +assign csrbank1_rst0_r = interface1_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank1_rst0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank1_rst0_we <= (~builder_interface1_bank_bus_we); + csrbank1_rst0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd0))) begin + csrbank1_rst0_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_rst0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank1_rst0_re <= builder_interface1_bank_bus_we; + csrbank1_rst0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd0))) begin + csrbank1_rst0_we <= (~interface1_bank_bus_we); end end -assign builder_csrbank1_half_sys8x_taps0_r = builder_interface1_bank_bus_dat_w[4:0]; +assign csrbank1_dly_sel0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - builder_csrbank1_half_sys8x_taps0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank1_half_sys8x_taps0_re <= builder_interface1_bank_bus_we; + csrbank1_dly_sel0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd1))) begin + csrbank1_dly_sel0_we <= (~interface1_bank_bus_we); end end always @(*) begin - builder_csrbank1_half_sys8x_taps0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank1_half_sys8x_taps0_we <= (~builder_interface1_bank_bus_we); + csrbank1_dly_sel0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 1'd1))) begin + csrbank1_dly_sel0_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_wlevel_en0_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank1_half_sys8x_taps0_r = interface1_bank_bus_dat_w[4:0]; always @(*) begin - builder_csrbank1_wlevel_en0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd2))) begin - builder_csrbank1_wlevel_en0_we <= (~builder_interface1_bank_bus_we); + csrbank1_half_sys8x_taps0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd2))) begin + csrbank1_half_sys8x_taps0_we <= (~interface1_bank_bus_we); end end always @(*) begin - builder_csrbank1_wlevel_en0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd2))) begin - builder_csrbank1_wlevel_en0_re <= builder_interface1_bank_bus_we; + csrbank1_half_sys8x_taps0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd2))) begin + csrbank1_half_sys8x_taps0_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_wlevel_strobe_r = builder_interface1_bank_bus_dat_w[0]; +assign csrbank1_wlevel_en0_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_wlevel_strobe_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd3))) begin - main_a7ddrphy_wlevel_strobe_we <= (~builder_interface1_bank_bus_we); + csrbank1_wlevel_en0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd3))) begin + csrbank1_wlevel_en0_re <= interface1_bank_bus_we; end end always @(*) begin - main_a7ddrphy_wlevel_strobe_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 2'd3))) begin - main_a7ddrphy_wlevel_strobe_re <= builder_interface1_bank_bus_we; + csrbank1_wlevel_en0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 2'd3))) begin + csrbank1_wlevel_en0_we <= (~interface1_bank_bus_we); end end -assign builder_csrbank1_dly_sel0_r = builder_interface1_bank_bus_dat_w[1:0]; +assign a7ddrphy_wlevel_strobe_r = interface1_bank_bus_dat_w[0]; always @(*) begin - builder_csrbank1_dly_sel0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank1_dly_sel0_re <= builder_interface1_bank_bus_we; + a7ddrphy_wlevel_strobe_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd4))) begin + a7ddrphy_wlevel_strobe_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_dly_sel0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank1_dly_sel0_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_wlevel_strobe_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd4))) begin + a7ddrphy_wlevel_strobe_we <= (~interface1_bank_bus_we); end end -assign main_a7ddrphy_rdly_dq_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd5))) begin - main_a7ddrphy_rdly_dq_rst_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd5))) begin + a7ddrphy_rdly_dq_rst_re <= interface1_bank_bus_we; end end always @(*) begin - main_a7ddrphy_rdly_dq_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd5))) begin - main_a7ddrphy_rdly_dq_rst_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd5))) begin + a7ddrphy_rdly_dq_rst_we <= (~interface1_bank_bus_we); end end -assign main_a7ddrphy_rdly_dq_inc_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_inc_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_inc_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd6))) begin - main_a7ddrphy_rdly_dq_inc_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_inc_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd6))) begin + a7ddrphy_rdly_dq_inc_re <= interface1_bank_bus_we; end end always @(*) begin - main_a7ddrphy_rdly_dq_inc_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd6))) begin - main_a7ddrphy_rdly_dq_inc_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_inc_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd6))) begin + a7ddrphy_rdly_dq_inc_we <= (~interface1_bank_bus_we); end end -assign main_a7ddrphy_rdly_dq_bitslip_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_bitslip_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd7))) begin - main_a7ddrphy_rdly_dq_bitslip_rst_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_bitslip_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd7))) begin + a7ddrphy_rdly_dq_bitslip_rst_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 3'd7))) begin - main_a7ddrphy_rdly_dq_bitslip_rst_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_bitslip_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 3'd7))) begin + a7ddrphy_rdly_dq_bitslip_rst_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_rdly_dq_bitslip_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_rdly_dq_bitslip_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd8))) begin - main_a7ddrphy_rdly_dq_bitslip_re <= builder_interface1_bank_bus_we; + a7ddrphy_rdly_dq_bitslip_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd8))) begin + a7ddrphy_rdly_dq_bitslip_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_rdly_dq_bitslip_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd8))) begin - main_a7ddrphy_rdly_dq_bitslip_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_rdly_dq_bitslip_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd8))) begin + a7ddrphy_rdly_dq_bitslip_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_wdly_dq_bitslip_rst_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_wdly_dq_bitslip_rst_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_rst_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd9))) begin - main_a7ddrphy_wdly_dq_bitslip_rst_re <= builder_interface1_bank_bus_we; + a7ddrphy_wdly_dq_bitslip_rst_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd9))) begin + a7ddrphy_wdly_dq_bitslip_rst_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_rst_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd9))) begin - main_a7ddrphy_wdly_dq_bitslip_rst_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_wdly_dq_bitslip_rst_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd9))) begin + a7ddrphy_wdly_dq_bitslip_rst_re <= interface1_bank_bus_we; end end -assign main_a7ddrphy_wdly_dq_bitslip_r = builder_interface1_bank_bus_dat_w[0]; +assign a7ddrphy_wdly_dq_bitslip_r = interface1_bank_bus_dat_w[0]; always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd10))) begin - main_a7ddrphy_wdly_dq_bitslip_re <= builder_interface1_bank_bus_we; + a7ddrphy_wdly_dq_bitslip_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd10))) begin + a7ddrphy_wdly_dq_bitslip_we <= (~interface1_bank_bus_we); end end always @(*) begin - main_a7ddrphy_wdly_dq_bitslip_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd10))) begin - main_a7ddrphy_wdly_dq_bitslip_we <= (~builder_interface1_bank_bus_we); + a7ddrphy_wdly_dq_bitslip_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd10))) begin + a7ddrphy_wdly_dq_bitslip_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_rdphase0_r = builder_interface1_bank_bus_dat_w[1:0]; +assign csrbank1_rdphase0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - builder_csrbank1_rdphase0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank1_rdphase0_re <= builder_interface1_bank_bus_we; + csrbank1_rdphase0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd11))) begin + csrbank1_rdphase0_we <= (~interface1_bank_bus_we); end end always @(*) begin - builder_csrbank1_rdphase0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank1_rdphase0_we <= (~builder_interface1_bank_bus_we); + csrbank1_rdphase0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd11))) begin + csrbank1_rdphase0_re <= interface1_bank_bus_we; end end -assign builder_csrbank1_wrphase0_r = builder_interface1_bank_bus_dat_w[1:0]; +assign csrbank1_wrphase0_r = interface1_bank_bus_dat_w[1:0]; always @(*) begin - builder_csrbank1_wrphase0_we <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank1_wrphase0_we <= (~builder_interface1_bank_bus_we); + csrbank1_wrphase0_re <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd12))) begin + csrbank1_wrphase0_re <= interface1_bank_bus_we; end end always @(*) begin - builder_csrbank1_wrphase0_re <= 1'd0; - if ((builder_csrbank1_sel & (builder_interface1_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank1_wrphase0_re <= builder_interface1_bank_bus_we; + csrbank1_wrphase0_we <= 1'd0; + if ((csrbank1_sel & (interface1_bank_bus_adr[8:0] == 4'd12))) begin + csrbank1_wrphase0_we <= (~interface1_bank_bus_we); end end -assign builder_csrbank1_rst0_w = main_a7ddrphy_rst_storage; -assign builder_csrbank1_half_sys8x_taps0_w = main_a7ddrphy_half_sys8x_taps_storage[4:0]; -assign builder_csrbank1_wlevel_en0_w = main_a7ddrphy_wlevel_en_storage; -assign builder_csrbank1_dly_sel0_w = main_a7ddrphy_dly_sel_storage[1:0]; -assign builder_csrbank1_rdphase0_w = main_a7ddrphy_rdphase_storage[1:0]; -assign builder_csrbank1_wrphase0_w = main_a7ddrphy_wrphase_storage[1:0]; -assign builder_csrbank2_sel = (builder_interface2_bank_bus_adr[13:9] == 2'd2); -assign builder_csrbank2_dfii_control0_r = builder_interface2_bank_bus_dat_w[3:0]; +assign csrbank1_rst0_w = a7ddrphy_rst_storage; +assign csrbank1_dly_sel0_w = a7ddrphy_dly_sel_storage[1:0]; +assign csrbank1_half_sys8x_taps0_w = a7ddrphy_half_sys8x_taps_storage[4:0]; +assign csrbank1_wlevel_en0_w = a7ddrphy_wlevel_en_storage; +assign csrbank1_rdphase0_w = a7ddrphy_rdphase_storage[1:0]; +assign csrbank1_wrphase0_w = a7ddrphy_wrphase_storage[1:0]; +assign csrbank2_sel = (interface2_bank_bus_adr[13:9] == 2'd2); +assign csrbank2_dfii_control0_r = interface2_bank_bus_dat_w[3:0]; always @(*) begin - builder_csrbank2_dfii_control0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank2_dfii_control0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_control0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd0))) begin + csrbank2_dfii_control0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_control0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd0))) begin - builder_csrbank2_dfii_control0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_control0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd0))) begin + csrbank2_dfii_control0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi0_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi0_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi0_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank2_dfii_pi0_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd1))) begin + csrbank2_dfii_pi0_command0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi0_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 1'd1))) begin - builder_csrbank2_dfii_pi0_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 1'd1))) begin + csrbank2_dfii_pi0_command0_we <= (~interface2_bank_bus_we); end end -assign main_litedramcore_phaseinjector0_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector0_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector0_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd2))) begin - main_litedramcore_phaseinjector0_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector0_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd2))) begin + litedramcore_phaseinjector0_command_issue_re <= interface2_bank_bus_we; end end always @(*) begin - main_litedramcore_phaseinjector0_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd2))) begin - main_litedramcore_phaseinjector0_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector0_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd2))) begin + litedramcore_phaseinjector0_command_issue_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi0_address0_r = builder_interface2_bank_bus_dat_w[13:0]; +assign csrbank2_dfii_pi0_address0_r = interface2_bank_bus_dat_w[13:0]; always @(*) begin - builder_csrbank2_dfii_pi0_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd3))) begin - builder_csrbank2_dfii_pi0_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd3))) begin + csrbank2_dfii_pi0_address0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi0_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 2'd3))) begin - builder_csrbank2_dfii_pi0_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 2'd3))) begin + csrbank2_dfii_pi0_address0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi0_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi0_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi0_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank2_dfii_pi0_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd4))) begin + csrbank2_dfii_pi0_baddress0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd4))) begin - builder_csrbank2_dfii_pi0_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd4))) begin + csrbank2_dfii_pi0_baddress0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi0_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi0_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi0_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd5))) begin - builder_csrbank2_dfii_pi0_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd5))) begin + csrbank2_dfii_pi0_wrdata0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi0_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd5))) begin - builder_csrbank2_dfii_pi0_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd5))) begin + csrbank2_dfii_pi0_wrdata0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi0_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi0_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi0_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd6))) begin - builder_csrbank2_dfii_pi0_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi0_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd6))) begin + csrbank2_dfii_pi0_rddata_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi0_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd6))) begin - builder_csrbank2_dfii_pi0_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi0_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd6))) begin + csrbank2_dfii_pi0_rddata_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi1_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi1_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd7))) begin - builder_csrbank2_dfii_pi1_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd7))) begin + csrbank2_dfii_pi1_command0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 3'd7))) begin - builder_csrbank2_dfii_pi1_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 3'd7))) begin + csrbank2_dfii_pi1_command0_re <= interface2_bank_bus_we; end end -assign main_litedramcore_phaseinjector1_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector1_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector1_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd8))) begin - main_litedramcore_phaseinjector1_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector1_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd8))) begin + litedramcore_phaseinjector1_command_issue_we <= (~interface2_bank_bus_we); end end always @(*) begin - main_litedramcore_phaseinjector1_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd8))) begin - main_litedramcore_phaseinjector1_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector1_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd8))) begin + litedramcore_phaseinjector1_command_issue_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_address0_r = builder_interface2_bank_bus_dat_w[13:0]; +assign csrbank2_dfii_pi1_address0_r = interface2_bank_bus_dat_w[13:0]; always @(*) begin - builder_csrbank2_dfii_pi1_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd9))) begin - builder_csrbank2_dfii_pi1_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd9))) begin + csrbank2_dfii_pi1_address0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi1_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd9))) begin - builder_csrbank2_dfii_pi1_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd9))) begin + csrbank2_dfii_pi1_address0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi1_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi1_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi1_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd10))) begin - builder_csrbank2_dfii_pi1_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd10))) begin + csrbank2_dfii_pi1_baddress0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd10))) begin - builder_csrbank2_dfii_pi1_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd10))) begin + csrbank2_dfii_pi1_baddress0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi1_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi1_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank2_dfii_pi1_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd11))) begin + csrbank2_dfii_pi1_wrdata0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi1_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd11))) begin - builder_csrbank2_dfii_pi1_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd11))) begin + csrbank2_dfii_pi1_wrdata0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi1_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi1_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi1_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank2_dfii_pi1_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi1_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd12))) begin + csrbank2_dfii_pi1_rddata_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi1_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd12))) begin - builder_csrbank2_dfii_pi1_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi1_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd12))) begin + csrbank2_dfii_pi1_rddata_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi2_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi2_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd13))) begin - builder_csrbank2_dfii_pi2_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd13))) begin + csrbank2_dfii_pi2_command0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi2_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd13))) begin - builder_csrbank2_dfii_pi2_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd13))) begin + csrbank2_dfii_pi2_command0_re <= interface2_bank_bus_we; end end -assign main_litedramcore_phaseinjector2_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector2_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector2_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd14))) begin - main_litedramcore_phaseinjector2_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector2_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd14))) begin + litedramcore_phaseinjector2_command_issue_we <= (~interface2_bank_bus_we); end end always @(*) begin - main_litedramcore_phaseinjector2_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd14))) begin - main_litedramcore_phaseinjector2_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector2_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd14))) begin + litedramcore_phaseinjector2_command_issue_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi2_address0_r = builder_interface2_bank_bus_dat_w[13:0]; +assign csrbank2_dfii_pi2_address0_r = interface2_bank_bus_dat_w[13:0]; always @(*) begin - builder_csrbank2_dfii_pi2_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd15))) begin - builder_csrbank2_dfii_pi2_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd15))) begin + csrbank2_dfii_pi2_address0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi2_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 4'd15))) begin - builder_csrbank2_dfii_pi2_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 4'd15))) begin + csrbank2_dfii_pi2_address0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi2_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi2_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi2_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd16))) begin - builder_csrbank2_dfii_pi2_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd16))) begin + csrbank2_dfii_pi2_baddress0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi2_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd16))) begin - builder_csrbank2_dfii_pi2_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd16))) begin + csrbank2_dfii_pi2_baddress0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi2_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi2_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi2_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd17))) begin - builder_csrbank2_dfii_pi2_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd17))) begin + csrbank2_dfii_pi2_wrdata0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi2_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd17))) begin - builder_csrbank2_dfii_pi2_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd17))) begin + csrbank2_dfii_pi2_wrdata0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi2_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi2_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi2_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd18))) begin - builder_csrbank2_dfii_pi2_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi2_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd18))) begin + csrbank2_dfii_pi2_rddata_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi2_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd18))) begin - builder_csrbank2_dfii_pi2_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi2_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd18))) begin + csrbank2_dfii_pi2_rddata_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_command0_r = builder_interface2_bank_bus_dat_w[5:0]; +assign csrbank2_dfii_pi3_command0_r = interface2_bank_bus_dat_w[5:0]; always @(*) begin - builder_csrbank2_dfii_pi3_command0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd19))) begin - builder_csrbank2_dfii_pi3_command0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_command0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd19))) begin + csrbank2_dfii_pi3_command0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_command0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd19))) begin - builder_csrbank2_dfii_pi3_command0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_command0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd19))) begin + csrbank2_dfii_pi3_command0_we <= (~interface2_bank_bus_we); end end -assign main_litedramcore_phaseinjector3_command_issue_r = builder_interface2_bank_bus_dat_w[0]; +assign litedramcore_phaseinjector3_command_issue_r = interface2_bank_bus_dat_w[0]; always @(*) begin - main_litedramcore_phaseinjector3_command_issue_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd20))) begin - main_litedramcore_phaseinjector3_command_issue_we <= (~builder_interface2_bank_bus_we); + litedramcore_phaseinjector3_command_issue_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd20))) begin + litedramcore_phaseinjector3_command_issue_re <= interface2_bank_bus_we; end end always @(*) begin - main_litedramcore_phaseinjector3_command_issue_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd20))) begin - main_litedramcore_phaseinjector3_command_issue_re <= builder_interface2_bank_bus_we; + litedramcore_phaseinjector3_command_issue_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd20))) begin + litedramcore_phaseinjector3_command_issue_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_address0_r = builder_interface2_bank_bus_dat_w[13:0]; +assign csrbank2_dfii_pi3_address0_r = interface2_bank_bus_dat_w[13:0]; always @(*) begin - builder_csrbank2_dfii_pi3_address0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd21))) begin - builder_csrbank2_dfii_pi3_address0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_address0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd21))) begin + csrbank2_dfii_pi3_address0_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi3_address0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd21))) begin - builder_csrbank2_dfii_pi3_address0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_address0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd21))) begin + csrbank2_dfii_pi3_address0_re <= interface2_bank_bus_we; end end -assign builder_csrbank2_dfii_pi3_baddress0_r = builder_interface2_bank_bus_dat_w[2:0]; +assign csrbank2_dfii_pi3_baddress0_r = interface2_bank_bus_dat_w[2:0]; always @(*) begin - builder_csrbank2_dfii_pi3_baddress0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd22))) begin - builder_csrbank2_dfii_pi3_baddress0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_baddress0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd22))) begin + csrbank2_dfii_pi3_baddress0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_baddress0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd22))) begin - builder_csrbank2_dfii_pi3_baddress0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_baddress0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd22))) begin + csrbank2_dfii_pi3_baddress0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_wrdata0_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi3_wrdata0_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi3_wrdata0_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd23))) begin - builder_csrbank2_dfii_pi3_wrdata0_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_wrdata0_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd23))) begin + csrbank2_dfii_pi3_wrdata0_re <= interface2_bank_bus_we; end end always @(*) begin - builder_csrbank2_dfii_pi3_wrdata0_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd23))) begin - builder_csrbank2_dfii_pi3_wrdata0_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_wrdata0_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd23))) begin + csrbank2_dfii_pi3_wrdata0_we <= (~interface2_bank_bus_we); end end -assign builder_csrbank2_dfii_pi3_rddata_r = builder_interface2_bank_bus_dat_w[31:0]; +assign csrbank2_dfii_pi3_rddata_r = interface2_bank_bus_dat_w[31:0]; always @(*) begin - builder_csrbank2_dfii_pi3_rddata_we <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd24))) begin - builder_csrbank2_dfii_pi3_rddata_we <= (~builder_interface2_bank_bus_we); + csrbank2_dfii_pi3_rddata_we <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd24))) begin + csrbank2_dfii_pi3_rddata_we <= (~interface2_bank_bus_we); end end always @(*) begin - builder_csrbank2_dfii_pi3_rddata_re <= 1'd0; - if ((builder_csrbank2_sel & (builder_interface2_bank_bus_adr[8:0] == 5'd24))) begin - builder_csrbank2_dfii_pi3_rddata_re <= builder_interface2_bank_bus_we; + csrbank2_dfii_pi3_rddata_re <= 1'd0; + if ((csrbank2_sel & (interface2_bank_bus_adr[8:0] == 5'd24))) begin + csrbank2_dfii_pi3_rddata_re <= interface2_bank_bus_we; end end -assign main_litedramcore_sel = main_litedramcore_storage[0]; -assign main_litedramcore_cke = main_litedramcore_storage[1]; -assign main_litedramcore_odt = main_litedramcore_storage[2]; -assign main_litedramcore_reset_n = main_litedramcore_storage[3]; -assign builder_csrbank2_dfii_control0_w = main_litedramcore_storage[3:0]; -assign builder_csrbank2_dfii_pi0_command0_w = main_litedramcore_phaseinjector0_command_storage[5:0]; -assign builder_csrbank2_dfii_pi0_address0_w = main_litedramcore_phaseinjector0_address_storage[13:0]; -assign builder_csrbank2_dfii_pi0_baddress0_w = main_litedramcore_phaseinjector0_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi0_wrdata0_w = main_litedramcore_phaseinjector0_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi0_rddata_w = main_litedramcore_phaseinjector0_rddata_status[31:0]; -assign main_litedramcore_phaseinjector0_rddata_we = builder_csrbank2_dfii_pi0_rddata_we; -assign builder_csrbank2_dfii_pi1_command0_w = main_litedramcore_phaseinjector1_command_storage[5:0]; -assign builder_csrbank2_dfii_pi1_address0_w = main_litedramcore_phaseinjector1_address_storage[13:0]; -assign builder_csrbank2_dfii_pi1_baddress0_w = main_litedramcore_phaseinjector1_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi1_wrdata0_w = main_litedramcore_phaseinjector1_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi1_rddata_w = main_litedramcore_phaseinjector1_rddata_status[31:0]; -assign main_litedramcore_phaseinjector1_rddata_we = builder_csrbank2_dfii_pi1_rddata_we; -assign builder_csrbank2_dfii_pi2_command0_w = main_litedramcore_phaseinjector2_command_storage[5:0]; -assign builder_csrbank2_dfii_pi2_address0_w = main_litedramcore_phaseinjector2_address_storage[13:0]; -assign builder_csrbank2_dfii_pi2_baddress0_w = main_litedramcore_phaseinjector2_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi2_wrdata0_w = main_litedramcore_phaseinjector2_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi2_rddata_w = main_litedramcore_phaseinjector2_rddata_status[31:0]; -assign main_litedramcore_phaseinjector2_rddata_we = builder_csrbank2_dfii_pi2_rddata_we; -assign builder_csrbank2_dfii_pi3_command0_w = main_litedramcore_phaseinjector3_command_storage[5:0]; -assign builder_csrbank2_dfii_pi3_address0_w = main_litedramcore_phaseinjector3_address_storage[13:0]; -assign builder_csrbank2_dfii_pi3_baddress0_w = main_litedramcore_phaseinjector3_baddress_storage[2:0]; -assign builder_csrbank2_dfii_pi3_wrdata0_w = main_litedramcore_phaseinjector3_wrdata_storage[31:0]; -assign builder_csrbank2_dfii_pi3_rddata_w = main_litedramcore_phaseinjector3_rddata_status[31:0]; -assign main_litedramcore_phaseinjector3_rddata_we = builder_csrbank2_dfii_pi3_rddata_we; -assign builder_csr_interconnect_adr = builder_litedramcore_adr; -assign builder_csr_interconnect_we = builder_litedramcore_we; -assign builder_csr_interconnect_dat_w = builder_litedramcore_dat_w; -assign builder_litedramcore_dat_r = builder_csr_interconnect_dat_r; -assign builder_interface0_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface1_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface2_bank_bus_adr = builder_csr_interconnect_adr; -assign builder_interface0_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface1_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface2_bank_bus_we = builder_csr_interconnect_we; -assign builder_interface0_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_interface1_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_interface2_bank_bus_dat_w = builder_csr_interconnect_dat_w; -assign builder_csr_interconnect_dat_r = ((builder_interface0_bank_bus_dat_r | builder_interface1_bank_bus_dat_r) | builder_interface2_bank_bus_dat_r); -always @(*) begin - builder_rhs_array_muxed0 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) +assign litedramcore_sel = litedramcore_storage[0]; +assign litedramcore_cke = litedramcore_storage[1]; +assign litedramcore_odt = litedramcore_storage[2]; +assign litedramcore_reset_n = litedramcore_storage[3]; +assign csrbank2_dfii_control0_w = litedramcore_storage[3:0]; +assign litedramcore_phaseinjector0_csrfield_cs = litedramcore_phaseinjector0_command_storage[0]; +assign litedramcore_phaseinjector0_csrfield_we = litedramcore_phaseinjector0_command_storage[1]; +assign litedramcore_phaseinjector0_csrfield_cas = litedramcore_phaseinjector0_command_storage[2]; +assign litedramcore_phaseinjector0_csrfield_ras = litedramcore_phaseinjector0_command_storage[3]; +assign litedramcore_phaseinjector0_csrfield_wren = litedramcore_phaseinjector0_command_storage[4]; +assign litedramcore_phaseinjector0_csrfield_rden = litedramcore_phaseinjector0_command_storage[5]; +assign csrbank2_dfii_pi0_command0_w = litedramcore_phaseinjector0_command_storage[5:0]; +assign csrbank2_dfii_pi0_address0_w = litedramcore_phaseinjector0_address_storage[13:0]; +assign csrbank2_dfii_pi0_baddress0_w = litedramcore_phaseinjector0_baddress_storage[2:0]; +assign csrbank2_dfii_pi0_wrdata0_w = litedramcore_phaseinjector0_wrdata_storage[31:0]; +assign csrbank2_dfii_pi0_rddata_w = litedramcore_phaseinjector0_rddata_status[31:0]; +assign litedramcore_phaseinjector0_rddata_we = csrbank2_dfii_pi0_rddata_we; +assign litedramcore_phaseinjector1_csrfield_cs = litedramcore_phaseinjector1_command_storage[0]; +assign litedramcore_phaseinjector1_csrfield_we = litedramcore_phaseinjector1_command_storage[1]; +assign litedramcore_phaseinjector1_csrfield_cas = litedramcore_phaseinjector1_command_storage[2]; +assign litedramcore_phaseinjector1_csrfield_ras = litedramcore_phaseinjector1_command_storage[3]; +assign litedramcore_phaseinjector1_csrfield_wren = litedramcore_phaseinjector1_command_storage[4]; +assign litedramcore_phaseinjector1_csrfield_rden = litedramcore_phaseinjector1_command_storage[5]; +assign csrbank2_dfii_pi1_command0_w = litedramcore_phaseinjector1_command_storage[5:0]; +assign csrbank2_dfii_pi1_address0_w = litedramcore_phaseinjector1_address_storage[13:0]; +assign csrbank2_dfii_pi1_baddress0_w = litedramcore_phaseinjector1_baddress_storage[2:0]; +assign csrbank2_dfii_pi1_wrdata0_w = litedramcore_phaseinjector1_wrdata_storage[31:0]; +assign csrbank2_dfii_pi1_rddata_w = litedramcore_phaseinjector1_rddata_status[31:0]; +assign litedramcore_phaseinjector1_rddata_we = csrbank2_dfii_pi1_rddata_we; +assign litedramcore_phaseinjector2_csrfield_cs = litedramcore_phaseinjector2_command_storage[0]; +assign litedramcore_phaseinjector2_csrfield_we = litedramcore_phaseinjector2_command_storage[1]; +assign litedramcore_phaseinjector2_csrfield_cas = litedramcore_phaseinjector2_command_storage[2]; +assign litedramcore_phaseinjector2_csrfield_ras = litedramcore_phaseinjector2_command_storage[3]; +assign litedramcore_phaseinjector2_csrfield_wren = litedramcore_phaseinjector2_command_storage[4]; +assign litedramcore_phaseinjector2_csrfield_rden = litedramcore_phaseinjector2_command_storage[5]; +assign csrbank2_dfii_pi2_command0_w = litedramcore_phaseinjector2_command_storage[5:0]; +assign csrbank2_dfii_pi2_address0_w = litedramcore_phaseinjector2_address_storage[13:0]; +assign csrbank2_dfii_pi2_baddress0_w = litedramcore_phaseinjector2_baddress_storage[2:0]; +assign csrbank2_dfii_pi2_wrdata0_w = litedramcore_phaseinjector2_wrdata_storage[31:0]; +assign csrbank2_dfii_pi2_rddata_w = litedramcore_phaseinjector2_rddata_status[31:0]; +assign litedramcore_phaseinjector2_rddata_we = csrbank2_dfii_pi2_rddata_we; +assign litedramcore_phaseinjector3_csrfield_cs = litedramcore_phaseinjector3_command_storage[0]; +assign litedramcore_phaseinjector3_csrfield_we = litedramcore_phaseinjector3_command_storage[1]; +assign litedramcore_phaseinjector3_csrfield_cas = litedramcore_phaseinjector3_command_storage[2]; +assign litedramcore_phaseinjector3_csrfield_ras = litedramcore_phaseinjector3_command_storage[3]; +assign litedramcore_phaseinjector3_csrfield_wren = litedramcore_phaseinjector3_command_storage[4]; +assign litedramcore_phaseinjector3_csrfield_rden = litedramcore_phaseinjector3_command_storage[5]; +assign csrbank2_dfii_pi3_command0_w = litedramcore_phaseinjector3_command_storage[5:0]; +assign csrbank2_dfii_pi3_address0_w = litedramcore_phaseinjector3_address_storage[13:0]; +assign csrbank2_dfii_pi3_baddress0_w = litedramcore_phaseinjector3_baddress_storage[2:0]; +assign csrbank2_dfii_pi3_wrdata0_w = litedramcore_phaseinjector3_wrdata_storage[31:0]; +assign csrbank2_dfii_pi3_rddata_w = litedramcore_phaseinjector3_rddata_status[31:0]; +assign litedramcore_phaseinjector3_rddata_we = csrbank2_dfii_pi3_rddata_we; +assign csr_interconnect_adr = litedramcore_adr; +assign csr_interconnect_we = litedramcore_we; +assign csr_interconnect_dat_w = litedramcore_dat_w; +assign litedramcore_dat_r = csr_interconnect_dat_r; +assign interface0_bank_bus_adr = csr_interconnect_adr; +assign interface1_bank_bus_adr = csr_interconnect_adr; +assign interface2_bank_bus_adr = csr_interconnect_adr; +assign interface0_bank_bus_we = csr_interconnect_we; +assign interface1_bank_bus_we = csr_interconnect_we; +assign interface2_bank_bus_we = csr_interconnect_we; +assign interface0_bank_bus_dat_w = csr_interconnect_dat_w; +assign interface1_bank_bus_dat_w = csr_interconnect_dat_w; +assign interface2_bank_bus_dat_w = csr_interconnect_dat_w; +assign csr_interconnect_dat_r = ((interface0_bank_bus_dat_r | interface1_bank_bus_dat_r) | interface2_bank_bus_dat_r); +always @(*) begin + rhs_array_muxed0 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[0]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[0]; end 1'd1: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[1]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[1]; end 2'd2: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[2]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[2]; end 2'd3: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[3]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[3]; end 3'd4: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[4]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[4]; end 3'd5: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[5]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[5]; end 3'd6: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[6]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[6]; end default: begin - builder_rhs_array_muxed0 <= main_litedramcore_choose_cmd_valids[7]; + rhs_array_muxed0 <= litedramcore_choose_cmd_valids[7]; end endcase end always @(*) begin - builder_rhs_array_muxed1 <= 14'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed1 <= 14'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine0_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine0_cmd_payload_a; end 1'd1: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine1_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine1_cmd_payload_a; end 2'd2: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine2_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine2_cmd_payload_a; end 2'd3: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine3_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine3_cmd_payload_a; end 3'd4: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine4_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine4_cmd_payload_a; end 3'd5: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine5_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine5_cmd_payload_a; end 3'd6: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine6_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine6_cmd_payload_a; end default: begin - builder_rhs_array_muxed1 <= main_litedramcore_bankmachine7_cmd_payload_a; + rhs_array_muxed1 <= litedramcore_bankmachine7_cmd_payload_a; end endcase end always @(*) begin - builder_rhs_array_muxed2 <= 3'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed2 <= 3'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine0_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine0_cmd_payload_ba; end 1'd1: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine1_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine1_cmd_payload_ba; end 2'd2: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine2_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine2_cmd_payload_ba; end 2'd3: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine3_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine3_cmd_payload_ba; end 3'd4: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine4_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine4_cmd_payload_ba; end 3'd5: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine5_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine5_cmd_payload_ba; end 3'd6: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine6_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine6_cmd_payload_ba; end default: begin - builder_rhs_array_muxed2 <= main_litedramcore_bankmachine7_cmd_payload_ba; + rhs_array_muxed2 <= litedramcore_bankmachine7_cmd_payload_ba; end endcase end always @(*) begin - builder_rhs_array_muxed3 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed3 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine0_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine0_cmd_payload_is_read; end 1'd1: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine1_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine1_cmd_payload_is_read; end 2'd2: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine2_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine2_cmd_payload_is_read; end 2'd3: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine3_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine3_cmd_payload_is_read; end 3'd4: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine4_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine4_cmd_payload_is_read; end 3'd5: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine5_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine5_cmd_payload_is_read; end 3'd6: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine6_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine6_cmd_payload_is_read; end default: begin - builder_rhs_array_muxed3 <= main_litedramcore_bankmachine7_cmd_payload_is_read; + rhs_array_muxed3 <= litedramcore_bankmachine7_cmd_payload_is_read; end endcase end always @(*) begin - builder_rhs_array_muxed4 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed4 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine0_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine0_cmd_payload_is_write; end 1'd1: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine1_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine1_cmd_payload_is_write; end 2'd2: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine2_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine2_cmd_payload_is_write; end 2'd3: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine3_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine3_cmd_payload_is_write; end 3'd4: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine4_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine4_cmd_payload_is_write; end 3'd5: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine5_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine5_cmd_payload_is_write; end 3'd6: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine6_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine6_cmd_payload_is_write; end default: begin - builder_rhs_array_muxed4 <= main_litedramcore_bankmachine7_cmd_payload_is_write; + rhs_array_muxed4 <= litedramcore_bankmachine7_cmd_payload_is_write; end endcase end always @(*) begin - builder_rhs_array_muxed5 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + rhs_array_muxed5 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine0_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine0_cmd_payload_is_cmd; end 1'd1: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine1_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine1_cmd_payload_is_cmd; end 2'd2: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine2_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine2_cmd_payload_is_cmd; end 2'd3: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine3_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine3_cmd_payload_is_cmd; end 3'd4: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine4_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine4_cmd_payload_is_cmd; end 3'd5: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine5_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine5_cmd_payload_is_cmd; end 3'd6: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine6_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine6_cmd_payload_is_cmd; end default: begin - builder_rhs_array_muxed5 <= main_litedramcore_bankmachine7_cmd_payload_is_cmd; + rhs_array_muxed5 <= litedramcore_bankmachine7_cmd_payload_is_cmd; end endcase end always @(*) begin - builder_t_array_muxed0 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed0 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine0_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine0_cmd_payload_cas; end 1'd1: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine1_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine1_cmd_payload_cas; end 2'd2: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine2_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine2_cmd_payload_cas; end 2'd3: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine3_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine3_cmd_payload_cas; end 3'd4: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine4_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine4_cmd_payload_cas; end 3'd5: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine5_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine5_cmd_payload_cas; end 3'd6: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine6_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine6_cmd_payload_cas; end default: begin - builder_t_array_muxed0 <= main_litedramcore_bankmachine7_cmd_payload_cas; + t_array_muxed0 <= litedramcore_bankmachine7_cmd_payload_cas; end endcase end always @(*) begin - builder_t_array_muxed1 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed1 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine0_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine0_cmd_payload_ras; end 1'd1: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine1_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine1_cmd_payload_ras; end 2'd2: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine2_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine2_cmd_payload_ras; end 2'd3: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine3_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine3_cmd_payload_ras; end 3'd4: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine4_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine4_cmd_payload_ras; end 3'd5: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine5_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine5_cmd_payload_ras; end 3'd6: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine6_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine6_cmd_payload_ras; end default: begin - builder_t_array_muxed1 <= main_litedramcore_bankmachine7_cmd_payload_ras; + t_array_muxed1 <= litedramcore_bankmachine7_cmd_payload_ras; end endcase end always @(*) begin - builder_t_array_muxed2 <= 1'd0; - case (main_litedramcore_choose_cmd_grant) + t_array_muxed2 <= 1'd0; + case (litedramcore_choose_cmd_grant) 1'd0: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine0_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine0_cmd_payload_we; end 1'd1: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine1_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine1_cmd_payload_we; end 2'd2: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine2_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine2_cmd_payload_we; end 2'd3: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine3_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine3_cmd_payload_we; end 3'd4: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine4_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine4_cmd_payload_we; end 3'd5: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine5_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine5_cmd_payload_we; end 3'd6: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine6_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine6_cmd_payload_we; end default: begin - builder_t_array_muxed2 <= main_litedramcore_bankmachine7_cmd_payload_we; + t_array_muxed2 <= litedramcore_bankmachine7_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed6 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed6 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[0]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[0]; end 1'd1: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[1]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[1]; end 2'd2: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[2]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[2]; end 2'd3: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[3]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[3]; end 3'd4: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[4]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[4]; end 3'd5: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[5]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[5]; end 3'd6: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[6]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[6]; end default: begin - builder_rhs_array_muxed6 <= main_litedramcore_choose_req_valids[7]; + rhs_array_muxed6 <= litedramcore_choose_req_valids[7]; end endcase end always @(*) begin - builder_rhs_array_muxed7 <= 14'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed7 <= 14'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine0_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine0_cmd_payload_a; end 1'd1: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine1_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine1_cmd_payload_a; end 2'd2: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine2_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine2_cmd_payload_a; end 2'd3: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine3_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine3_cmd_payload_a; end 3'd4: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine4_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine4_cmd_payload_a; end 3'd5: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine5_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine5_cmd_payload_a; end 3'd6: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine6_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine6_cmd_payload_a; end default: begin - builder_rhs_array_muxed7 <= main_litedramcore_bankmachine7_cmd_payload_a; + rhs_array_muxed7 <= litedramcore_bankmachine7_cmd_payload_a; end endcase end always @(*) begin - builder_rhs_array_muxed8 <= 3'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed8 <= 3'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine0_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine0_cmd_payload_ba; end 1'd1: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine1_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine1_cmd_payload_ba; end 2'd2: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine2_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine2_cmd_payload_ba; end 2'd3: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine3_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine3_cmd_payload_ba; end 3'd4: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine4_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine4_cmd_payload_ba; end 3'd5: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine5_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine5_cmd_payload_ba; end 3'd6: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine6_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine6_cmd_payload_ba; end default: begin - builder_rhs_array_muxed8 <= main_litedramcore_bankmachine7_cmd_payload_ba; + rhs_array_muxed8 <= litedramcore_bankmachine7_cmd_payload_ba; end endcase end always @(*) begin - builder_rhs_array_muxed9 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed9 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine0_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine0_cmd_payload_is_read; end 1'd1: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine1_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine1_cmd_payload_is_read; end 2'd2: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine2_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine2_cmd_payload_is_read; end 2'd3: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine3_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine3_cmd_payload_is_read; end 3'd4: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine4_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine4_cmd_payload_is_read; end 3'd5: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine5_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine5_cmd_payload_is_read; end 3'd6: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine6_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine6_cmd_payload_is_read; end default: begin - builder_rhs_array_muxed9 <= main_litedramcore_bankmachine7_cmd_payload_is_read; + rhs_array_muxed9 <= litedramcore_bankmachine7_cmd_payload_is_read; end endcase end always @(*) begin - builder_rhs_array_muxed10 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed10 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine0_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine0_cmd_payload_is_write; end 1'd1: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine1_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine1_cmd_payload_is_write; end 2'd2: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine2_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine2_cmd_payload_is_write; end 2'd3: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine3_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine3_cmd_payload_is_write; end 3'd4: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine4_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine4_cmd_payload_is_write; end 3'd5: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine5_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine5_cmd_payload_is_write; end 3'd6: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine6_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine6_cmd_payload_is_write; end default: begin - builder_rhs_array_muxed10 <= main_litedramcore_bankmachine7_cmd_payload_is_write; + rhs_array_muxed10 <= litedramcore_bankmachine7_cmd_payload_is_write; end endcase end always @(*) begin - builder_rhs_array_muxed11 <= 1'd0; - case (main_litedramcore_choose_req_grant) + rhs_array_muxed11 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine0_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine0_cmd_payload_is_cmd; end 1'd1: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine1_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine1_cmd_payload_is_cmd; end 2'd2: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine2_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine2_cmd_payload_is_cmd; end 2'd3: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine3_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine3_cmd_payload_is_cmd; end 3'd4: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine4_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine4_cmd_payload_is_cmd; end 3'd5: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine5_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine5_cmd_payload_is_cmd; end 3'd6: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine6_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine6_cmd_payload_is_cmd; end default: begin - builder_rhs_array_muxed11 <= main_litedramcore_bankmachine7_cmd_payload_is_cmd; + rhs_array_muxed11 <= litedramcore_bankmachine7_cmd_payload_is_cmd; end endcase end always @(*) begin - builder_t_array_muxed3 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed3 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine0_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine0_cmd_payload_cas; end 1'd1: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine1_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine1_cmd_payload_cas; end 2'd2: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine2_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine2_cmd_payload_cas; end 2'd3: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine3_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine3_cmd_payload_cas; end 3'd4: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine4_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine4_cmd_payload_cas; end 3'd5: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine5_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine5_cmd_payload_cas; end 3'd6: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine6_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine6_cmd_payload_cas; end default: begin - builder_t_array_muxed3 <= main_litedramcore_bankmachine7_cmd_payload_cas; + t_array_muxed3 <= litedramcore_bankmachine7_cmd_payload_cas; end endcase end always @(*) begin - builder_t_array_muxed4 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed4 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine0_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine0_cmd_payload_ras; end 1'd1: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine1_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine1_cmd_payload_ras; end 2'd2: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine2_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine2_cmd_payload_ras; end 2'd3: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine3_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine3_cmd_payload_ras; end 3'd4: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine4_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine4_cmd_payload_ras; end 3'd5: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine5_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine5_cmd_payload_ras; end 3'd6: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine6_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine6_cmd_payload_ras; end default: begin - builder_t_array_muxed4 <= main_litedramcore_bankmachine7_cmd_payload_ras; + t_array_muxed4 <= litedramcore_bankmachine7_cmd_payload_ras; end endcase end always @(*) begin - builder_t_array_muxed5 <= 1'd0; - case (main_litedramcore_choose_req_grant) + t_array_muxed5 <= 1'd0; + case (litedramcore_choose_req_grant) 1'd0: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine0_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine0_cmd_payload_we; end 1'd1: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine1_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine1_cmd_payload_we; end 2'd2: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine2_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine2_cmd_payload_we; end 2'd3: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine3_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine3_cmd_payload_we; end 3'd4: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine4_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine4_cmd_payload_we; end 3'd5: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine5_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine5_cmd_payload_we; end 3'd6: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine6_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine6_cmd_payload_we; end default: begin - builder_t_array_muxed5 <= main_litedramcore_bankmachine7_cmd_payload_we; + t_array_muxed5 <= litedramcore_bankmachine7_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed12 <= 21'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed12 <= 21'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed12 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed12 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed13 <= 1'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed13 <= 1'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed13 <= main_user_port_cmd_payload_we; + rhs_array_muxed13 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed14 <= 1'd0; - case (builder_roundrobin0_grant) + rhs_array_muxed14 <= 1'd0; + case (litedramcore_roundrobin0_grant) default: begin - builder_rhs_array_muxed14 <= (((main_user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((builder_locked0 | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed14 <= (((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((litedramcore_locked0 | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed15 <= 21'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed15 <= 21'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed15 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed15 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed16 <= 1'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed16 <= 1'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed16 <= main_user_port_cmd_payload_we; + rhs_array_muxed16 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed17 <= 1'd0; - case (builder_roundrobin1_grant) + rhs_array_muxed17 <= 1'd0; + case (litedramcore_roundrobin1_grant) default: begin - builder_rhs_array_muxed17 <= (((main_user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((builder_locked1 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed17 <= (((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((litedramcore_locked1 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed18 <= 21'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed18 <= 21'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed18 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed18 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed19 <= 1'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed19 <= 1'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed19 <= main_user_port_cmd_payload_we; + rhs_array_muxed19 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed20 <= 1'd0; - case (builder_roundrobin2_grant) + rhs_array_muxed20 <= 1'd0; + case (litedramcore_roundrobin2_grant) default: begin - builder_rhs_array_muxed20 <= (((main_user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((builder_locked2 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed20 <= (((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((litedramcore_locked2 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed21 <= 21'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed21 <= 21'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed21 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed21 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed22 <= 1'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed22 <= 1'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed22 <= main_user_port_cmd_payload_we; + rhs_array_muxed22 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed23 <= 1'd0; - case (builder_roundrobin3_grant) + rhs_array_muxed23 <= 1'd0; + case (litedramcore_roundrobin3_grant) default: begin - builder_rhs_array_muxed23 <= (((main_user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((builder_locked3 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed23 <= (((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((litedramcore_locked3 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed24 <= 21'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed24 <= 21'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed24 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed24 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed25 <= 1'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed25 <= 1'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed25 <= main_user_port_cmd_payload_we; + rhs_array_muxed25 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed26 <= 1'd0; - case (builder_roundrobin4_grant) + rhs_array_muxed26 <= 1'd0; + case (litedramcore_roundrobin4_grant) default: begin - builder_rhs_array_muxed26 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((builder_locked4 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed26 <= (((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((litedramcore_locked4 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed27 <= 21'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed27 <= 21'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed27 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed27 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed28 <= 1'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed28 <= 1'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed28 <= main_user_port_cmd_payload_we; + rhs_array_muxed28 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed29 <= 1'd0; - case (builder_roundrobin5_grant) + rhs_array_muxed29 <= 1'd0; + case (litedramcore_roundrobin5_grant) default: begin - builder_rhs_array_muxed29 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((builder_locked5 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed29 <= (((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((litedramcore_locked5 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed30 <= 21'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed30 <= 21'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed30 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed30 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed31 <= 1'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed31 <= 1'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed31 <= main_user_port_cmd_payload_we; + rhs_array_muxed31 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed32 <= 1'd0; - case (builder_roundrobin6_grant) + rhs_array_muxed32 <= 1'd0; + case (litedramcore_roundrobin6_grant) default: begin - builder_rhs_array_muxed32 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((builder_locked6 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank7_lock & (builder_roundrobin7_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed32 <= (((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((litedramcore_locked6 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (litedramcore_roundrobin7_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_rhs_array_muxed33 <= 21'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed33 <= 21'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed33 <= {main_user_port_cmd_payload_addr[23:10], main_user_port_cmd_payload_addr[6:0]}; + rhs_array_muxed33 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]}; end endcase end always @(*) begin - builder_rhs_array_muxed34 <= 1'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed34 <= 1'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed34 <= main_user_port_cmd_payload_we; + rhs_array_muxed34 <= user_port_cmd_payload_we; end endcase end always @(*) begin - builder_rhs_array_muxed35 <= 1'd0; - case (builder_roundrobin7_grant) + rhs_array_muxed35 <= 1'd0; + case (litedramcore_roundrobin7_grant) default: begin - builder_rhs_array_muxed35 <= (((main_user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((builder_locked7 | (main_litedramcore_interface_bank0_lock & (builder_roundrobin0_grant == 1'd0))) | (main_litedramcore_interface_bank1_lock & (builder_roundrobin1_grant == 1'd0))) | (main_litedramcore_interface_bank2_lock & (builder_roundrobin2_grant == 1'd0))) | (main_litedramcore_interface_bank3_lock & (builder_roundrobin3_grant == 1'd0))) | (main_litedramcore_interface_bank4_lock & (builder_roundrobin4_grant == 1'd0))) | (main_litedramcore_interface_bank5_lock & (builder_roundrobin5_grant == 1'd0))) | (main_litedramcore_interface_bank6_lock & (builder_roundrobin6_grant == 1'd0))))) & main_user_port_cmd_valid); + rhs_array_muxed35 <= (((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((litedramcore_locked7 | (litedramcore_interface_bank0_lock & (litedramcore_roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (litedramcore_roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (litedramcore_roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (litedramcore_roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (litedramcore_roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (litedramcore_roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (litedramcore_roundrobin6_grant == 1'd0))))) & user_port_cmd_valid); end endcase end always @(*) begin - builder_array_muxed0 <= 3'd0; - case (main_litedramcore_steerer_sel0) + array_muxed0 <= 3'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed0 <= main_litedramcore_nop_ba[2:0]; + array_muxed0 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed0 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed0 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed0 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed0 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed1 <= 14'd0; - case (main_litedramcore_steerer_sel0) + array_muxed1 <= 14'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed1 <= main_litedramcore_nop_a; + array_muxed1 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed1 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed1 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed1 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed1 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed1 <= main_litedramcore_cmd_payload_a; + array_muxed1 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed2 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed2 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed2 <= 1'd0; + array_muxed2 <= 1'd0; end 1'd1: begin - builder_array_muxed2 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed2 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed2 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed2 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed2 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed2 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed3 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed3 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed3 <= 1'd0; + array_muxed3 <= 1'd0; end 1'd1: begin - builder_array_muxed3 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed3 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed3 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed3 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed3 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed3 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed4 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed4 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed4 <= 1'd0; + array_muxed4 <= 1'd0; end 1'd1: begin - builder_array_muxed4 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed4 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed4 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed4 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed4 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed4 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed5 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed5 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed5 <= 1'd0; + array_muxed5 <= 1'd0; end 1'd1: begin - builder_array_muxed5 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed5 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed5 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed5 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed6 <= 1'd0; - case (main_litedramcore_steerer_sel0) + array_muxed6 <= 1'd0; + case (litedramcore_steerer_sel0) 1'd0: begin - builder_array_muxed6 <= 1'd0; + array_muxed6 <= 1'd0; end 1'd1: begin - builder_array_muxed6 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed6 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed6 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed6 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed7 <= 3'd0; - case (main_litedramcore_steerer_sel1) + array_muxed7 <= 3'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed7 <= main_litedramcore_nop_ba[2:0]; + array_muxed7 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed7 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed7 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed7 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed7 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed8 <= 14'd0; - case (main_litedramcore_steerer_sel1) + array_muxed8 <= 14'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed8 <= main_litedramcore_nop_a; + array_muxed8 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed8 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed8 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed8 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed8 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed8 <= main_litedramcore_cmd_payload_a; + array_muxed8 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed9 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed9 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed9 <= 1'd0; + array_muxed9 <= 1'd0; end 1'd1: begin - builder_array_muxed9 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed9 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed9 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed9 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed9 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed9 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed10 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed10 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed10 <= 1'd0; + array_muxed10 <= 1'd0; end 1'd1: begin - builder_array_muxed10 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed10 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed10 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed10 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed10 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed10 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed11 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed11 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed11 <= 1'd0; + array_muxed11 <= 1'd0; end 1'd1: begin - builder_array_muxed11 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed11 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed11 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed11 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed11 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed11 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed12 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed12 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed12 <= 1'd0; + array_muxed12 <= 1'd0; end 1'd1: begin - builder_array_muxed12 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed12 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed12 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed12 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed13 <= 1'd0; - case (main_litedramcore_steerer_sel1) + array_muxed13 <= 1'd0; + case (litedramcore_steerer_sel1) 1'd0: begin - builder_array_muxed13 <= 1'd0; + array_muxed13 <= 1'd0; end 1'd1: begin - builder_array_muxed13 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed13 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed13 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed13 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed14 <= 3'd0; - case (main_litedramcore_steerer_sel2) + array_muxed14 <= 3'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed14 <= main_litedramcore_nop_ba[2:0]; + array_muxed14 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed14 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed14 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed14 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed14 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed15 <= 14'd0; - case (main_litedramcore_steerer_sel2) + array_muxed15 <= 14'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed15 <= main_litedramcore_nop_a; + array_muxed15 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed15 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed15 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed15 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed15 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed15 <= main_litedramcore_cmd_payload_a; + array_muxed15 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed16 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed16 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed16 <= 1'd0; + array_muxed16 <= 1'd0; end 1'd1: begin - builder_array_muxed16 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed16 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed16 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed16 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed16 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed16 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed17 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed17 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed17 <= 1'd0; + array_muxed17 <= 1'd0; end 1'd1: begin - builder_array_muxed17 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed17 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed17 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed17 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed17 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed17 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed18 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed18 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed18 <= 1'd0; + array_muxed18 <= 1'd0; end 1'd1: begin - builder_array_muxed18 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed18 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed18 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed18 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed18 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed18 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed19 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed19 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed19 <= 1'd0; + array_muxed19 <= 1'd0; end 1'd1: begin - builder_array_muxed19 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed19 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed19 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed19 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed20 <= 1'd0; - case (main_litedramcore_steerer_sel2) + array_muxed20 <= 1'd0; + case (litedramcore_steerer_sel2) 1'd0: begin - builder_array_muxed20 <= 1'd0; + array_muxed20 <= 1'd0; end 1'd1: begin - builder_array_muxed20 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed20 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed20 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed20 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end always @(*) begin - builder_array_muxed21 <= 3'd0; - case (main_litedramcore_steerer_sel3) + array_muxed21 <= 3'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed21 <= main_litedramcore_nop_ba[2:0]; + array_muxed21 <= litedramcore_nop_ba[2:0]; end 1'd1: begin - builder_array_muxed21 <= main_litedramcore_choose_cmd_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_choose_cmd_cmd_payload_ba[2:0]; end 2'd2: begin - builder_array_muxed21 <= main_litedramcore_choose_req_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_choose_req_cmd_payload_ba[2:0]; end default: begin - builder_array_muxed21 <= main_litedramcore_cmd_payload_ba[2:0]; + array_muxed21 <= litedramcore_cmd_payload_ba[2:0]; end endcase end always @(*) begin - builder_array_muxed22 <= 14'd0; - case (main_litedramcore_steerer_sel3) + array_muxed22 <= 14'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed22 <= main_litedramcore_nop_a; + array_muxed22 <= litedramcore_nop_a; end 1'd1: begin - builder_array_muxed22 <= main_litedramcore_choose_cmd_cmd_payload_a; + array_muxed22 <= litedramcore_choose_cmd_cmd_payload_a; end 2'd2: begin - builder_array_muxed22 <= main_litedramcore_choose_req_cmd_payload_a; + array_muxed22 <= litedramcore_choose_req_cmd_payload_a; end default: begin - builder_array_muxed22 <= main_litedramcore_cmd_payload_a; + array_muxed22 <= litedramcore_cmd_payload_a; end endcase end always @(*) begin - builder_array_muxed23 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed23 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed23 <= 1'd0; + array_muxed23 <= 1'd0; end 1'd1: begin - builder_array_muxed23 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_cas); + array_muxed23 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas); end 2'd2: begin - builder_array_muxed23 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_cas); + array_muxed23 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas); end default: begin - builder_array_muxed23 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_cas); + array_muxed23 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas); end endcase end always @(*) begin - builder_array_muxed24 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed24 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed24 <= 1'd0; + array_muxed24 <= 1'd0; end 1'd1: begin - builder_array_muxed24 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_ras); + array_muxed24 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras); end 2'd2: begin - builder_array_muxed24 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_ras); + array_muxed24 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras); end default: begin - builder_array_muxed24 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_ras); + array_muxed24 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras); end endcase end always @(*) begin - builder_array_muxed25 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed25 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed25 <= 1'd0; + array_muxed25 <= 1'd0; end 1'd1: begin - builder_array_muxed25 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_we); + array_muxed25 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we); end 2'd2: begin - builder_array_muxed25 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_we); + array_muxed25 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we); end default: begin - builder_array_muxed25 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_we); + array_muxed25 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we); end endcase end always @(*) begin - builder_array_muxed26 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed26 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed26 <= 1'd0; + array_muxed26 <= 1'd0; end 1'd1: begin - builder_array_muxed26 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read); end 2'd2: begin - builder_array_muxed26 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read); end default: begin - builder_array_muxed26 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_read); + array_muxed26 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read); end endcase end always @(*) begin - builder_array_muxed27 <= 1'd0; - case (main_litedramcore_steerer_sel3) + array_muxed27 <= 1'd0; + case (litedramcore_steerer_sel3) 1'd0: begin - builder_array_muxed27 <= 1'd0; + array_muxed27 <= 1'd0; end 1'd1: begin - builder_array_muxed27 <= ((main_litedramcore_choose_cmd_cmd_valid & main_litedramcore_choose_cmd_cmd_ready) & main_litedramcore_choose_cmd_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write); end 2'd2: begin - builder_array_muxed27 <= ((main_litedramcore_choose_req_cmd_valid & main_litedramcore_choose_req_cmd_ready) & main_litedramcore_choose_req_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write); end default: begin - builder_array_muxed27 <= ((main_litedramcore_cmd_valid & main_litedramcore_cmd_ready) & main_litedramcore_cmd_payload_is_write); + array_muxed27 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write); end endcase end -assign builder_xilinxasyncresetsynchronizerimpl0 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl1 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl2 = (~main_locked); -assign builder_xilinxasyncresetsynchronizerimpl3 = (~main_locked); +assign xilinxasyncresetsynchronizerimpl0 = (~locked); +assign xilinxasyncresetsynchronizerimpl1 = (~locked); +assign xilinxasyncresetsynchronizerimpl2 = (~locked); +assign xilinxasyncresetsynchronizerimpl3 = (~locked); //------------------------------------------------------------------------------ @@ -11320,1044 +11763,1044 @@ assign builder_xilinxasyncresetsynchronizerimpl3 = (~main_locked); //------------------------------------------------------------------------------ always @(posedge iodelay_clk) begin - if ((main_reset_counter != 1'd0)) begin - main_reset_counter <= (main_reset_counter - 1'd1); + if ((reset_counter != 1'd0)) begin + reset_counter <= (reset_counter - 1'd1); end else begin - main_ic_reset <= 1'd0; + ic_reset <= 1'd0; end if (iodelay_rst) begin - main_reset_counter <= 4'd15; - main_ic_reset <= 1'd1; + reset_counter <= 4'd15; + ic_reset <= 1'd1; end end always @(posedge sys_clk) begin - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= main_a7ddrphy_dqs_oe_delay_tappeddelayline; - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0; - main_a7ddrphy_dqspattern_o1 <= main_a7ddrphy_dqspattern_o0; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value0 <= (main_a7ddrphy_bitslip0_value0 + 1'd1); + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= a7ddrphy_dqs_oe_delay_tappeddelayline; + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0; + a7ddrphy_dqspattern_o1 <= a7ddrphy_dqspattern_o0; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value0 <= (a7ddrphy_bitslip0_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value0 <= 3'd7; end - main_a7ddrphy_bitslip0_r0 <= {main_a7ddrphy_dqspattern_o1, main_a7ddrphy_bitslip0_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value0 <= (main_a7ddrphy_bitslip1_value0 + 1'd1); + a7ddrphy_bitslip0_r0 <= {a7ddrphy_dqspattern_o1, a7ddrphy_bitslip0_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value0 <= (a7ddrphy_bitslip1_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value0 <= 3'd7; end - main_a7ddrphy_bitslip1_r0 <= {main_a7ddrphy_dqspattern_o1, main_a7ddrphy_bitslip1_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value1 <= (main_a7ddrphy_bitslip0_value1 + 1'd1); + a7ddrphy_bitslip1_r0 <= {a7ddrphy_dqspattern_o1, a7ddrphy_bitslip1_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value1 <= (a7ddrphy_bitslip0_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value1 <= 3'd7; end - main_a7ddrphy_bitslip0_r1 <= {{main_a7ddrphy_dfi_p3_wrdata_mask[2], main_a7ddrphy_dfi_p3_wrdata_mask[0], main_a7ddrphy_dfi_p2_wrdata_mask[2], main_a7ddrphy_dfi_p2_wrdata_mask[0], main_a7ddrphy_dfi_p1_wrdata_mask[2], main_a7ddrphy_dfi_p1_wrdata_mask[0], main_a7ddrphy_dfi_p0_wrdata_mask[2], main_a7ddrphy_dfi_p0_wrdata_mask[0]}, main_a7ddrphy_bitslip0_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value1 <= (main_a7ddrphy_bitslip1_value1 + 1'd1); + a7ddrphy_bitslip0_r1 <= {{a7ddrphy_dfi_p3_wrdata_mask[2], a7ddrphy_dfi_p3_wrdata_mask[0], a7ddrphy_dfi_p2_wrdata_mask[2], a7ddrphy_dfi_p2_wrdata_mask[0], a7ddrphy_dfi_p1_wrdata_mask[2], a7ddrphy_dfi_p1_wrdata_mask[0], a7ddrphy_dfi_p0_wrdata_mask[2], a7ddrphy_dfi_p0_wrdata_mask[0]}, a7ddrphy_bitslip0_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value1 <= (a7ddrphy_bitslip1_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value1 <= 3'd7; end - main_a7ddrphy_bitslip1_r1 <= {{main_a7ddrphy_dfi_p3_wrdata_mask[3], main_a7ddrphy_dfi_p3_wrdata_mask[1], main_a7ddrphy_dfi_p2_wrdata_mask[3], main_a7ddrphy_dfi_p2_wrdata_mask[1], main_a7ddrphy_dfi_p1_wrdata_mask[3], main_a7ddrphy_dfi_p1_wrdata_mask[1], main_a7ddrphy_dfi_p0_wrdata_mask[3], main_a7ddrphy_dfi_p0_wrdata_mask[1]}, main_a7ddrphy_bitslip1_r1[15:8]}; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= main_a7ddrphy_dq_oe_delay_tappeddelayline; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value2 <= (main_a7ddrphy_bitslip0_value2 + 1'd1); + a7ddrphy_bitslip1_r1 <= {{a7ddrphy_dfi_p3_wrdata_mask[3], a7ddrphy_dfi_p3_wrdata_mask[1], a7ddrphy_dfi_p2_wrdata_mask[3], a7ddrphy_dfi_p2_wrdata_mask[1], a7ddrphy_dfi_p1_wrdata_mask[3], a7ddrphy_dfi_p1_wrdata_mask[1], a7ddrphy_dfi_p0_wrdata_mask[3], a7ddrphy_dfi_p0_wrdata_mask[1]}, a7ddrphy_bitslip1_r1[15:8]}; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= a7ddrphy_dq_oe_delay_tappeddelayline; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value2 <= (a7ddrphy_bitslip0_value2 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value2 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value2 <= 3'd7; end - main_a7ddrphy_bitslip0_r2 <= {{main_a7ddrphy_dfi_p3_wrdata[16], main_a7ddrphy_dfi_p3_wrdata[0], main_a7ddrphy_dfi_p2_wrdata[16], main_a7ddrphy_dfi_p2_wrdata[0], main_a7ddrphy_dfi_p1_wrdata[16], main_a7ddrphy_dfi_p1_wrdata[0], main_a7ddrphy_dfi_p0_wrdata[16], main_a7ddrphy_dfi_p0_wrdata[0]}, main_a7ddrphy_bitslip0_r2[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip0_value3 <= (main_a7ddrphy_bitslip0_value3 + 1'd1); + a7ddrphy_bitslip0_r2 <= {{a7ddrphy_dfi_p3_wrdata[16], a7ddrphy_dfi_p3_wrdata[0], a7ddrphy_dfi_p2_wrdata[16], a7ddrphy_dfi_p2_wrdata[0], a7ddrphy_dfi_p1_wrdata[16], a7ddrphy_dfi_p1_wrdata[0], a7ddrphy_dfi_p0_wrdata[16], a7ddrphy_dfi_p0_wrdata[0]}, a7ddrphy_bitslip0_r2[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip0_value3 <= (a7ddrphy_bitslip0_value3 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip0_value3 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip0_value3 <= 3'd7; end - main_a7ddrphy_bitslip0_r3 <= {main_a7ddrphy_bitslip03, main_a7ddrphy_bitslip0_r3[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value2 <= (main_a7ddrphy_bitslip1_value2 + 1'd1); + a7ddrphy_bitslip0_r3 <= {a7ddrphy_bitslip03, a7ddrphy_bitslip0_r3[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value2 <= (a7ddrphy_bitslip1_value2 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value2 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value2 <= 3'd7; end - main_a7ddrphy_bitslip1_r2 <= {{main_a7ddrphy_dfi_p3_wrdata[17], main_a7ddrphy_dfi_p3_wrdata[1], main_a7ddrphy_dfi_p2_wrdata[17], main_a7ddrphy_dfi_p2_wrdata[1], main_a7ddrphy_dfi_p1_wrdata[17], main_a7ddrphy_dfi_p1_wrdata[1], main_a7ddrphy_dfi_p0_wrdata[17], main_a7ddrphy_dfi_p0_wrdata[1]}, main_a7ddrphy_bitslip1_r2[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip1_value3 <= (main_a7ddrphy_bitslip1_value3 + 1'd1); + a7ddrphy_bitslip1_r2 <= {{a7ddrphy_dfi_p3_wrdata[17], a7ddrphy_dfi_p3_wrdata[1], a7ddrphy_dfi_p2_wrdata[17], a7ddrphy_dfi_p2_wrdata[1], a7ddrphy_dfi_p1_wrdata[17], a7ddrphy_dfi_p1_wrdata[1], a7ddrphy_dfi_p0_wrdata[17], a7ddrphy_dfi_p0_wrdata[1]}, a7ddrphy_bitslip1_r2[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip1_value3 <= (a7ddrphy_bitslip1_value3 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip1_value3 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip1_value3 <= 3'd7; end - main_a7ddrphy_bitslip1_r3 <= {main_a7ddrphy_bitslip13, main_a7ddrphy_bitslip1_r3[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip2_value0 <= (main_a7ddrphy_bitslip2_value0 + 1'd1); + a7ddrphy_bitslip1_r3 <= {a7ddrphy_bitslip13, a7ddrphy_bitslip1_r3[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip2_value0 <= (a7ddrphy_bitslip2_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip2_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip2_value0 <= 3'd7; end - main_a7ddrphy_bitslip2_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[18], main_a7ddrphy_dfi_p3_wrdata[2], main_a7ddrphy_dfi_p2_wrdata[18], main_a7ddrphy_dfi_p2_wrdata[2], main_a7ddrphy_dfi_p1_wrdata[18], main_a7ddrphy_dfi_p1_wrdata[2], main_a7ddrphy_dfi_p0_wrdata[18], main_a7ddrphy_dfi_p0_wrdata[2]}, main_a7ddrphy_bitslip2_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip2_value1 <= (main_a7ddrphy_bitslip2_value1 + 1'd1); + a7ddrphy_bitslip2_r0 <= {{a7ddrphy_dfi_p3_wrdata[18], a7ddrphy_dfi_p3_wrdata[2], a7ddrphy_dfi_p2_wrdata[18], a7ddrphy_dfi_p2_wrdata[2], a7ddrphy_dfi_p1_wrdata[18], a7ddrphy_dfi_p1_wrdata[2], a7ddrphy_dfi_p0_wrdata[18], a7ddrphy_dfi_p0_wrdata[2]}, a7ddrphy_bitslip2_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip2_value1 <= (a7ddrphy_bitslip2_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip2_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip2_value1 <= 3'd7; end - main_a7ddrphy_bitslip2_r1 <= {main_a7ddrphy_bitslip21, main_a7ddrphy_bitslip2_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip3_value0 <= (main_a7ddrphy_bitslip3_value0 + 1'd1); + a7ddrphy_bitslip2_r1 <= {a7ddrphy_bitslip21, a7ddrphy_bitslip2_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip3_value0 <= (a7ddrphy_bitslip3_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip3_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip3_value0 <= 3'd7; end - main_a7ddrphy_bitslip3_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[19], main_a7ddrphy_dfi_p3_wrdata[3], main_a7ddrphy_dfi_p2_wrdata[19], main_a7ddrphy_dfi_p2_wrdata[3], main_a7ddrphy_dfi_p1_wrdata[19], main_a7ddrphy_dfi_p1_wrdata[3], main_a7ddrphy_dfi_p0_wrdata[19], main_a7ddrphy_dfi_p0_wrdata[3]}, main_a7ddrphy_bitslip3_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip3_value1 <= (main_a7ddrphy_bitslip3_value1 + 1'd1); + a7ddrphy_bitslip3_r0 <= {{a7ddrphy_dfi_p3_wrdata[19], a7ddrphy_dfi_p3_wrdata[3], a7ddrphy_dfi_p2_wrdata[19], a7ddrphy_dfi_p2_wrdata[3], a7ddrphy_dfi_p1_wrdata[19], a7ddrphy_dfi_p1_wrdata[3], a7ddrphy_dfi_p0_wrdata[19], a7ddrphy_dfi_p0_wrdata[3]}, a7ddrphy_bitslip3_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip3_value1 <= (a7ddrphy_bitslip3_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip3_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip3_value1 <= 3'd7; end - main_a7ddrphy_bitslip3_r1 <= {main_a7ddrphy_bitslip31, main_a7ddrphy_bitslip3_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip4_value0 <= (main_a7ddrphy_bitslip4_value0 + 1'd1); + a7ddrphy_bitslip3_r1 <= {a7ddrphy_bitslip31, a7ddrphy_bitslip3_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip4_value0 <= (a7ddrphy_bitslip4_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip4_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip4_value0 <= 3'd7; end - main_a7ddrphy_bitslip4_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[20], main_a7ddrphy_dfi_p3_wrdata[4], main_a7ddrphy_dfi_p2_wrdata[20], main_a7ddrphy_dfi_p2_wrdata[4], main_a7ddrphy_dfi_p1_wrdata[20], main_a7ddrphy_dfi_p1_wrdata[4], main_a7ddrphy_dfi_p0_wrdata[20], main_a7ddrphy_dfi_p0_wrdata[4]}, main_a7ddrphy_bitslip4_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip4_value1 <= (main_a7ddrphy_bitslip4_value1 + 1'd1); + a7ddrphy_bitslip4_r0 <= {{a7ddrphy_dfi_p3_wrdata[20], a7ddrphy_dfi_p3_wrdata[4], a7ddrphy_dfi_p2_wrdata[20], a7ddrphy_dfi_p2_wrdata[4], a7ddrphy_dfi_p1_wrdata[20], a7ddrphy_dfi_p1_wrdata[4], a7ddrphy_dfi_p0_wrdata[20], a7ddrphy_dfi_p0_wrdata[4]}, a7ddrphy_bitslip4_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip4_value1 <= (a7ddrphy_bitslip4_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip4_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip4_value1 <= 3'd7; end - main_a7ddrphy_bitslip4_r1 <= {main_a7ddrphy_bitslip41, main_a7ddrphy_bitslip4_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip5_value0 <= (main_a7ddrphy_bitslip5_value0 + 1'd1); + a7ddrphy_bitslip4_r1 <= {a7ddrphy_bitslip41, a7ddrphy_bitslip4_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip5_value0 <= (a7ddrphy_bitslip5_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip5_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip5_value0 <= 3'd7; end - main_a7ddrphy_bitslip5_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[21], main_a7ddrphy_dfi_p3_wrdata[5], main_a7ddrphy_dfi_p2_wrdata[21], main_a7ddrphy_dfi_p2_wrdata[5], main_a7ddrphy_dfi_p1_wrdata[21], main_a7ddrphy_dfi_p1_wrdata[5], main_a7ddrphy_dfi_p0_wrdata[21], main_a7ddrphy_dfi_p0_wrdata[5]}, main_a7ddrphy_bitslip5_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip5_value1 <= (main_a7ddrphy_bitslip5_value1 + 1'd1); + a7ddrphy_bitslip5_r0 <= {{a7ddrphy_dfi_p3_wrdata[21], a7ddrphy_dfi_p3_wrdata[5], a7ddrphy_dfi_p2_wrdata[21], a7ddrphy_dfi_p2_wrdata[5], a7ddrphy_dfi_p1_wrdata[21], a7ddrphy_dfi_p1_wrdata[5], a7ddrphy_dfi_p0_wrdata[21], a7ddrphy_dfi_p0_wrdata[5]}, a7ddrphy_bitslip5_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip5_value1 <= (a7ddrphy_bitslip5_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip5_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip5_value1 <= 3'd7; end - main_a7ddrphy_bitslip5_r1 <= {main_a7ddrphy_bitslip51, main_a7ddrphy_bitslip5_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip6_value0 <= (main_a7ddrphy_bitslip6_value0 + 1'd1); + a7ddrphy_bitslip5_r1 <= {a7ddrphy_bitslip51, a7ddrphy_bitslip5_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip6_value0 <= (a7ddrphy_bitslip6_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip6_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip6_value0 <= 3'd7; end - main_a7ddrphy_bitslip6_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[22], main_a7ddrphy_dfi_p3_wrdata[6], main_a7ddrphy_dfi_p2_wrdata[22], main_a7ddrphy_dfi_p2_wrdata[6], main_a7ddrphy_dfi_p1_wrdata[22], main_a7ddrphy_dfi_p1_wrdata[6], main_a7ddrphy_dfi_p0_wrdata[22], main_a7ddrphy_dfi_p0_wrdata[6]}, main_a7ddrphy_bitslip6_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip6_value1 <= (main_a7ddrphy_bitslip6_value1 + 1'd1); + a7ddrphy_bitslip6_r0 <= {{a7ddrphy_dfi_p3_wrdata[22], a7ddrphy_dfi_p3_wrdata[6], a7ddrphy_dfi_p2_wrdata[22], a7ddrphy_dfi_p2_wrdata[6], a7ddrphy_dfi_p1_wrdata[22], a7ddrphy_dfi_p1_wrdata[6], a7ddrphy_dfi_p0_wrdata[22], a7ddrphy_dfi_p0_wrdata[6]}, a7ddrphy_bitslip6_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip6_value1 <= (a7ddrphy_bitslip6_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip6_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip6_value1 <= 3'd7; end - main_a7ddrphy_bitslip6_r1 <= {main_a7ddrphy_bitslip61, main_a7ddrphy_bitslip6_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip7_value0 <= (main_a7ddrphy_bitslip7_value0 + 1'd1); + a7ddrphy_bitslip6_r1 <= {a7ddrphy_bitslip61, a7ddrphy_bitslip6_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip7_value0 <= (a7ddrphy_bitslip7_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip7_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip7_value0 <= 3'd7; end - main_a7ddrphy_bitslip7_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[23], main_a7ddrphy_dfi_p3_wrdata[7], main_a7ddrphy_dfi_p2_wrdata[23], main_a7ddrphy_dfi_p2_wrdata[7], main_a7ddrphy_dfi_p1_wrdata[23], main_a7ddrphy_dfi_p1_wrdata[7], main_a7ddrphy_dfi_p0_wrdata[23], main_a7ddrphy_dfi_p0_wrdata[7]}, main_a7ddrphy_bitslip7_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip7_value1 <= (main_a7ddrphy_bitslip7_value1 + 1'd1); + a7ddrphy_bitslip7_r0 <= {{a7ddrphy_dfi_p3_wrdata[23], a7ddrphy_dfi_p3_wrdata[7], a7ddrphy_dfi_p2_wrdata[23], a7ddrphy_dfi_p2_wrdata[7], a7ddrphy_dfi_p1_wrdata[23], a7ddrphy_dfi_p1_wrdata[7], a7ddrphy_dfi_p0_wrdata[23], a7ddrphy_dfi_p0_wrdata[7]}, a7ddrphy_bitslip7_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip7_value1 <= (a7ddrphy_bitslip7_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip7_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip7_value1 <= 3'd7; end - main_a7ddrphy_bitslip7_r1 <= {main_a7ddrphy_bitslip71, main_a7ddrphy_bitslip7_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip8_value0 <= (main_a7ddrphy_bitslip8_value0 + 1'd1); + a7ddrphy_bitslip7_r1 <= {a7ddrphy_bitslip71, a7ddrphy_bitslip7_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip8_value0 <= (a7ddrphy_bitslip8_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip8_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip8_value0 <= 3'd7; end - main_a7ddrphy_bitslip8_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[24], main_a7ddrphy_dfi_p3_wrdata[8], main_a7ddrphy_dfi_p2_wrdata[24], main_a7ddrphy_dfi_p2_wrdata[8], main_a7ddrphy_dfi_p1_wrdata[24], main_a7ddrphy_dfi_p1_wrdata[8], main_a7ddrphy_dfi_p0_wrdata[24], main_a7ddrphy_dfi_p0_wrdata[8]}, main_a7ddrphy_bitslip8_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip8_value1 <= (main_a7ddrphy_bitslip8_value1 + 1'd1); + a7ddrphy_bitslip8_r0 <= {{a7ddrphy_dfi_p3_wrdata[24], a7ddrphy_dfi_p3_wrdata[8], a7ddrphy_dfi_p2_wrdata[24], a7ddrphy_dfi_p2_wrdata[8], a7ddrphy_dfi_p1_wrdata[24], a7ddrphy_dfi_p1_wrdata[8], a7ddrphy_dfi_p0_wrdata[24], a7ddrphy_dfi_p0_wrdata[8]}, a7ddrphy_bitslip8_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip8_value1 <= (a7ddrphy_bitslip8_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip8_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip8_value1 <= 3'd7; end - main_a7ddrphy_bitslip8_r1 <= {main_a7ddrphy_bitslip81, main_a7ddrphy_bitslip8_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip9_value0 <= (main_a7ddrphy_bitslip9_value0 + 1'd1); + a7ddrphy_bitslip8_r1 <= {a7ddrphy_bitslip81, a7ddrphy_bitslip8_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip9_value0 <= (a7ddrphy_bitslip9_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip9_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip9_value0 <= 3'd7; end - main_a7ddrphy_bitslip9_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[25], main_a7ddrphy_dfi_p3_wrdata[9], main_a7ddrphy_dfi_p2_wrdata[25], main_a7ddrphy_dfi_p2_wrdata[9], main_a7ddrphy_dfi_p1_wrdata[25], main_a7ddrphy_dfi_p1_wrdata[9], main_a7ddrphy_dfi_p0_wrdata[25], main_a7ddrphy_dfi_p0_wrdata[9]}, main_a7ddrphy_bitslip9_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip9_value1 <= (main_a7ddrphy_bitslip9_value1 + 1'd1); + a7ddrphy_bitslip9_r0 <= {{a7ddrphy_dfi_p3_wrdata[25], a7ddrphy_dfi_p3_wrdata[9], a7ddrphy_dfi_p2_wrdata[25], a7ddrphy_dfi_p2_wrdata[9], a7ddrphy_dfi_p1_wrdata[25], a7ddrphy_dfi_p1_wrdata[9], a7ddrphy_dfi_p0_wrdata[25], a7ddrphy_dfi_p0_wrdata[9]}, a7ddrphy_bitslip9_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip9_value1 <= (a7ddrphy_bitslip9_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip9_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip9_value1 <= 3'd7; end - main_a7ddrphy_bitslip9_r1 <= {main_a7ddrphy_bitslip91, main_a7ddrphy_bitslip9_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip10_value0 <= (main_a7ddrphy_bitslip10_value0 + 1'd1); + a7ddrphy_bitslip9_r1 <= {a7ddrphy_bitslip91, a7ddrphy_bitslip9_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip10_value0 <= (a7ddrphy_bitslip10_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip10_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip10_value0 <= 3'd7; end - main_a7ddrphy_bitslip10_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[26], main_a7ddrphy_dfi_p3_wrdata[10], main_a7ddrphy_dfi_p2_wrdata[26], main_a7ddrphy_dfi_p2_wrdata[10], main_a7ddrphy_dfi_p1_wrdata[26], main_a7ddrphy_dfi_p1_wrdata[10], main_a7ddrphy_dfi_p0_wrdata[26], main_a7ddrphy_dfi_p0_wrdata[10]}, main_a7ddrphy_bitslip10_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip10_value1 <= (main_a7ddrphy_bitslip10_value1 + 1'd1); + a7ddrphy_bitslip10_r0 <= {{a7ddrphy_dfi_p3_wrdata[26], a7ddrphy_dfi_p3_wrdata[10], a7ddrphy_dfi_p2_wrdata[26], a7ddrphy_dfi_p2_wrdata[10], a7ddrphy_dfi_p1_wrdata[26], a7ddrphy_dfi_p1_wrdata[10], a7ddrphy_dfi_p0_wrdata[26], a7ddrphy_dfi_p0_wrdata[10]}, a7ddrphy_bitslip10_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip10_value1 <= (a7ddrphy_bitslip10_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip10_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip10_value1 <= 3'd7; end - main_a7ddrphy_bitslip10_r1 <= {main_a7ddrphy_bitslip101, main_a7ddrphy_bitslip10_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip11_value0 <= (main_a7ddrphy_bitslip11_value0 + 1'd1); + a7ddrphy_bitslip10_r1 <= {a7ddrphy_bitslip101, a7ddrphy_bitslip10_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip11_value0 <= (a7ddrphy_bitslip11_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip11_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip11_value0 <= 3'd7; end - main_a7ddrphy_bitslip11_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[27], main_a7ddrphy_dfi_p3_wrdata[11], main_a7ddrphy_dfi_p2_wrdata[27], main_a7ddrphy_dfi_p2_wrdata[11], main_a7ddrphy_dfi_p1_wrdata[27], main_a7ddrphy_dfi_p1_wrdata[11], main_a7ddrphy_dfi_p0_wrdata[27], main_a7ddrphy_dfi_p0_wrdata[11]}, main_a7ddrphy_bitslip11_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip11_value1 <= (main_a7ddrphy_bitslip11_value1 + 1'd1); + a7ddrphy_bitslip11_r0 <= {{a7ddrphy_dfi_p3_wrdata[27], a7ddrphy_dfi_p3_wrdata[11], a7ddrphy_dfi_p2_wrdata[27], a7ddrphy_dfi_p2_wrdata[11], a7ddrphy_dfi_p1_wrdata[27], a7ddrphy_dfi_p1_wrdata[11], a7ddrphy_dfi_p0_wrdata[27], a7ddrphy_dfi_p0_wrdata[11]}, a7ddrphy_bitslip11_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip11_value1 <= (a7ddrphy_bitslip11_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip11_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip11_value1 <= 3'd7; end - main_a7ddrphy_bitslip11_r1 <= {main_a7ddrphy_bitslip111, main_a7ddrphy_bitslip11_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip12_value0 <= (main_a7ddrphy_bitslip12_value0 + 1'd1); + a7ddrphy_bitslip11_r1 <= {a7ddrphy_bitslip111, a7ddrphy_bitslip11_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip12_value0 <= (a7ddrphy_bitslip12_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip12_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip12_value0 <= 3'd7; end - main_a7ddrphy_bitslip12_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[28], main_a7ddrphy_dfi_p3_wrdata[12], main_a7ddrphy_dfi_p2_wrdata[28], main_a7ddrphy_dfi_p2_wrdata[12], main_a7ddrphy_dfi_p1_wrdata[28], main_a7ddrphy_dfi_p1_wrdata[12], main_a7ddrphy_dfi_p0_wrdata[28], main_a7ddrphy_dfi_p0_wrdata[12]}, main_a7ddrphy_bitslip12_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip12_value1 <= (main_a7ddrphy_bitslip12_value1 + 1'd1); + a7ddrphy_bitslip12_r0 <= {{a7ddrphy_dfi_p3_wrdata[28], a7ddrphy_dfi_p3_wrdata[12], a7ddrphy_dfi_p2_wrdata[28], a7ddrphy_dfi_p2_wrdata[12], a7ddrphy_dfi_p1_wrdata[28], a7ddrphy_dfi_p1_wrdata[12], a7ddrphy_dfi_p0_wrdata[28], a7ddrphy_dfi_p0_wrdata[12]}, a7ddrphy_bitslip12_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip12_value1 <= (a7ddrphy_bitslip12_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip12_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip12_value1 <= 3'd7; end - main_a7ddrphy_bitslip12_r1 <= {main_a7ddrphy_bitslip121, main_a7ddrphy_bitslip12_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip13_value0 <= (main_a7ddrphy_bitslip13_value0 + 1'd1); + a7ddrphy_bitslip12_r1 <= {a7ddrphy_bitslip121, a7ddrphy_bitslip12_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip13_value0 <= (a7ddrphy_bitslip13_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip13_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip13_value0 <= 3'd7; end - main_a7ddrphy_bitslip13_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[29], main_a7ddrphy_dfi_p3_wrdata[13], main_a7ddrphy_dfi_p2_wrdata[29], main_a7ddrphy_dfi_p2_wrdata[13], main_a7ddrphy_dfi_p1_wrdata[29], main_a7ddrphy_dfi_p1_wrdata[13], main_a7ddrphy_dfi_p0_wrdata[29], main_a7ddrphy_dfi_p0_wrdata[13]}, main_a7ddrphy_bitslip13_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip13_value1 <= (main_a7ddrphy_bitslip13_value1 + 1'd1); + a7ddrphy_bitslip13_r0 <= {{a7ddrphy_dfi_p3_wrdata[29], a7ddrphy_dfi_p3_wrdata[13], a7ddrphy_dfi_p2_wrdata[29], a7ddrphy_dfi_p2_wrdata[13], a7ddrphy_dfi_p1_wrdata[29], a7ddrphy_dfi_p1_wrdata[13], a7ddrphy_dfi_p0_wrdata[29], a7ddrphy_dfi_p0_wrdata[13]}, a7ddrphy_bitslip13_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip13_value1 <= (a7ddrphy_bitslip13_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip13_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip13_value1 <= 3'd7; end - main_a7ddrphy_bitslip13_r1 <= {main_a7ddrphy_bitslip131, main_a7ddrphy_bitslip13_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip14_value0 <= (main_a7ddrphy_bitslip14_value0 + 1'd1); + a7ddrphy_bitslip13_r1 <= {a7ddrphy_bitslip131, a7ddrphy_bitslip13_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip14_value0 <= (a7ddrphy_bitslip14_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip14_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip14_value0 <= 3'd7; end - main_a7ddrphy_bitslip14_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[30], main_a7ddrphy_dfi_p3_wrdata[14], main_a7ddrphy_dfi_p2_wrdata[30], main_a7ddrphy_dfi_p2_wrdata[14], main_a7ddrphy_dfi_p1_wrdata[30], main_a7ddrphy_dfi_p1_wrdata[14], main_a7ddrphy_dfi_p0_wrdata[30], main_a7ddrphy_dfi_p0_wrdata[14]}, main_a7ddrphy_bitslip14_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip14_value1 <= (main_a7ddrphy_bitslip14_value1 + 1'd1); + a7ddrphy_bitslip14_r0 <= {{a7ddrphy_dfi_p3_wrdata[30], a7ddrphy_dfi_p3_wrdata[14], a7ddrphy_dfi_p2_wrdata[30], a7ddrphy_dfi_p2_wrdata[14], a7ddrphy_dfi_p1_wrdata[30], a7ddrphy_dfi_p1_wrdata[14], a7ddrphy_dfi_p0_wrdata[30], a7ddrphy_dfi_p0_wrdata[14]}, a7ddrphy_bitslip14_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip14_value1 <= (a7ddrphy_bitslip14_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip14_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip14_value1 <= 3'd7; end - main_a7ddrphy_bitslip14_r1 <= {main_a7ddrphy_bitslip141, main_a7ddrphy_bitslip14_r1[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip15_value0 <= (main_a7ddrphy_bitslip15_value0 + 1'd1); + a7ddrphy_bitslip14_r1 <= {a7ddrphy_bitslip141, a7ddrphy_bitslip14_r1[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_re)) begin + a7ddrphy_bitslip15_value0 <= (a7ddrphy_bitslip15_value0 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_wdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip15_value0 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_wdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip15_value0 <= 3'd7; end - main_a7ddrphy_bitslip15_r0 <= {{main_a7ddrphy_dfi_p3_wrdata[31], main_a7ddrphy_dfi_p3_wrdata[15], main_a7ddrphy_dfi_p2_wrdata[31], main_a7ddrphy_dfi_p2_wrdata[15], main_a7ddrphy_dfi_p1_wrdata[31], main_a7ddrphy_dfi_p1_wrdata[15], main_a7ddrphy_dfi_p0_wrdata[31], main_a7ddrphy_dfi_p0_wrdata[15]}, main_a7ddrphy_bitslip15_r0[15:8]}; - if ((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_re)) begin - main_a7ddrphy_bitslip15_value1 <= (main_a7ddrphy_bitslip15_value1 + 1'd1); + a7ddrphy_bitslip15_r0 <= {{a7ddrphy_dfi_p3_wrdata[31], a7ddrphy_dfi_p3_wrdata[15], a7ddrphy_dfi_p2_wrdata[31], a7ddrphy_dfi_p2_wrdata[15], a7ddrphy_dfi_p1_wrdata[31], a7ddrphy_dfi_p1_wrdata[15], a7ddrphy_dfi_p0_wrdata[31], a7ddrphy_dfi_p0_wrdata[15]}, a7ddrphy_bitslip15_r0[15:8]}; + if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin + a7ddrphy_bitslip15_value1 <= (a7ddrphy_bitslip15_value1 + 1'd1); end - if (((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_bitslip_rst_re) | main_a7ddrphy_rst_storage)) begin - main_a7ddrphy_bitslip15_value1 <= 3'd7; + if (((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re) | a7ddrphy_rst_storage)) begin + a7ddrphy_bitslip15_value1 <= 3'd7; end - main_a7ddrphy_bitslip15_r1 <= {main_a7ddrphy_bitslip151, main_a7ddrphy_bitslip15_r1[15:8]}; - main_a7ddrphy_rddata_en_tappeddelayline0 <= (((main_a7ddrphy_dfi_p0_rddata_en | main_a7ddrphy_dfi_p1_rddata_en) | main_a7ddrphy_dfi_p2_rddata_en) | main_a7ddrphy_dfi_p3_rddata_en); - main_a7ddrphy_rddata_en_tappeddelayline1 <= main_a7ddrphy_rddata_en_tappeddelayline0; - main_a7ddrphy_rddata_en_tappeddelayline2 <= main_a7ddrphy_rddata_en_tappeddelayline1; - main_a7ddrphy_rddata_en_tappeddelayline3 <= main_a7ddrphy_rddata_en_tappeddelayline2; - main_a7ddrphy_rddata_en_tappeddelayline4 <= main_a7ddrphy_rddata_en_tappeddelayline3; - main_a7ddrphy_rddata_en_tappeddelayline5 <= main_a7ddrphy_rddata_en_tappeddelayline4; - main_a7ddrphy_rddata_en_tappeddelayline6 <= main_a7ddrphy_rddata_en_tappeddelayline5; - main_a7ddrphy_rddata_en_tappeddelayline7 <= main_a7ddrphy_rddata_en_tappeddelayline6; - main_a7ddrphy_wrdata_en_tappeddelayline0 <= (((main_a7ddrphy_dfi_p0_wrdata_en | main_a7ddrphy_dfi_p1_wrdata_en) | main_a7ddrphy_dfi_p2_wrdata_en) | main_a7ddrphy_dfi_p3_wrdata_en); - main_a7ddrphy_wrdata_en_tappeddelayline1 <= main_a7ddrphy_wrdata_en_tappeddelayline0; - main_a7ddrphy_wrdata_en_tappeddelayline2 <= main_a7ddrphy_wrdata_en_tappeddelayline1; - if (main_litedramcore_inti_p0_rddata_valid) begin - main_litedramcore_phaseinjector0_rddata_status <= main_litedramcore_inti_p0_rddata; + a7ddrphy_bitslip15_r1 <= {a7ddrphy_bitslip151, a7ddrphy_bitslip15_r1[15:8]}; + a7ddrphy_rddata_en_tappeddelayline0 <= (((a7ddrphy_dfi_p0_rddata_en | a7ddrphy_dfi_p1_rddata_en) | a7ddrphy_dfi_p2_rddata_en) | a7ddrphy_dfi_p3_rddata_en); + a7ddrphy_rddata_en_tappeddelayline1 <= a7ddrphy_rddata_en_tappeddelayline0; + a7ddrphy_rddata_en_tappeddelayline2 <= a7ddrphy_rddata_en_tappeddelayline1; + a7ddrphy_rddata_en_tappeddelayline3 <= a7ddrphy_rddata_en_tappeddelayline2; + a7ddrphy_rddata_en_tappeddelayline4 <= a7ddrphy_rddata_en_tappeddelayline3; + a7ddrphy_rddata_en_tappeddelayline5 <= a7ddrphy_rddata_en_tappeddelayline4; + a7ddrphy_rddata_en_tappeddelayline6 <= a7ddrphy_rddata_en_tappeddelayline5; + a7ddrphy_rddata_en_tappeddelayline7 <= a7ddrphy_rddata_en_tappeddelayline6; + a7ddrphy_wrdata_en_tappeddelayline0 <= (((a7ddrphy_dfi_p0_wrdata_en | a7ddrphy_dfi_p1_wrdata_en) | a7ddrphy_dfi_p2_wrdata_en) | a7ddrphy_dfi_p3_wrdata_en); + a7ddrphy_wrdata_en_tappeddelayline1 <= a7ddrphy_wrdata_en_tappeddelayline0; + a7ddrphy_wrdata_en_tappeddelayline2 <= a7ddrphy_wrdata_en_tappeddelayline1; + if (litedramcore_csr_dfi_p0_rddata_valid) begin + litedramcore_phaseinjector0_rddata_status <= litedramcore_csr_dfi_p0_rddata; end - if (main_litedramcore_inti_p1_rddata_valid) begin - main_litedramcore_phaseinjector1_rddata_status <= main_litedramcore_inti_p1_rddata; + if (litedramcore_csr_dfi_p1_rddata_valid) begin + litedramcore_phaseinjector1_rddata_status <= litedramcore_csr_dfi_p1_rddata; end - if (main_litedramcore_inti_p2_rddata_valid) begin - main_litedramcore_phaseinjector2_rddata_status <= main_litedramcore_inti_p2_rddata; + if (litedramcore_csr_dfi_p2_rddata_valid) begin + litedramcore_phaseinjector2_rddata_status <= litedramcore_csr_dfi_p2_rddata; end - if (main_litedramcore_inti_p3_rddata_valid) begin - main_litedramcore_phaseinjector3_rddata_status <= main_litedramcore_inti_p3_rddata; - end - if ((main_litedramcore_timer_wait & (~main_litedramcore_timer_done0))) begin - main_litedramcore_timer_count1 <= (main_litedramcore_timer_count1 - 1'd1); + if (litedramcore_csr_dfi_p3_rddata_valid) begin + litedramcore_phaseinjector3_rddata_status <= litedramcore_csr_dfi_p3_rddata; + end + if ((litedramcore_timer_wait & (~litedramcore_timer_done0))) begin + litedramcore_timer_count1 <= (litedramcore_timer_count1 - 1'd1); end else begin - main_litedramcore_timer_count1 <= 10'd781; + litedramcore_timer_count1 <= 10'd781; end - main_litedramcore_postponer_req_o <= 1'd0; - if (main_litedramcore_postponer_req_i) begin - main_litedramcore_postponer_count <= (main_litedramcore_postponer_count - 1'd1); - if ((main_litedramcore_postponer_count == 1'd0)) begin - main_litedramcore_postponer_count <= 1'd0; - main_litedramcore_postponer_req_o <= 1'd1; - end + litedramcore_postponer_req_o <= 1'd0; + if (litedramcore_postponer_req_i) begin + litedramcore_postponer_count <= (litedramcore_postponer_count - 1'd1); + if ((litedramcore_postponer_count == 1'd0)) begin + litedramcore_postponer_count <= 1'd0; + litedramcore_postponer_req_o <= 1'd1; + end end - if (main_litedramcore_sequencer_start0) begin - main_litedramcore_sequencer_count <= 1'd0; - end else begin - if (main_litedramcore_sequencer_done1) begin - if ((main_litedramcore_sequencer_count != 1'd0)) begin - main_litedramcore_sequencer_count <= (main_litedramcore_sequencer_count - 1'd1); - end - end - end - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd0; - if ((main_litedramcore_sequencer_start1 & (main_litedramcore_sequencer_counter == 1'd0))) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd1; - end - if ((main_litedramcore_sequencer_counter == 2'd3)) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd1; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd0; - end - if ((main_litedramcore_sequencer_counter == 6'd35)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd1; - end - if ((main_litedramcore_sequencer_counter == 6'd35)) begin - main_litedramcore_sequencer_counter <= 1'd0; - end else begin - if ((main_litedramcore_sequencer_counter != 1'd0)) begin - main_litedramcore_sequencer_counter <= (main_litedramcore_sequencer_counter + 1'd1); + if (litedramcore_sequencer_start0) begin + litedramcore_sequencer_count <= 1'd0; + end else begin + if (litedramcore_sequencer_done1) begin + if ((litedramcore_sequencer_count != 1'd0)) begin + litedramcore_sequencer_count <= (litedramcore_sequencer_count - 1'd1); + end + end + end + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_sequencer_done1 <= 1'd0; + if ((litedramcore_sequencer_start1 & (litedramcore_sequencer_counter == 1'd0))) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd1; + end + if ((litedramcore_sequencer_counter == 2'd3)) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd1; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd0; + end + if ((litedramcore_sequencer_counter == 6'd35)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_sequencer_done1 <= 1'd1; + end + if ((litedramcore_sequencer_counter == 6'd35)) begin + litedramcore_sequencer_counter <= 1'd0; + end else begin + if ((litedramcore_sequencer_counter != 1'd0)) begin + litedramcore_sequencer_counter <= (litedramcore_sequencer_counter + 1'd1); end else begin - if (main_litedramcore_sequencer_start1) begin - main_litedramcore_sequencer_counter <= 1'd1; + if (litedramcore_sequencer_start1) begin + litedramcore_sequencer_counter <= 1'd1; end end end - if ((main_litedramcore_zqcs_timer_wait & (~main_litedramcore_zqcs_timer_done0))) begin - main_litedramcore_zqcs_timer_count1 <= (main_litedramcore_zqcs_timer_count1 - 1'd1); + if ((litedramcore_zqcs_timer_wait & (~litedramcore_zqcs_timer_done0))) begin + litedramcore_zqcs_timer_count1 <= (litedramcore_zqcs_timer_count1 - 1'd1); end else begin - main_litedramcore_zqcs_timer_count1 <= 27'd99999999; + litedramcore_zqcs_timer_count1 <= 27'd99999999; end - main_litedramcore_zqcs_executer_done <= 1'd0; - if ((main_litedramcore_zqcs_executer_start & (main_litedramcore_zqcs_executer_counter == 1'd0))) begin - main_litedramcore_cmd_payload_a <= 11'd1024; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd1; - main_litedramcore_cmd_payload_we <= 1'd1; + litedramcore_zqcs_executer_done <= 1'd0; + if ((litedramcore_zqcs_executer_start & (litedramcore_zqcs_executer_counter == 1'd0))) begin + litedramcore_cmd_payload_a <= 11'd1024; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd1; + litedramcore_cmd_payload_we <= 1'd1; end - if ((main_litedramcore_zqcs_executer_counter == 2'd3)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd1; + if ((litedramcore_zqcs_executer_counter == 2'd3)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd1; end - if ((main_litedramcore_zqcs_executer_counter == 5'd19)) begin - main_litedramcore_cmd_payload_a <= 1'd0; - main_litedramcore_cmd_payload_ba <= 1'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_zqcs_executer_done <= 1'd1; + if ((litedramcore_zqcs_executer_counter == 5'd19)) begin + litedramcore_cmd_payload_a <= 1'd0; + litedramcore_cmd_payload_ba <= 1'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_zqcs_executer_done <= 1'd1; end - if ((main_litedramcore_zqcs_executer_counter == 5'd19)) begin - main_litedramcore_zqcs_executer_counter <= 1'd0; + if ((litedramcore_zqcs_executer_counter == 5'd19)) begin + litedramcore_zqcs_executer_counter <= 1'd0; end else begin - if ((main_litedramcore_zqcs_executer_counter != 1'd0)) begin - main_litedramcore_zqcs_executer_counter <= (main_litedramcore_zqcs_executer_counter + 1'd1); + if ((litedramcore_zqcs_executer_counter != 1'd0)) begin + litedramcore_zqcs_executer_counter <= (litedramcore_zqcs_executer_counter + 1'd1); end else begin - if (main_litedramcore_zqcs_executer_start) begin - main_litedramcore_zqcs_executer_counter <= 1'd1; + if (litedramcore_zqcs_executer_start) begin + litedramcore_zqcs_executer_counter <= 1'd1; end end end - builder_refresher_state <= builder_refresher_next_state; - if (main_litedramcore_bankmachine0_row_close) begin - main_litedramcore_bankmachine0_row_opened <= 1'd0; + litedramcore_refresher_state <= litedramcore_refresher_next_state; + if (litedramcore_bankmachine0_row_close) begin + litedramcore_bankmachine0_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine0_row_open) begin - main_litedramcore_bankmachine0_row_opened <= 1'd1; - main_litedramcore_bankmachine0_row <= main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine0_row_open) begin + litedramcore_bankmachine0_row_opened <= 1'd1; + litedramcore_bankmachine0_row <= litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine0_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine0_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & main_litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~main_litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine0_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (litedramcore_bankmachine0_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine0_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (litedramcore_bankmachine0_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine0_cmd_buffer_source_valid) | main_litedramcore_bankmachine0_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine0_cmd_buffer_source_valid <= main_litedramcore_bankmachine0_cmd_buffer_sink_valid; - main_litedramcore_bankmachine0_cmd_buffer_source_first <= main_litedramcore_bankmachine0_cmd_buffer_sink_first; - main_litedramcore_bankmachine0_cmd_buffer_source_last <= main_litedramcore_bankmachine0_cmd_buffer_sink_last; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine0_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready)) begin + litedramcore_bankmachine0_cmd_buffer_source_valid <= litedramcore_bankmachine0_cmd_buffer_sink_valid; + litedramcore_bankmachine0_cmd_buffer_source_first <= litedramcore_bankmachine0_cmd_buffer_sink_first; + litedramcore_bankmachine0_cmd_buffer_source_last <= litedramcore_bankmachine0_cmd_buffer_sink_last; + litedramcore_bankmachine0_cmd_buffer_source_payload_we <= litedramcore_bankmachine0_cmd_buffer_sink_payload_we; + litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= litedramcore_bankmachine0_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine0_twtpcon_valid) begin - main_litedramcore_bankmachine0_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine0_twtpcon_valid) begin + litedramcore_bankmachine0_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd1; + litedramcore_bankmachine0_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd0; + litedramcore_bankmachine0_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_twtpcon_ready)) begin - main_litedramcore_bankmachine0_twtpcon_count <= (main_litedramcore_bankmachine0_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine0_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_twtpcon_ready)) begin + litedramcore_bankmachine0_twtpcon_count <= (litedramcore_bankmachine0_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine0_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine0_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine0_trccon_valid) begin - main_litedramcore_bankmachine0_trccon_count <= 3'd5; + if (litedramcore_bankmachine0_trccon_valid) begin + litedramcore_bankmachine0_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd1; + litedramcore_bankmachine0_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd0; + litedramcore_bankmachine0_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_trccon_ready)) begin - main_litedramcore_bankmachine0_trccon_count <= (main_litedramcore_bankmachine0_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine0_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine0_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_trccon_ready)) begin + litedramcore_bankmachine0_trccon_count <= (litedramcore_bankmachine0_trccon_count - 1'd1); + if ((litedramcore_bankmachine0_trccon_count == 1'd1)) begin + litedramcore_bankmachine0_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine0_trascon_valid) begin - main_litedramcore_bankmachine0_trascon_count <= 3'd4; + if (litedramcore_bankmachine0_trascon_valid) begin + litedramcore_bankmachine0_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd1; + litedramcore_bankmachine0_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd0; + litedramcore_bankmachine0_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine0_trascon_ready)) begin - main_litedramcore_bankmachine0_trascon_count <= (main_litedramcore_bankmachine0_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine0_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine0_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine0_trascon_ready)) begin + litedramcore_bankmachine0_trascon_count <= (litedramcore_bankmachine0_trascon_count - 1'd1); + if ((litedramcore_bankmachine0_trascon_count == 1'd1)) begin + litedramcore_bankmachine0_trascon_ready <= 1'd1; end end end - builder_bankmachine0_state <= builder_bankmachine0_next_state; - if (main_litedramcore_bankmachine1_row_close) begin - main_litedramcore_bankmachine1_row_opened <= 1'd0; + litedramcore_bankmachine0_state <= litedramcore_bankmachine0_next_state; + if (litedramcore_bankmachine1_row_close) begin + litedramcore_bankmachine1_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine1_row_open) begin - main_litedramcore_bankmachine1_row_opened <= 1'd1; - main_litedramcore_bankmachine1_row <= main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine1_row_open) begin + litedramcore_bankmachine1_row_opened <= 1'd1; + litedramcore_bankmachine1_row <= litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine1_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine1_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & main_litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~main_litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine1_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (litedramcore_bankmachine1_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine1_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (litedramcore_bankmachine1_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine1_cmd_buffer_source_valid) | main_litedramcore_bankmachine1_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine1_cmd_buffer_source_valid <= main_litedramcore_bankmachine1_cmd_buffer_sink_valid; - main_litedramcore_bankmachine1_cmd_buffer_source_first <= main_litedramcore_bankmachine1_cmd_buffer_sink_first; - main_litedramcore_bankmachine1_cmd_buffer_source_last <= main_litedramcore_bankmachine1_cmd_buffer_sink_last; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine1_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready)) begin + litedramcore_bankmachine1_cmd_buffer_source_valid <= litedramcore_bankmachine1_cmd_buffer_sink_valid; + litedramcore_bankmachine1_cmd_buffer_source_first <= litedramcore_bankmachine1_cmd_buffer_sink_first; + litedramcore_bankmachine1_cmd_buffer_source_last <= litedramcore_bankmachine1_cmd_buffer_sink_last; + litedramcore_bankmachine1_cmd_buffer_source_payload_we <= litedramcore_bankmachine1_cmd_buffer_sink_payload_we; + litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= litedramcore_bankmachine1_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine1_twtpcon_valid) begin - main_litedramcore_bankmachine1_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine1_twtpcon_valid) begin + litedramcore_bankmachine1_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd1; + litedramcore_bankmachine1_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd0; + litedramcore_bankmachine1_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_twtpcon_ready)) begin - main_litedramcore_bankmachine1_twtpcon_count <= (main_litedramcore_bankmachine1_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine1_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_twtpcon_ready)) begin + litedramcore_bankmachine1_twtpcon_count <= (litedramcore_bankmachine1_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine1_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine1_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine1_trccon_valid) begin - main_litedramcore_bankmachine1_trccon_count <= 3'd5; + if (litedramcore_bankmachine1_trccon_valid) begin + litedramcore_bankmachine1_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd1; + litedramcore_bankmachine1_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd0; + litedramcore_bankmachine1_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_trccon_ready)) begin - main_litedramcore_bankmachine1_trccon_count <= (main_litedramcore_bankmachine1_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine1_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine1_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_trccon_ready)) begin + litedramcore_bankmachine1_trccon_count <= (litedramcore_bankmachine1_trccon_count - 1'd1); + if ((litedramcore_bankmachine1_trccon_count == 1'd1)) begin + litedramcore_bankmachine1_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine1_trascon_valid) begin - main_litedramcore_bankmachine1_trascon_count <= 3'd4; + if (litedramcore_bankmachine1_trascon_valid) begin + litedramcore_bankmachine1_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd1; + litedramcore_bankmachine1_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd0; + litedramcore_bankmachine1_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine1_trascon_ready)) begin - main_litedramcore_bankmachine1_trascon_count <= (main_litedramcore_bankmachine1_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine1_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine1_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine1_trascon_ready)) begin + litedramcore_bankmachine1_trascon_count <= (litedramcore_bankmachine1_trascon_count - 1'd1); + if ((litedramcore_bankmachine1_trascon_count == 1'd1)) begin + litedramcore_bankmachine1_trascon_ready <= 1'd1; end end end - builder_bankmachine1_state <= builder_bankmachine1_next_state; - if (main_litedramcore_bankmachine2_row_close) begin - main_litedramcore_bankmachine2_row_opened <= 1'd0; + litedramcore_bankmachine1_state <= litedramcore_bankmachine1_next_state; + if (litedramcore_bankmachine2_row_close) begin + litedramcore_bankmachine2_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine2_row_open) begin - main_litedramcore_bankmachine2_row_opened <= 1'd1; - main_litedramcore_bankmachine2_row <= main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine2_row_open) begin + litedramcore_bankmachine2_row_opened <= 1'd1; + litedramcore_bankmachine2_row <= litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine2_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine2_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & main_litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~main_litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine2_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (litedramcore_bankmachine2_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine2_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (litedramcore_bankmachine2_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine2_cmd_buffer_source_valid) | main_litedramcore_bankmachine2_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine2_cmd_buffer_source_valid <= main_litedramcore_bankmachine2_cmd_buffer_sink_valid; - main_litedramcore_bankmachine2_cmd_buffer_source_first <= main_litedramcore_bankmachine2_cmd_buffer_sink_first; - main_litedramcore_bankmachine2_cmd_buffer_source_last <= main_litedramcore_bankmachine2_cmd_buffer_sink_last; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine2_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready)) begin + litedramcore_bankmachine2_cmd_buffer_source_valid <= litedramcore_bankmachine2_cmd_buffer_sink_valid; + litedramcore_bankmachine2_cmd_buffer_source_first <= litedramcore_bankmachine2_cmd_buffer_sink_first; + litedramcore_bankmachine2_cmd_buffer_source_last <= litedramcore_bankmachine2_cmd_buffer_sink_last; + litedramcore_bankmachine2_cmd_buffer_source_payload_we <= litedramcore_bankmachine2_cmd_buffer_sink_payload_we; + litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= litedramcore_bankmachine2_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine2_twtpcon_valid) begin - main_litedramcore_bankmachine2_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine2_twtpcon_valid) begin + litedramcore_bankmachine2_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd1; + litedramcore_bankmachine2_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd0; + litedramcore_bankmachine2_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_twtpcon_ready)) begin - main_litedramcore_bankmachine2_twtpcon_count <= (main_litedramcore_bankmachine2_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine2_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_twtpcon_ready)) begin + litedramcore_bankmachine2_twtpcon_count <= (litedramcore_bankmachine2_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine2_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine2_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine2_trccon_valid) begin - main_litedramcore_bankmachine2_trccon_count <= 3'd5; + if (litedramcore_bankmachine2_trccon_valid) begin + litedramcore_bankmachine2_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd1; + litedramcore_bankmachine2_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd0; + litedramcore_bankmachine2_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_trccon_ready)) begin - main_litedramcore_bankmachine2_trccon_count <= (main_litedramcore_bankmachine2_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine2_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine2_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_trccon_ready)) begin + litedramcore_bankmachine2_trccon_count <= (litedramcore_bankmachine2_trccon_count - 1'd1); + if ((litedramcore_bankmachine2_trccon_count == 1'd1)) begin + litedramcore_bankmachine2_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine2_trascon_valid) begin - main_litedramcore_bankmachine2_trascon_count <= 3'd4; + if (litedramcore_bankmachine2_trascon_valid) begin + litedramcore_bankmachine2_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd1; + litedramcore_bankmachine2_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd0; + litedramcore_bankmachine2_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine2_trascon_ready)) begin - main_litedramcore_bankmachine2_trascon_count <= (main_litedramcore_bankmachine2_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine2_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine2_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine2_trascon_ready)) begin + litedramcore_bankmachine2_trascon_count <= (litedramcore_bankmachine2_trascon_count - 1'd1); + if ((litedramcore_bankmachine2_trascon_count == 1'd1)) begin + litedramcore_bankmachine2_trascon_ready <= 1'd1; end end end - builder_bankmachine2_state <= builder_bankmachine2_next_state; - if (main_litedramcore_bankmachine3_row_close) begin - main_litedramcore_bankmachine3_row_opened <= 1'd0; + litedramcore_bankmachine2_state <= litedramcore_bankmachine2_next_state; + if (litedramcore_bankmachine3_row_close) begin + litedramcore_bankmachine3_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine3_row_open) begin - main_litedramcore_bankmachine3_row_opened <= 1'd1; - main_litedramcore_bankmachine3_row <= main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine3_row_open) begin + litedramcore_bankmachine3_row_opened <= 1'd1; + litedramcore_bankmachine3_row <= litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine3_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine3_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & main_litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~main_litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine3_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (litedramcore_bankmachine3_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine3_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (litedramcore_bankmachine3_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine3_cmd_buffer_source_valid) | main_litedramcore_bankmachine3_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine3_cmd_buffer_source_valid <= main_litedramcore_bankmachine3_cmd_buffer_sink_valid; - main_litedramcore_bankmachine3_cmd_buffer_source_first <= main_litedramcore_bankmachine3_cmd_buffer_sink_first; - main_litedramcore_bankmachine3_cmd_buffer_source_last <= main_litedramcore_bankmachine3_cmd_buffer_sink_last; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine3_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready)) begin + litedramcore_bankmachine3_cmd_buffer_source_valid <= litedramcore_bankmachine3_cmd_buffer_sink_valid; + litedramcore_bankmachine3_cmd_buffer_source_first <= litedramcore_bankmachine3_cmd_buffer_sink_first; + litedramcore_bankmachine3_cmd_buffer_source_last <= litedramcore_bankmachine3_cmd_buffer_sink_last; + litedramcore_bankmachine3_cmd_buffer_source_payload_we <= litedramcore_bankmachine3_cmd_buffer_sink_payload_we; + litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= litedramcore_bankmachine3_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine3_twtpcon_valid) begin - main_litedramcore_bankmachine3_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine3_twtpcon_valid) begin + litedramcore_bankmachine3_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd1; + litedramcore_bankmachine3_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd0; + litedramcore_bankmachine3_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_twtpcon_ready)) begin - main_litedramcore_bankmachine3_twtpcon_count <= (main_litedramcore_bankmachine3_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine3_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_twtpcon_ready)) begin + litedramcore_bankmachine3_twtpcon_count <= (litedramcore_bankmachine3_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine3_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine3_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine3_trccon_valid) begin - main_litedramcore_bankmachine3_trccon_count <= 3'd5; + if (litedramcore_bankmachine3_trccon_valid) begin + litedramcore_bankmachine3_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd1; + litedramcore_bankmachine3_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd0; + litedramcore_bankmachine3_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_trccon_ready)) begin - main_litedramcore_bankmachine3_trccon_count <= (main_litedramcore_bankmachine3_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine3_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine3_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_trccon_ready)) begin + litedramcore_bankmachine3_trccon_count <= (litedramcore_bankmachine3_trccon_count - 1'd1); + if ((litedramcore_bankmachine3_trccon_count == 1'd1)) begin + litedramcore_bankmachine3_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine3_trascon_valid) begin - main_litedramcore_bankmachine3_trascon_count <= 3'd4; + if (litedramcore_bankmachine3_trascon_valid) begin + litedramcore_bankmachine3_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd1; + litedramcore_bankmachine3_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd0; + litedramcore_bankmachine3_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine3_trascon_ready)) begin - main_litedramcore_bankmachine3_trascon_count <= (main_litedramcore_bankmachine3_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine3_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine3_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine3_trascon_ready)) begin + litedramcore_bankmachine3_trascon_count <= (litedramcore_bankmachine3_trascon_count - 1'd1); + if ((litedramcore_bankmachine3_trascon_count == 1'd1)) begin + litedramcore_bankmachine3_trascon_ready <= 1'd1; end end end - builder_bankmachine3_state <= builder_bankmachine3_next_state; - if (main_litedramcore_bankmachine4_row_close) begin - main_litedramcore_bankmachine4_row_opened <= 1'd0; + litedramcore_bankmachine3_state <= litedramcore_bankmachine3_next_state; + if (litedramcore_bankmachine4_row_close) begin + litedramcore_bankmachine4_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine4_row_open) begin - main_litedramcore_bankmachine4_row_opened <= 1'd1; - main_litedramcore_bankmachine4_row <= main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine4_row_open) begin + litedramcore_bankmachine4_row_opened <= 1'd1; + litedramcore_bankmachine4_row <= litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine4_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine4_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & main_litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~main_litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine4_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (litedramcore_bankmachine4_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine4_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (litedramcore_bankmachine4_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine4_cmd_buffer_source_valid) | main_litedramcore_bankmachine4_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine4_cmd_buffer_source_valid <= main_litedramcore_bankmachine4_cmd_buffer_sink_valid; - main_litedramcore_bankmachine4_cmd_buffer_source_first <= main_litedramcore_bankmachine4_cmd_buffer_sink_first; - main_litedramcore_bankmachine4_cmd_buffer_source_last <= main_litedramcore_bankmachine4_cmd_buffer_sink_last; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine4_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready)) begin + litedramcore_bankmachine4_cmd_buffer_source_valid <= litedramcore_bankmachine4_cmd_buffer_sink_valid; + litedramcore_bankmachine4_cmd_buffer_source_first <= litedramcore_bankmachine4_cmd_buffer_sink_first; + litedramcore_bankmachine4_cmd_buffer_source_last <= litedramcore_bankmachine4_cmd_buffer_sink_last; + litedramcore_bankmachine4_cmd_buffer_source_payload_we <= litedramcore_bankmachine4_cmd_buffer_sink_payload_we; + litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= litedramcore_bankmachine4_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine4_twtpcon_valid) begin - main_litedramcore_bankmachine4_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine4_twtpcon_valid) begin + litedramcore_bankmachine4_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd1; + litedramcore_bankmachine4_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd0; + litedramcore_bankmachine4_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_twtpcon_ready)) begin - main_litedramcore_bankmachine4_twtpcon_count <= (main_litedramcore_bankmachine4_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine4_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_twtpcon_ready)) begin + litedramcore_bankmachine4_twtpcon_count <= (litedramcore_bankmachine4_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine4_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine4_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine4_trccon_valid) begin - main_litedramcore_bankmachine4_trccon_count <= 3'd5; + if (litedramcore_bankmachine4_trccon_valid) begin + litedramcore_bankmachine4_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd1; + litedramcore_bankmachine4_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd0; + litedramcore_bankmachine4_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_trccon_ready)) begin - main_litedramcore_bankmachine4_trccon_count <= (main_litedramcore_bankmachine4_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine4_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine4_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_trccon_ready)) begin + litedramcore_bankmachine4_trccon_count <= (litedramcore_bankmachine4_trccon_count - 1'd1); + if ((litedramcore_bankmachine4_trccon_count == 1'd1)) begin + litedramcore_bankmachine4_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine4_trascon_valid) begin - main_litedramcore_bankmachine4_trascon_count <= 3'd4; + if (litedramcore_bankmachine4_trascon_valid) begin + litedramcore_bankmachine4_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd1; + litedramcore_bankmachine4_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd0; + litedramcore_bankmachine4_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine4_trascon_ready)) begin - main_litedramcore_bankmachine4_trascon_count <= (main_litedramcore_bankmachine4_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine4_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine4_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine4_trascon_ready)) begin + litedramcore_bankmachine4_trascon_count <= (litedramcore_bankmachine4_trascon_count - 1'd1); + if ((litedramcore_bankmachine4_trascon_count == 1'd1)) begin + litedramcore_bankmachine4_trascon_ready <= 1'd1; end end end - builder_bankmachine4_state <= builder_bankmachine4_next_state; - if (main_litedramcore_bankmachine5_row_close) begin - main_litedramcore_bankmachine5_row_opened <= 1'd0; + litedramcore_bankmachine4_state <= litedramcore_bankmachine4_next_state; + if (litedramcore_bankmachine5_row_close) begin + litedramcore_bankmachine5_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine5_row_open) begin - main_litedramcore_bankmachine5_row_opened <= 1'd1; - main_litedramcore_bankmachine5_row <= main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine5_row_open) begin + litedramcore_bankmachine5_row_opened <= 1'd1; + litedramcore_bankmachine5_row <= litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine5_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine5_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & main_litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~main_litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine5_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (litedramcore_bankmachine5_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine5_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (litedramcore_bankmachine5_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine5_cmd_buffer_source_valid) | main_litedramcore_bankmachine5_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine5_cmd_buffer_source_valid <= main_litedramcore_bankmachine5_cmd_buffer_sink_valid; - main_litedramcore_bankmachine5_cmd_buffer_source_first <= main_litedramcore_bankmachine5_cmd_buffer_sink_first; - main_litedramcore_bankmachine5_cmd_buffer_source_last <= main_litedramcore_bankmachine5_cmd_buffer_sink_last; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine5_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready)) begin + litedramcore_bankmachine5_cmd_buffer_source_valid <= litedramcore_bankmachine5_cmd_buffer_sink_valid; + litedramcore_bankmachine5_cmd_buffer_source_first <= litedramcore_bankmachine5_cmd_buffer_sink_first; + litedramcore_bankmachine5_cmd_buffer_source_last <= litedramcore_bankmachine5_cmd_buffer_sink_last; + litedramcore_bankmachine5_cmd_buffer_source_payload_we <= litedramcore_bankmachine5_cmd_buffer_sink_payload_we; + litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= litedramcore_bankmachine5_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine5_twtpcon_valid) begin - main_litedramcore_bankmachine5_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine5_twtpcon_valid) begin + litedramcore_bankmachine5_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd1; + litedramcore_bankmachine5_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd0; + litedramcore_bankmachine5_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_twtpcon_ready)) begin - main_litedramcore_bankmachine5_twtpcon_count <= (main_litedramcore_bankmachine5_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine5_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_twtpcon_ready)) begin + litedramcore_bankmachine5_twtpcon_count <= (litedramcore_bankmachine5_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine5_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine5_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine5_trccon_valid) begin - main_litedramcore_bankmachine5_trccon_count <= 3'd5; + if (litedramcore_bankmachine5_trccon_valid) begin + litedramcore_bankmachine5_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd1; + litedramcore_bankmachine5_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd0; + litedramcore_bankmachine5_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_trccon_ready)) begin - main_litedramcore_bankmachine5_trccon_count <= (main_litedramcore_bankmachine5_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine5_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine5_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_trccon_ready)) begin + litedramcore_bankmachine5_trccon_count <= (litedramcore_bankmachine5_trccon_count - 1'd1); + if ((litedramcore_bankmachine5_trccon_count == 1'd1)) begin + litedramcore_bankmachine5_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine5_trascon_valid) begin - main_litedramcore_bankmachine5_trascon_count <= 3'd4; + if (litedramcore_bankmachine5_trascon_valid) begin + litedramcore_bankmachine5_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd1; + litedramcore_bankmachine5_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd0; + litedramcore_bankmachine5_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine5_trascon_ready)) begin - main_litedramcore_bankmachine5_trascon_count <= (main_litedramcore_bankmachine5_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine5_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine5_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine5_trascon_ready)) begin + litedramcore_bankmachine5_trascon_count <= (litedramcore_bankmachine5_trascon_count - 1'd1); + if ((litedramcore_bankmachine5_trascon_count == 1'd1)) begin + litedramcore_bankmachine5_trascon_ready <= 1'd1; end end end - builder_bankmachine5_state <= builder_bankmachine5_next_state; - if (main_litedramcore_bankmachine6_row_close) begin - main_litedramcore_bankmachine6_row_opened <= 1'd0; + litedramcore_bankmachine5_state <= litedramcore_bankmachine5_next_state; + if (litedramcore_bankmachine6_row_close) begin + litedramcore_bankmachine6_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine6_row_open) begin - main_litedramcore_bankmachine6_row_opened <= 1'd1; - main_litedramcore_bankmachine6_row <= main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine6_row_open) begin + litedramcore_bankmachine6_row_opened <= 1'd1; + litedramcore_bankmachine6_row <= litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine6_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine6_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & main_litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~main_litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine6_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (litedramcore_bankmachine6_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine6_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (litedramcore_bankmachine6_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine6_cmd_buffer_source_valid) | main_litedramcore_bankmachine6_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine6_cmd_buffer_source_valid <= main_litedramcore_bankmachine6_cmd_buffer_sink_valid; - main_litedramcore_bankmachine6_cmd_buffer_source_first <= main_litedramcore_bankmachine6_cmd_buffer_sink_first; - main_litedramcore_bankmachine6_cmd_buffer_source_last <= main_litedramcore_bankmachine6_cmd_buffer_sink_last; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine6_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready)) begin + litedramcore_bankmachine6_cmd_buffer_source_valid <= litedramcore_bankmachine6_cmd_buffer_sink_valid; + litedramcore_bankmachine6_cmd_buffer_source_first <= litedramcore_bankmachine6_cmd_buffer_sink_first; + litedramcore_bankmachine6_cmd_buffer_source_last <= litedramcore_bankmachine6_cmd_buffer_sink_last; + litedramcore_bankmachine6_cmd_buffer_source_payload_we <= litedramcore_bankmachine6_cmd_buffer_sink_payload_we; + litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= litedramcore_bankmachine6_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine6_twtpcon_valid) begin - main_litedramcore_bankmachine6_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine6_twtpcon_valid) begin + litedramcore_bankmachine6_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd1; + litedramcore_bankmachine6_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd0; + litedramcore_bankmachine6_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_twtpcon_ready)) begin - main_litedramcore_bankmachine6_twtpcon_count <= (main_litedramcore_bankmachine6_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine6_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_twtpcon_ready)) begin + litedramcore_bankmachine6_twtpcon_count <= (litedramcore_bankmachine6_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine6_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine6_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine6_trccon_valid) begin - main_litedramcore_bankmachine6_trccon_count <= 3'd5; + if (litedramcore_bankmachine6_trccon_valid) begin + litedramcore_bankmachine6_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd1; + litedramcore_bankmachine6_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd0; + litedramcore_bankmachine6_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_trccon_ready)) begin - main_litedramcore_bankmachine6_trccon_count <= (main_litedramcore_bankmachine6_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine6_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine6_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_trccon_ready)) begin + litedramcore_bankmachine6_trccon_count <= (litedramcore_bankmachine6_trccon_count - 1'd1); + if ((litedramcore_bankmachine6_trccon_count == 1'd1)) begin + litedramcore_bankmachine6_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine6_trascon_valid) begin - main_litedramcore_bankmachine6_trascon_count <= 3'd4; + if (litedramcore_bankmachine6_trascon_valid) begin + litedramcore_bankmachine6_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd1; + litedramcore_bankmachine6_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd0; + litedramcore_bankmachine6_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine6_trascon_ready)) begin - main_litedramcore_bankmachine6_trascon_count <= (main_litedramcore_bankmachine6_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine6_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine6_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine6_trascon_ready)) begin + litedramcore_bankmachine6_trascon_count <= (litedramcore_bankmachine6_trascon_count - 1'd1); + if ((litedramcore_bankmachine6_trascon_count == 1'd1)) begin + litedramcore_bankmachine6_trascon_ready <= 1'd1; end end end - builder_bankmachine6_state <= builder_bankmachine6_next_state; - if (main_litedramcore_bankmachine7_row_close) begin - main_litedramcore_bankmachine7_row_opened <= 1'd0; + litedramcore_bankmachine6_state <= litedramcore_bankmachine6_next_state; + if (litedramcore_bankmachine7_row_close) begin + litedramcore_bankmachine7_row_opened <= 1'd0; end else begin - if (main_litedramcore_bankmachine7_row_open) begin - main_litedramcore_bankmachine7_row_opened <= 1'd1; - main_litedramcore_bankmachine7_row <= main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7]; + if (litedramcore_bankmachine7_row_open) begin + litedramcore_bankmachine7_row_opened <= 1'd1; + litedramcore_bankmachine7_row <= litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7]; end end - if (((main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce + 1'd1); + if (((litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine7_cmd_buffer_lookahead_produce + 1'd1); end - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume + 1'd1); + if (litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine7_cmd_buffer_lookahead_consume + 1'd1); end - if (((main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & main_litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~main_litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin - if ((~main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read)) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level + 1'd1); + if (((litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin + if ((~litedramcore_bankmachine7_cmd_buffer_lookahead_do_read)) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (litedramcore_bankmachine7_cmd_buffer_lookahead_level + 1'd1); end end else begin - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (main_litedramcore_bankmachine7_cmd_buffer_lookahead_level - 1'd1); + if (litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (litedramcore_bankmachine7_cmd_buffer_lookahead_level - 1'd1); end end - if (((~main_litedramcore_bankmachine7_cmd_buffer_source_valid) | main_litedramcore_bankmachine7_cmd_buffer_source_ready)) begin - main_litedramcore_bankmachine7_cmd_buffer_source_valid <= main_litedramcore_bankmachine7_cmd_buffer_sink_valid; - main_litedramcore_bankmachine7_cmd_buffer_source_first <= main_litedramcore_bankmachine7_cmd_buffer_sink_first; - main_litedramcore_bankmachine7_cmd_buffer_source_last <= main_litedramcore_bankmachine7_cmd_buffer_sink_last; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_we <= main_litedramcore_bankmachine7_cmd_buffer_sink_payload_we; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= main_litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; + if (((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready)) begin + litedramcore_bankmachine7_cmd_buffer_source_valid <= litedramcore_bankmachine7_cmd_buffer_sink_valid; + litedramcore_bankmachine7_cmd_buffer_source_first <= litedramcore_bankmachine7_cmd_buffer_sink_first; + litedramcore_bankmachine7_cmd_buffer_source_last <= litedramcore_bankmachine7_cmd_buffer_sink_last; + litedramcore_bankmachine7_cmd_buffer_source_payload_we <= litedramcore_bankmachine7_cmd_buffer_sink_payload_we; + litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= litedramcore_bankmachine7_cmd_buffer_sink_payload_addr; end - if (main_litedramcore_bankmachine7_twtpcon_valid) begin - main_litedramcore_bankmachine7_twtpcon_count <= 3'd5; + if (litedramcore_bankmachine7_twtpcon_valid) begin + litedramcore_bankmachine7_twtpcon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd1; + litedramcore_bankmachine7_twtpcon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd0; + litedramcore_bankmachine7_twtpcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_twtpcon_ready)) begin - main_litedramcore_bankmachine7_twtpcon_count <= (main_litedramcore_bankmachine7_twtpcon_count - 1'd1); - if ((main_litedramcore_bankmachine7_twtpcon_count == 1'd1)) begin - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_twtpcon_ready)) begin + litedramcore_bankmachine7_twtpcon_count <= (litedramcore_bankmachine7_twtpcon_count - 1'd1); + if ((litedramcore_bankmachine7_twtpcon_count == 1'd1)) begin + litedramcore_bankmachine7_twtpcon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine7_trccon_valid) begin - main_litedramcore_bankmachine7_trccon_count <= 3'd5; + if (litedramcore_bankmachine7_trccon_valid) begin + litedramcore_bankmachine7_trccon_count <= 3'd5; if (1'd0) begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd1; + litedramcore_bankmachine7_trccon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd0; + litedramcore_bankmachine7_trccon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_trccon_ready)) begin - main_litedramcore_bankmachine7_trccon_count <= (main_litedramcore_bankmachine7_trccon_count - 1'd1); - if ((main_litedramcore_bankmachine7_trccon_count == 1'd1)) begin - main_litedramcore_bankmachine7_trccon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_trccon_ready)) begin + litedramcore_bankmachine7_trccon_count <= (litedramcore_bankmachine7_trccon_count - 1'd1); + if ((litedramcore_bankmachine7_trccon_count == 1'd1)) begin + litedramcore_bankmachine7_trccon_ready <= 1'd1; end end end - if (main_litedramcore_bankmachine7_trascon_valid) begin - main_litedramcore_bankmachine7_trascon_count <= 3'd4; + if (litedramcore_bankmachine7_trascon_valid) begin + litedramcore_bankmachine7_trascon_count <= 3'd4; if (1'd0) begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd1; + litedramcore_bankmachine7_trascon_ready <= 1'd1; end else begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd0; + litedramcore_bankmachine7_trascon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_bankmachine7_trascon_ready)) begin - main_litedramcore_bankmachine7_trascon_count <= (main_litedramcore_bankmachine7_trascon_count - 1'd1); - if ((main_litedramcore_bankmachine7_trascon_count == 1'd1)) begin - main_litedramcore_bankmachine7_trascon_ready <= 1'd1; + if ((~litedramcore_bankmachine7_trascon_ready)) begin + litedramcore_bankmachine7_trascon_count <= (litedramcore_bankmachine7_trascon_count - 1'd1); + if ((litedramcore_bankmachine7_trascon_count == 1'd1)) begin + litedramcore_bankmachine7_trascon_ready <= 1'd1; end end end - builder_bankmachine7_state <= builder_bankmachine7_next_state; - if ((~main_litedramcore_en0)) begin - main_litedramcore_time0 <= 5'd31; + litedramcore_bankmachine7_state <= litedramcore_bankmachine7_next_state; + if ((~litedramcore_en0)) begin + litedramcore_time0 <= 5'd31; end else begin - if ((~main_litedramcore_max_time0)) begin - main_litedramcore_time0 <= (main_litedramcore_time0 - 1'd1); + if ((~litedramcore_max_time0)) begin + litedramcore_time0 <= (litedramcore_time0 - 1'd1); end end - if ((~main_litedramcore_en1)) begin - main_litedramcore_time1 <= 4'd15; + if ((~litedramcore_en1)) begin + litedramcore_time1 <= 4'd15; end else begin - if ((~main_litedramcore_max_time1)) begin - main_litedramcore_time1 <= (main_litedramcore_time1 - 1'd1); + if ((~litedramcore_max_time1)) begin + litedramcore_time1 <= (litedramcore_time1 - 1'd1); end end - if (main_litedramcore_choose_cmd_ce) begin - case (main_litedramcore_choose_cmd_grant) + if (litedramcore_choose_cmd_ce) begin + case (litedramcore_choose_cmd_grant) 1'd0: begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end end end @@ -12367,26 +12810,26 @@ always @(posedge sys_clk) begin end end 1'd1: begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end end end @@ -12396,26 +12839,26 @@ always @(posedge sys_clk) begin end end 2'd2: begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end end end @@ -12425,26 +12868,26 @@ always @(posedge sys_clk) begin end end 2'd3: begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end end end @@ -12454,26 +12897,26 @@ always @(posedge sys_clk) begin end end 3'd4: begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end end end @@ -12483,26 +12926,26 @@ always @(posedge sys_clk) begin end end 3'd5: begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end else begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end end end @@ -12512,26 +12955,26 @@ always @(posedge sys_clk) begin end end 3'd6: begin - if (main_litedramcore_choose_cmd_request[7]) begin - main_litedramcore_choose_cmd_grant <= 3'd7; + if (litedramcore_choose_cmd_request[7]) begin + litedramcore_choose_cmd_grant <= 3'd7; end else begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end end end @@ -12541,26 +12984,26 @@ always @(posedge sys_clk) begin end end 3'd7: begin - if (main_litedramcore_choose_cmd_request[0]) begin - main_litedramcore_choose_cmd_grant <= 1'd0; + if (litedramcore_choose_cmd_request[0]) begin + litedramcore_choose_cmd_grant <= 1'd0; end else begin - if (main_litedramcore_choose_cmd_request[1]) begin - main_litedramcore_choose_cmd_grant <= 1'd1; + if (litedramcore_choose_cmd_request[1]) begin + litedramcore_choose_cmd_grant <= 1'd1; end else begin - if (main_litedramcore_choose_cmd_request[2]) begin - main_litedramcore_choose_cmd_grant <= 2'd2; + if (litedramcore_choose_cmd_request[2]) begin + litedramcore_choose_cmd_grant <= 2'd2; end else begin - if (main_litedramcore_choose_cmd_request[3]) begin - main_litedramcore_choose_cmd_grant <= 2'd3; + if (litedramcore_choose_cmd_request[3]) begin + litedramcore_choose_cmd_grant <= 2'd3; end else begin - if (main_litedramcore_choose_cmd_request[4]) begin - main_litedramcore_choose_cmd_grant <= 3'd4; + if (litedramcore_choose_cmd_request[4]) begin + litedramcore_choose_cmd_grant <= 3'd4; end else begin - if (main_litedramcore_choose_cmd_request[5]) begin - main_litedramcore_choose_cmd_grant <= 3'd5; + if (litedramcore_choose_cmd_request[5]) begin + litedramcore_choose_cmd_grant <= 3'd5; end else begin - if (main_litedramcore_choose_cmd_request[6]) begin - main_litedramcore_choose_cmd_grant <= 3'd6; + if (litedramcore_choose_cmd_request[6]) begin + litedramcore_choose_cmd_grant <= 3'd6; end end end @@ -12571,29 +13014,29 @@ always @(posedge sys_clk) begin end endcase end - if (main_litedramcore_choose_req_ce) begin - case (main_litedramcore_choose_req_grant) + if (litedramcore_choose_req_ce) begin + case (litedramcore_choose_req_grant) 1'd0: begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end end end @@ -12603,26 +13046,26 @@ always @(posedge sys_clk) begin end end 1'd1: begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end end end @@ -12632,26 +13075,26 @@ always @(posedge sys_clk) begin end end 2'd2: begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end end end @@ -12661,26 +13104,26 @@ always @(posedge sys_clk) begin end end 2'd3: begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end end end @@ -12690,26 +13133,26 @@ always @(posedge sys_clk) begin end end 3'd4: begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end end end @@ -12719,26 +13162,26 @@ always @(posedge sys_clk) begin end end 3'd5: begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end else begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end end end @@ -12748,26 +13191,26 @@ always @(posedge sys_clk) begin end end 3'd6: begin - if (main_litedramcore_choose_req_request[7]) begin - main_litedramcore_choose_req_grant <= 3'd7; + if (litedramcore_choose_req_request[7]) begin + litedramcore_choose_req_grant <= 3'd7; end else begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end end end @@ -12777,26 +13220,26 @@ always @(posedge sys_clk) begin end end 3'd7: begin - if (main_litedramcore_choose_req_request[0]) begin - main_litedramcore_choose_req_grant <= 1'd0; + if (litedramcore_choose_req_request[0]) begin + litedramcore_choose_req_grant <= 1'd0; end else begin - if (main_litedramcore_choose_req_request[1]) begin - main_litedramcore_choose_req_grant <= 1'd1; + if (litedramcore_choose_req_request[1]) begin + litedramcore_choose_req_grant <= 1'd1; end else begin - if (main_litedramcore_choose_req_request[2]) begin - main_litedramcore_choose_req_grant <= 2'd2; + if (litedramcore_choose_req_request[2]) begin + litedramcore_choose_req_grant <= 2'd2; end else begin - if (main_litedramcore_choose_req_request[3]) begin - main_litedramcore_choose_req_grant <= 2'd3; + if (litedramcore_choose_req_request[3]) begin + litedramcore_choose_req_grant <= 2'd3; end else begin - if (main_litedramcore_choose_req_request[4]) begin - main_litedramcore_choose_req_grant <= 3'd4; + if (litedramcore_choose_req_request[4]) begin + litedramcore_choose_req_grant <= 3'd4; end else begin - if (main_litedramcore_choose_req_request[5]) begin - main_litedramcore_choose_req_grant <= 3'd5; + if (litedramcore_choose_req_request[5]) begin + litedramcore_choose_req_grant <= 3'd5; end else begin - if (main_litedramcore_choose_req_request[6]) begin - main_litedramcore_choose_req_grant <= 3'd6; + if (litedramcore_choose_req_request[6]) begin + litedramcore_choose_req_grant <= 3'd6; end end end @@ -12807,644 +13250,644 @@ always @(posedge sys_clk) begin end endcase end - main_litedramcore_dfi_p0_cs_n <= 1'd0; - main_litedramcore_dfi_p0_bank <= builder_array_muxed0; - main_litedramcore_dfi_p0_address <= builder_array_muxed1; - main_litedramcore_dfi_p0_cas_n <= (~builder_array_muxed2); - main_litedramcore_dfi_p0_ras_n <= (~builder_array_muxed3); - main_litedramcore_dfi_p0_we_n <= (~builder_array_muxed4); - main_litedramcore_dfi_p0_rddata_en <= builder_array_muxed5; - main_litedramcore_dfi_p0_wrdata_en <= builder_array_muxed6; - main_litedramcore_dfi_p1_cs_n <= 1'd0; - main_litedramcore_dfi_p1_bank <= builder_array_muxed7; - main_litedramcore_dfi_p1_address <= builder_array_muxed8; - main_litedramcore_dfi_p1_cas_n <= (~builder_array_muxed9); - main_litedramcore_dfi_p1_ras_n <= (~builder_array_muxed10); - main_litedramcore_dfi_p1_we_n <= (~builder_array_muxed11); - main_litedramcore_dfi_p1_rddata_en <= builder_array_muxed12; - main_litedramcore_dfi_p1_wrdata_en <= builder_array_muxed13; - main_litedramcore_dfi_p2_cs_n <= 1'd0; - main_litedramcore_dfi_p2_bank <= builder_array_muxed14; - main_litedramcore_dfi_p2_address <= builder_array_muxed15; - main_litedramcore_dfi_p2_cas_n <= (~builder_array_muxed16); - main_litedramcore_dfi_p2_ras_n <= (~builder_array_muxed17); - main_litedramcore_dfi_p2_we_n <= (~builder_array_muxed18); - main_litedramcore_dfi_p2_rddata_en <= builder_array_muxed19; - main_litedramcore_dfi_p2_wrdata_en <= builder_array_muxed20; - main_litedramcore_dfi_p3_cs_n <= 1'd0; - main_litedramcore_dfi_p3_bank <= builder_array_muxed21; - main_litedramcore_dfi_p3_address <= builder_array_muxed22; - main_litedramcore_dfi_p3_cas_n <= (~builder_array_muxed23); - main_litedramcore_dfi_p3_ras_n <= (~builder_array_muxed24); - main_litedramcore_dfi_p3_we_n <= (~builder_array_muxed25); - main_litedramcore_dfi_p3_rddata_en <= builder_array_muxed26; - main_litedramcore_dfi_p3_wrdata_en <= builder_array_muxed27; - if (main_litedramcore_trrdcon_valid) begin - main_litedramcore_trrdcon_count <= 1'd1; + litedramcore_dfi_p0_cs_n <= 1'd0; + litedramcore_dfi_p0_bank <= array_muxed0; + litedramcore_dfi_p0_address <= array_muxed1; + litedramcore_dfi_p0_cas_n <= (~array_muxed2); + litedramcore_dfi_p0_ras_n <= (~array_muxed3); + litedramcore_dfi_p0_we_n <= (~array_muxed4); + litedramcore_dfi_p0_rddata_en <= array_muxed5; + litedramcore_dfi_p0_wrdata_en <= array_muxed6; + litedramcore_dfi_p1_cs_n <= 1'd0; + litedramcore_dfi_p1_bank <= array_muxed7; + litedramcore_dfi_p1_address <= array_muxed8; + litedramcore_dfi_p1_cas_n <= (~array_muxed9); + litedramcore_dfi_p1_ras_n <= (~array_muxed10); + litedramcore_dfi_p1_we_n <= (~array_muxed11); + litedramcore_dfi_p1_rddata_en <= array_muxed12; + litedramcore_dfi_p1_wrdata_en <= array_muxed13; + litedramcore_dfi_p2_cs_n <= 1'd0; + litedramcore_dfi_p2_bank <= array_muxed14; + litedramcore_dfi_p2_address <= array_muxed15; + litedramcore_dfi_p2_cas_n <= (~array_muxed16); + litedramcore_dfi_p2_ras_n <= (~array_muxed17); + litedramcore_dfi_p2_we_n <= (~array_muxed18); + litedramcore_dfi_p2_rddata_en <= array_muxed19; + litedramcore_dfi_p2_wrdata_en <= array_muxed20; + litedramcore_dfi_p3_cs_n <= 1'd0; + litedramcore_dfi_p3_bank <= array_muxed21; + litedramcore_dfi_p3_address <= array_muxed22; + litedramcore_dfi_p3_cas_n <= (~array_muxed23); + litedramcore_dfi_p3_ras_n <= (~array_muxed24); + litedramcore_dfi_p3_we_n <= (~array_muxed25); + litedramcore_dfi_p3_rddata_en <= array_muxed26; + litedramcore_dfi_p3_wrdata_en <= array_muxed27; + if (litedramcore_trrdcon_valid) begin + litedramcore_trrdcon_count <= 1'd1; if (1'd0) begin - main_litedramcore_trrdcon_ready <= 1'd1; + litedramcore_trrdcon_ready <= 1'd1; end else begin - main_litedramcore_trrdcon_ready <= 1'd0; + litedramcore_trrdcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_trrdcon_ready)) begin - main_litedramcore_trrdcon_count <= (main_litedramcore_trrdcon_count - 1'd1); - if ((main_litedramcore_trrdcon_count == 1'd1)) begin - main_litedramcore_trrdcon_ready <= 1'd1; + if ((~litedramcore_trrdcon_ready)) begin + litedramcore_trrdcon_count <= (litedramcore_trrdcon_count - 1'd1); + if ((litedramcore_trrdcon_count == 1'd1)) begin + litedramcore_trrdcon_ready <= 1'd1; end end end - main_litedramcore_tfawcon_window <= {main_litedramcore_tfawcon_window, main_litedramcore_tfawcon_valid}; - if ((main_litedramcore_tfawcon_count < 3'd4)) begin - if ((main_litedramcore_tfawcon_count == 2'd3)) begin - main_litedramcore_tfawcon_ready <= (~main_litedramcore_tfawcon_valid); + litedramcore_tfawcon_window <= {litedramcore_tfawcon_window, litedramcore_tfawcon_valid}; + if ((litedramcore_tfawcon_count < 3'd4)) begin + if ((litedramcore_tfawcon_count == 2'd3)) begin + litedramcore_tfawcon_ready <= (~litedramcore_tfawcon_valid); end else begin - main_litedramcore_tfawcon_ready <= 1'd1; + litedramcore_tfawcon_ready <= 1'd1; end end - if (main_litedramcore_tccdcon_valid) begin - main_litedramcore_tccdcon_count <= 1'd0; + if (litedramcore_tccdcon_valid) begin + litedramcore_tccdcon_count <= 1'd0; if (1'd1) begin - main_litedramcore_tccdcon_ready <= 1'd1; + litedramcore_tccdcon_ready <= 1'd1; end else begin - main_litedramcore_tccdcon_ready <= 1'd0; + litedramcore_tccdcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_tccdcon_ready)) begin - main_litedramcore_tccdcon_count <= (main_litedramcore_tccdcon_count - 1'd1); - if ((main_litedramcore_tccdcon_count == 1'd1)) begin - main_litedramcore_tccdcon_ready <= 1'd1; + if ((~litedramcore_tccdcon_ready)) begin + litedramcore_tccdcon_count <= (litedramcore_tccdcon_count - 1'd1); + if ((litedramcore_tccdcon_count == 1'd1)) begin + litedramcore_tccdcon_ready <= 1'd1; end end end - if (main_litedramcore_twtrcon_valid) begin - main_litedramcore_twtrcon_count <= 3'd4; + if (litedramcore_twtrcon_valid) begin + litedramcore_twtrcon_count <= 3'd4; if (1'd0) begin - main_litedramcore_twtrcon_ready <= 1'd1; + litedramcore_twtrcon_ready <= 1'd1; end else begin - main_litedramcore_twtrcon_ready <= 1'd0; + litedramcore_twtrcon_ready <= 1'd0; end end else begin - if ((~main_litedramcore_twtrcon_ready)) begin - main_litedramcore_twtrcon_count <= (main_litedramcore_twtrcon_count - 1'd1); - if ((main_litedramcore_twtrcon_count == 1'd1)) begin - main_litedramcore_twtrcon_ready <= 1'd1; + if ((~litedramcore_twtrcon_ready)) begin + litedramcore_twtrcon_count <= (litedramcore_twtrcon_count - 1'd1); + if ((litedramcore_twtrcon_count == 1'd1)) begin + litedramcore_twtrcon_ready <= 1'd1; end end end - builder_multiplexer_state <= builder_multiplexer_next_state; - builder_new_master_wdata_ready0 <= ((((((((1'd0 | ((builder_roundrobin0_grant == 1'd0) & main_litedramcore_interface_bank0_wdata_ready)) | ((builder_roundrobin1_grant == 1'd0) & main_litedramcore_interface_bank1_wdata_ready)) | ((builder_roundrobin2_grant == 1'd0) & main_litedramcore_interface_bank2_wdata_ready)) | ((builder_roundrobin3_grant == 1'd0) & main_litedramcore_interface_bank3_wdata_ready)) | ((builder_roundrobin4_grant == 1'd0) & main_litedramcore_interface_bank4_wdata_ready)) | ((builder_roundrobin5_grant == 1'd0) & main_litedramcore_interface_bank5_wdata_ready)) | ((builder_roundrobin6_grant == 1'd0) & main_litedramcore_interface_bank6_wdata_ready)) | ((builder_roundrobin7_grant == 1'd0) & main_litedramcore_interface_bank7_wdata_ready)); - builder_new_master_wdata_ready1 <= builder_new_master_wdata_ready0; - builder_new_master_rdata_valid0 <= ((((((((1'd0 | ((builder_roundrobin0_grant == 1'd0) & main_litedramcore_interface_bank0_rdata_valid)) | ((builder_roundrobin1_grant == 1'd0) & main_litedramcore_interface_bank1_rdata_valid)) | ((builder_roundrobin2_grant == 1'd0) & main_litedramcore_interface_bank2_rdata_valid)) | ((builder_roundrobin3_grant == 1'd0) & main_litedramcore_interface_bank3_rdata_valid)) | ((builder_roundrobin4_grant == 1'd0) & main_litedramcore_interface_bank4_rdata_valid)) | ((builder_roundrobin5_grant == 1'd0) & main_litedramcore_interface_bank5_rdata_valid)) | ((builder_roundrobin6_grant == 1'd0) & main_litedramcore_interface_bank6_rdata_valid)) | ((builder_roundrobin7_grant == 1'd0) & main_litedramcore_interface_bank7_rdata_valid)); - builder_new_master_rdata_valid1 <= builder_new_master_rdata_valid0; - builder_new_master_rdata_valid2 <= builder_new_master_rdata_valid1; - builder_new_master_rdata_valid3 <= builder_new_master_rdata_valid2; - builder_new_master_rdata_valid4 <= builder_new_master_rdata_valid3; - builder_new_master_rdata_valid5 <= builder_new_master_rdata_valid4; - builder_new_master_rdata_valid6 <= builder_new_master_rdata_valid5; - builder_new_master_rdata_valid7 <= builder_new_master_rdata_valid6; - builder_new_master_rdata_valid8 <= builder_new_master_rdata_valid7; - builder_state <= builder_next_state; - if (builder_litedramcore_dat_w_next_value_ce0) begin - builder_litedramcore_dat_w <= builder_litedramcore_dat_w_next_value0; + litedramcore_multiplexer_state <= litedramcore_multiplexer_next_state; + litedramcore_new_master_wdata_ready0 <= ((((((((1'd0 | ((litedramcore_roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_wdata_ready)) | ((litedramcore_roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_wdata_ready)) | ((litedramcore_roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_wdata_ready)) | ((litedramcore_roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_wdata_ready)) | ((litedramcore_roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_wdata_ready)) | ((litedramcore_roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_wdata_ready)) | ((litedramcore_roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_wdata_ready)) | ((litedramcore_roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_wdata_ready)); + litedramcore_new_master_wdata_ready1 <= litedramcore_new_master_wdata_ready0; + litedramcore_new_master_rdata_valid0 <= ((((((((1'd0 | ((litedramcore_roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_rdata_valid)) | ((litedramcore_roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_rdata_valid)) | ((litedramcore_roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_rdata_valid)) | ((litedramcore_roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_rdata_valid)) | ((litedramcore_roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_rdata_valid)) | ((litedramcore_roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_rdata_valid)) | ((litedramcore_roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_rdata_valid)) | ((litedramcore_roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_rdata_valid)); + litedramcore_new_master_rdata_valid1 <= litedramcore_new_master_rdata_valid0; + litedramcore_new_master_rdata_valid2 <= litedramcore_new_master_rdata_valid1; + litedramcore_new_master_rdata_valid3 <= litedramcore_new_master_rdata_valid2; + litedramcore_new_master_rdata_valid4 <= litedramcore_new_master_rdata_valid3; + litedramcore_new_master_rdata_valid5 <= litedramcore_new_master_rdata_valid4; + litedramcore_new_master_rdata_valid6 <= litedramcore_new_master_rdata_valid5; + litedramcore_new_master_rdata_valid7 <= litedramcore_new_master_rdata_valid6; + litedramcore_new_master_rdata_valid8 <= litedramcore_new_master_rdata_valid7; + litedramcore_state <= litedramcore_next_state; + if (litedramcore_dat_w_next_value_ce0) begin + litedramcore_dat_w <= litedramcore_dat_w_next_value0; end - if (builder_litedramcore_adr_next_value_ce1) begin - builder_litedramcore_adr <= builder_litedramcore_adr_next_value1; + if (litedramcore_adr_next_value_ce1) begin + litedramcore_adr <= litedramcore_adr_next_value1; end - if (builder_litedramcore_we_next_value_ce2) begin - builder_litedramcore_we <= builder_litedramcore_we_next_value2; + if (litedramcore_we_next_value_ce2) begin + litedramcore_we <= litedramcore_we_next_value2; end - builder_interface0_bank_bus_dat_r <= 1'd0; - if (builder_csrbank0_sel) begin - case (builder_interface0_bank_bus_adr[8:0]) + interface0_bank_bus_dat_r <= 1'd0; + if (csrbank0_sel) begin + case (interface0_bank_bus_adr[8:0]) 1'd0: begin - builder_interface0_bank_bus_dat_r <= builder_csrbank0_init_done0_w; + interface0_bank_bus_dat_r <= csrbank0_init_done0_w; end 1'd1: begin - builder_interface0_bank_bus_dat_r <= builder_csrbank0_init_error0_w; + interface0_bank_bus_dat_r <= csrbank0_init_error0_w; end endcase end - if (builder_csrbank0_init_done0_re) begin - main_init_done_storage <= builder_csrbank0_init_done0_r; + if (csrbank0_init_done0_re) begin + init_done_storage <= csrbank0_init_done0_r; end - main_init_done_re <= builder_csrbank0_init_done0_re; - if (builder_csrbank0_init_error0_re) begin - main_init_error_storage <= builder_csrbank0_init_error0_r; + init_done_re <= csrbank0_init_done0_re; + if (csrbank0_init_error0_re) begin + init_error_storage <= csrbank0_init_error0_r; end - main_init_error_re <= builder_csrbank0_init_error0_re; - builder_interface1_bank_bus_dat_r <= 1'd0; - if (builder_csrbank1_sel) begin - case (builder_interface1_bank_bus_adr[8:0]) + init_error_re <= csrbank0_init_error0_re; + interface1_bank_bus_dat_r <= 1'd0; + if (csrbank1_sel) begin + case (interface1_bank_bus_adr[8:0]) 1'd0: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_rst0_w; + interface1_bank_bus_dat_r <= csrbank1_rst0_w; end 1'd1: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_half_sys8x_taps0_w; + interface1_bank_bus_dat_r <= csrbank1_dly_sel0_w; end 2'd2: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_wlevel_en0_w; + interface1_bank_bus_dat_r <= csrbank1_half_sys8x_taps0_w; end 2'd3: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_wlevel_strobe_w; + interface1_bank_bus_dat_r <= csrbank1_wlevel_en0_w; end 3'd4: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_dly_sel0_w; + interface1_bank_bus_dat_r <= a7ddrphy_wlevel_strobe_w; end 3'd5: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_rst_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_rst_w; end 3'd6: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_inc_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_inc_w; end 3'd7: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_bitslip_rst_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_bitslip_rst_w; end 4'd8: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_rdly_dq_bitslip_w; + interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_bitslip_w; end 4'd9: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_wdly_dq_bitslip_rst_w; + interface1_bank_bus_dat_r <= a7ddrphy_wdly_dq_bitslip_rst_w; end 4'd10: begin - builder_interface1_bank_bus_dat_r <= main_a7ddrphy_wdly_dq_bitslip_w; + interface1_bank_bus_dat_r <= a7ddrphy_wdly_dq_bitslip_w; end 4'd11: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_rdphase0_w; + interface1_bank_bus_dat_r <= csrbank1_rdphase0_w; end 4'd12: begin - builder_interface1_bank_bus_dat_r <= builder_csrbank1_wrphase0_w; + interface1_bank_bus_dat_r <= csrbank1_wrphase0_w; end endcase end - if (builder_csrbank1_rst0_re) begin - main_a7ddrphy_rst_storage <= builder_csrbank1_rst0_r; + if (csrbank1_rst0_re) begin + a7ddrphy_rst_storage <= csrbank1_rst0_r; end - main_a7ddrphy_rst_re <= builder_csrbank1_rst0_re; - if (builder_csrbank1_half_sys8x_taps0_re) begin - main_a7ddrphy_half_sys8x_taps_storage[4:0] <= builder_csrbank1_half_sys8x_taps0_r; + a7ddrphy_rst_re <= csrbank1_rst0_re; + if (csrbank1_dly_sel0_re) begin + a7ddrphy_dly_sel_storage[1:0] <= csrbank1_dly_sel0_r; end - main_a7ddrphy_half_sys8x_taps_re <= builder_csrbank1_half_sys8x_taps0_re; - if (builder_csrbank1_wlevel_en0_re) begin - main_a7ddrphy_wlevel_en_storage <= builder_csrbank1_wlevel_en0_r; + a7ddrphy_dly_sel_re <= csrbank1_dly_sel0_re; + if (csrbank1_half_sys8x_taps0_re) begin + a7ddrphy_half_sys8x_taps_storage[4:0] <= csrbank1_half_sys8x_taps0_r; end - main_a7ddrphy_wlevel_en_re <= builder_csrbank1_wlevel_en0_re; - if (builder_csrbank1_dly_sel0_re) begin - main_a7ddrphy_dly_sel_storage[1:0] <= builder_csrbank1_dly_sel0_r; + a7ddrphy_half_sys8x_taps_re <= csrbank1_half_sys8x_taps0_re; + if (csrbank1_wlevel_en0_re) begin + a7ddrphy_wlevel_en_storage <= csrbank1_wlevel_en0_r; end - main_a7ddrphy_dly_sel_re <= builder_csrbank1_dly_sel0_re; - if (builder_csrbank1_rdphase0_re) begin - main_a7ddrphy_rdphase_storage[1:0] <= builder_csrbank1_rdphase0_r; + a7ddrphy_wlevel_en_re <= csrbank1_wlevel_en0_re; + if (csrbank1_rdphase0_re) begin + a7ddrphy_rdphase_storage[1:0] <= csrbank1_rdphase0_r; end - main_a7ddrphy_rdphase_re <= builder_csrbank1_rdphase0_re; - if (builder_csrbank1_wrphase0_re) begin - main_a7ddrphy_wrphase_storage[1:0] <= builder_csrbank1_wrphase0_r; + a7ddrphy_rdphase_re <= csrbank1_rdphase0_re; + if (csrbank1_wrphase0_re) begin + a7ddrphy_wrphase_storage[1:0] <= csrbank1_wrphase0_r; end - main_a7ddrphy_wrphase_re <= builder_csrbank1_wrphase0_re; - builder_interface2_bank_bus_dat_r <= 1'd0; - if (builder_csrbank2_sel) begin - case (builder_interface2_bank_bus_adr[8:0]) + a7ddrphy_wrphase_re <= csrbank1_wrphase0_re; + interface2_bank_bus_dat_r <= 1'd0; + if (csrbank2_sel) begin + case (interface2_bank_bus_adr[8:0]) 1'd0: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_control0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_control0_w; end 1'd1: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_command0_w; end 2'd2: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector0_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector0_command_issue_w; end 2'd3: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_address0_w; end 3'd4: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_baddress0_w; end 3'd5: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_wrdata0_w; end 3'd6: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi0_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_rddata_w; end 3'd7: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_command0_w; end 4'd8: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector1_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector1_command_issue_w; end 4'd9: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_address0_w; end 4'd10: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_baddress0_w; end 4'd11: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_wrdata0_w; end 4'd12: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi1_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_rddata_w; end 4'd13: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_command0_w; end 4'd14: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector2_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector2_command_issue_w; end 4'd15: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_address0_w; end 5'd16: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_baddress0_w; end 5'd17: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_wrdata0_w; end 5'd18: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi2_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_rddata_w; end 5'd19: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_command0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_command0_w; end 5'd20: begin - builder_interface2_bank_bus_dat_r <= main_litedramcore_phaseinjector3_command_issue_w; + interface2_bank_bus_dat_r <= litedramcore_phaseinjector3_command_issue_w; end 5'd21: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_address0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_address0_w; end 5'd22: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_baddress0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_baddress0_w; end 5'd23: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_wrdata0_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_wrdata0_w; end 5'd24: begin - builder_interface2_bank_bus_dat_r <= builder_csrbank2_dfii_pi3_rddata_w; + interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_rddata_w; end endcase end - if (builder_csrbank2_dfii_control0_re) begin - main_litedramcore_storage[3:0] <= builder_csrbank2_dfii_control0_r; + if (csrbank2_dfii_control0_re) begin + litedramcore_storage[3:0] <= csrbank2_dfii_control0_r; end - main_litedramcore_re <= builder_csrbank2_dfii_control0_re; - if (builder_csrbank2_dfii_pi0_command0_re) begin - main_litedramcore_phaseinjector0_command_storage[5:0] <= builder_csrbank2_dfii_pi0_command0_r; + litedramcore_re <= csrbank2_dfii_control0_re; + if (csrbank2_dfii_pi0_command0_re) begin + litedramcore_phaseinjector0_command_storage[5:0] <= csrbank2_dfii_pi0_command0_r; end - main_litedramcore_phaseinjector0_command_re <= builder_csrbank2_dfii_pi0_command0_re; - if (builder_csrbank2_dfii_pi0_address0_re) begin - main_litedramcore_phaseinjector0_address_storage[13:0] <= builder_csrbank2_dfii_pi0_address0_r; + litedramcore_phaseinjector0_command_re <= csrbank2_dfii_pi0_command0_re; + if (csrbank2_dfii_pi0_address0_re) begin + litedramcore_phaseinjector0_address_storage[13:0] <= csrbank2_dfii_pi0_address0_r; end - main_litedramcore_phaseinjector0_address_re <= builder_csrbank2_dfii_pi0_address0_re; - if (builder_csrbank2_dfii_pi0_baddress0_re) begin - main_litedramcore_phaseinjector0_baddress_storage[2:0] <= builder_csrbank2_dfii_pi0_baddress0_r; + litedramcore_phaseinjector0_address_re <= csrbank2_dfii_pi0_address0_re; + if (csrbank2_dfii_pi0_baddress0_re) begin + litedramcore_phaseinjector0_baddress_storage[2:0] <= csrbank2_dfii_pi0_baddress0_r; end - main_litedramcore_phaseinjector0_baddress_re <= builder_csrbank2_dfii_pi0_baddress0_re; - if (builder_csrbank2_dfii_pi0_wrdata0_re) begin - main_litedramcore_phaseinjector0_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi0_wrdata0_r; + litedramcore_phaseinjector0_baddress_re <= csrbank2_dfii_pi0_baddress0_re; + if (csrbank2_dfii_pi0_wrdata0_re) begin + litedramcore_phaseinjector0_wrdata_storage[31:0] <= csrbank2_dfii_pi0_wrdata0_r; end - main_litedramcore_phaseinjector0_wrdata_re <= builder_csrbank2_dfii_pi0_wrdata0_re; - main_litedramcore_phaseinjector0_rddata_re <= builder_csrbank2_dfii_pi0_rddata_re; - if (builder_csrbank2_dfii_pi1_command0_re) begin - main_litedramcore_phaseinjector1_command_storage[5:0] <= builder_csrbank2_dfii_pi1_command0_r; + litedramcore_phaseinjector0_wrdata_re <= csrbank2_dfii_pi0_wrdata0_re; + litedramcore_phaseinjector0_rddata_re <= csrbank2_dfii_pi0_rddata_re; + if (csrbank2_dfii_pi1_command0_re) begin + litedramcore_phaseinjector1_command_storage[5:0] <= csrbank2_dfii_pi1_command0_r; end - main_litedramcore_phaseinjector1_command_re <= builder_csrbank2_dfii_pi1_command0_re; - if (builder_csrbank2_dfii_pi1_address0_re) begin - main_litedramcore_phaseinjector1_address_storage[13:0] <= builder_csrbank2_dfii_pi1_address0_r; + litedramcore_phaseinjector1_command_re <= csrbank2_dfii_pi1_command0_re; + if (csrbank2_dfii_pi1_address0_re) begin + litedramcore_phaseinjector1_address_storage[13:0] <= csrbank2_dfii_pi1_address0_r; end - main_litedramcore_phaseinjector1_address_re <= builder_csrbank2_dfii_pi1_address0_re; - if (builder_csrbank2_dfii_pi1_baddress0_re) begin - main_litedramcore_phaseinjector1_baddress_storage[2:0] <= builder_csrbank2_dfii_pi1_baddress0_r; + litedramcore_phaseinjector1_address_re <= csrbank2_dfii_pi1_address0_re; + if (csrbank2_dfii_pi1_baddress0_re) begin + litedramcore_phaseinjector1_baddress_storage[2:0] <= csrbank2_dfii_pi1_baddress0_r; end - main_litedramcore_phaseinjector1_baddress_re <= builder_csrbank2_dfii_pi1_baddress0_re; - if (builder_csrbank2_dfii_pi1_wrdata0_re) begin - main_litedramcore_phaseinjector1_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi1_wrdata0_r; + litedramcore_phaseinjector1_baddress_re <= csrbank2_dfii_pi1_baddress0_re; + if (csrbank2_dfii_pi1_wrdata0_re) begin + litedramcore_phaseinjector1_wrdata_storage[31:0] <= csrbank2_dfii_pi1_wrdata0_r; end - main_litedramcore_phaseinjector1_wrdata_re <= builder_csrbank2_dfii_pi1_wrdata0_re; - main_litedramcore_phaseinjector1_rddata_re <= builder_csrbank2_dfii_pi1_rddata_re; - if (builder_csrbank2_dfii_pi2_command0_re) begin - main_litedramcore_phaseinjector2_command_storage[5:0] <= builder_csrbank2_dfii_pi2_command0_r; + litedramcore_phaseinjector1_wrdata_re <= csrbank2_dfii_pi1_wrdata0_re; + litedramcore_phaseinjector1_rddata_re <= csrbank2_dfii_pi1_rddata_re; + if (csrbank2_dfii_pi2_command0_re) begin + litedramcore_phaseinjector2_command_storage[5:0] <= csrbank2_dfii_pi2_command0_r; end - main_litedramcore_phaseinjector2_command_re <= builder_csrbank2_dfii_pi2_command0_re; - if (builder_csrbank2_dfii_pi2_address0_re) begin - main_litedramcore_phaseinjector2_address_storage[13:0] <= builder_csrbank2_dfii_pi2_address0_r; + litedramcore_phaseinjector2_command_re <= csrbank2_dfii_pi2_command0_re; + if (csrbank2_dfii_pi2_address0_re) begin + litedramcore_phaseinjector2_address_storage[13:0] <= csrbank2_dfii_pi2_address0_r; end - main_litedramcore_phaseinjector2_address_re <= builder_csrbank2_dfii_pi2_address0_re; - if (builder_csrbank2_dfii_pi2_baddress0_re) begin - main_litedramcore_phaseinjector2_baddress_storage[2:0] <= builder_csrbank2_dfii_pi2_baddress0_r; + litedramcore_phaseinjector2_address_re <= csrbank2_dfii_pi2_address0_re; + if (csrbank2_dfii_pi2_baddress0_re) begin + litedramcore_phaseinjector2_baddress_storage[2:0] <= csrbank2_dfii_pi2_baddress0_r; end - main_litedramcore_phaseinjector2_baddress_re <= builder_csrbank2_dfii_pi2_baddress0_re; - if (builder_csrbank2_dfii_pi2_wrdata0_re) begin - main_litedramcore_phaseinjector2_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi2_wrdata0_r; + litedramcore_phaseinjector2_baddress_re <= csrbank2_dfii_pi2_baddress0_re; + if (csrbank2_dfii_pi2_wrdata0_re) begin + litedramcore_phaseinjector2_wrdata_storage[31:0] <= csrbank2_dfii_pi2_wrdata0_r; end - main_litedramcore_phaseinjector2_wrdata_re <= builder_csrbank2_dfii_pi2_wrdata0_re; - main_litedramcore_phaseinjector2_rddata_re <= builder_csrbank2_dfii_pi2_rddata_re; - if (builder_csrbank2_dfii_pi3_command0_re) begin - main_litedramcore_phaseinjector3_command_storage[5:0] <= builder_csrbank2_dfii_pi3_command0_r; + litedramcore_phaseinjector2_wrdata_re <= csrbank2_dfii_pi2_wrdata0_re; + litedramcore_phaseinjector2_rddata_re <= csrbank2_dfii_pi2_rddata_re; + if (csrbank2_dfii_pi3_command0_re) begin + litedramcore_phaseinjector3_command_storage[5:0] <= csrbank2_dfii_pi3_command0_r; end - main_litedramcore_phaseinjector3_command_re <= builder_csrbank2_dfii_pi3_command0_re; - if (builder_csrbank2_dfii_pi3_address0_re) begin - main_litedramcore_phaseinjector3_address_storage[13:0] <= builder_csrbank2_dfii_pi3_address0_r; + litedramcore_phaseinjector3_command_re <= csrbank2_dfii_pi3_command0_re; + if (csrbank2_dfii_pi3_address0_re) begin + litedramcore_phaseinjector3_address_storage[13:0] <= csrbank2_dfii_pi3_address0_r; end - main_litedramcore_phaseinjector3_address_re <= builder_csrbank2_dfii_pi3_address0_re; - if (builder_csrbank2_dfii_pi3_baddress0_re) begin - main_litedramcore_phaseinjector3_baddress_storage[2:0] <= builder_csrbank2_dfii_pi3_baddress0_r; + litedramcore_phaseinjector3_address_re <= csrbank2_dfii_pi3_address0_re; + if (csrbank2_dfii_pi3_baddress0_re) begin + litedramcore_phaseinjector3_baddress_storage[2:0] <= csrbank2_dfii_pi3_baddress0_r; end - main_litedramcore_phaseinjector3_baddress_re <= builder_csrbank2_dfii_pi3_baddress0_re; - if (builder_csrbank2_dfii_pi3_wrdata0_re) begin - main_litedramcore_phaseinjector3_wrdata_storage[31:0] <= builder_csrbank2_dfii_pi3_wrdata0_r; + litedramcore_phaseinjector3_baddress_re <= csrbank2_dfii_pi3_baddress0_re; + if (csrbank2_dfii_pi3_wrdata0_re) begin + litedramcore_phaseinjector3_wrdata_storage[31:0] <= csrbank2_dfii_pi3_wrdata0_r; end - main_litedramcore_phaseinjector3_wrdata_re <= builder_csrbank2_dfii_pi3_wrdata0_re; - main_litedramcore_phaseinjector3_rddata_re <= builder_csrbank2_dfii_pi3_rddata_re; + litedramcore_phaseinjector3_wrdata_re <= csrbank2_dfii_pi3_wrdata0_re; + litedramcore_phaseinjector3_rddata_re <= csrbank2_dfii_pi3_rddata_re; if (sys_rst) begin - main_a7ddrphy_rst_storage <= 1'd0; - main_a7ddrphy_rst_re <= 1'd0; - main_a7ddrphy_half_sys8x_taps_storage <= 5'd8; - main_a7ddrphy_half_sys8x_taps_re <= 1'd0; - main_a7ddrphy_wlevel_en_storage <= 1'd0; - main_a7ddrphy_wlevel_en_re <= 1'd0; - main_a7ddrphy_dly_sel_storage <= 2'd0; - main_a7ddrphy_dly_sel_re <= 1'd0; - main_a7ddrphy_rdphase_storage <= 2'd2; - main_a7ddrphy_rdphase_re <= 1'd0; - main_a7ddrphy_wrphase_storage <= 2'd3; - main_a7ddrphy_wrphase_re <= 1'd0; - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; - main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; - main_a7ddrphy_dqspattern_o1 <= 8'd0; - main_a7ddrphy_bitslip0_value0 <= 3'd7; - main_a7ddrphy_bitslip1_value0 <= 3'd7; - main_a7ddrphy_bitslip0_value1 <= 3'd7; - main_a7ddrphy_bitslip1_value1 <= 3'd7; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; - main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; - main_a7ddrphy_bitslip0_value2 <= 3'd7; - main_a7ddrphy_bitslip0_value3 <= 3'd7; - main_a7ddrphy_bitslip1_value2 <= 3'd7; - main_a7ddrphy_bitslip1_value3 <= 3'd7; - main_a7ddrphy_bitslip2_value0 <= 3'd7; - main_a7ddrphy_bitslip2_value1 <= 3'd7; - main_a7ddrphy_bitslip3_value0 <= 3'd7; - main_a7ddrphy_bitslip3_value1 <= 3'd7; - main_a7ddrphy_bitslip4_value0 <= 3'd7; - main_a7ddrphy_bitslip4_value1 <= 3'd7; - main_a7ddrphy_bitslip5_value0 <= 3'd7; - main_a7ddrphy_bitslip5_value1 <= 3'd7; - main_a7ddrphy_bitslip6_value0 <= 3'd7; - main_a7ddrphy_bitslip6_value1 <= 3'd7; - main_a7ddrphy_bitslip7_value0 <= 3'd7; - main_a7ddrphy_bitslip7_value1 <= 3'd7; - main_a7ddrphy_bitslip8_value0 <= 3'd7; - main_a7ddrphy_bitslip8_value1 <= 3'd7; - main_a7ddrphy_bitslip9_value0 <= 3'd7; - main_a7ddrphy_bitslip9_value1 <= 3'd7; - main_a7ddrphy_bitslip10_value0 <= 3'd7; - main_a7ddrphy_bitslip10_value1 <= 3'd7; - main_a7ddrphy_bitslip11_value0 <= 3'd7; - main_a7ddrphy_bitslip11_value1 <= 3'd7; - main_a7ddrphy_bitslip12_value0 <= 3'd7; - main_a7ddrphy_bitslip12_value1 <= 3'd7; - main_a7ddrphy_bitslip13_value0 <= 3'd7; - main_a7ddrphy_bitslip13_value1 <= 3'd7; - main_a7ddrphy_bitslip14_value0 <= 3'd7; - main_a7ddrphy_bitslip14_value1 <= 3'd7; - main_a7ddrphy_bitslip15_value0 <= 3'd7; - main_a7ddrphy_bitslip15_value1 <= 3'd7; - main_a7ddrphy_rddata_en_tappeddelayline0 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline1 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline2 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline3 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline4 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline5 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline6 <= 1'd0; - main_a7ddrphy_rddata_en_tappeddelayline7 <= 1'd0; - main_a7ddrphy_wrdata_en_tappeddelayline0 <= 1'd0; - main_a7ddrphy_wrdata_en_tappeddelayline1 <= 1'd0; - main_a7ddrphy_wrdata_en_tappeddelayline2 <= 1'd0; - main_litedramcore_storage <= 4'd1; - main_litedramcore_re <= 1'd0; - main_litedramcore_phaseinjector0_command_storage <= 6'd0; - main_litedramcore_phaseinjector0_command_re <= 1'd0; - main_litedramcore_phaseinjector0_address_re <= 1'd0; - main_litedramcore_phaseinjector0_baddress_re <= 1'd0; - main_litedramcore_phaseinjector0_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector0_rddata_status <= 32'd0; - main_litedramcore_phaseinjector0_rddata_re <= 1'd0; - main_litedramcore_phaseinjector1_command_storage <= 6'd0; - main_litedramcore_phaseinjector1_command_re <= 1'd0; - main_litedramcore_phaseinjector1_address_re <= 1'd0; - main_litedramcore_phaseinjector1_baddress_re <= 1'd0; - main_litedramcore_phaseinjector1_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector1_rddata_status <= 32'd0; - main_litedramcore_phaseinjector1_rddata_re <= 1'd0; - main_litedramcore_phaseinjector2_command_storage <= 6'd0; - main_litedramcore_phaseinjector2_command_re <= 1'd0; - main_litedramcore_phaseinjector2_address_re <= 1'd0; - main_litedramcore_phaseinjector2_baddress_re <= 1'd0; - main_litedramcore_phaseinjector2_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector2_rddata_status <= 32'd0; - main_litedramcore_phaseinjector2_rddata_re <= 1'd0; - main_litedramcore_phaseinjector3_command_storage <= 6'd0; - main_litedramcore_phaseinjector3_command_re <= 1'd0; - main_litedramcore_phaseinjector3_address_re <= 1'd0; - main_litedramcore_phaseinjector3_baddress_re <= 1'd0; - main_litedramcore_phaseinjector3_wrdata_re <= 1'd0; - main_litedramcore_phaseinjector3_rddata_status <= 32'd0; - main_litedramcore_phaseinjector3_rddata_re <= 1'd0; - main_litedramcore_dfi_p0_address <= 14'd0; - main_litedramcore_dfi_p0_bank <= 3'd0; - main_litedramcore_dfi_p0_cas_n <= 1'd1; - main_litedramcore_dfi_p0_cs_n <= 1'd1; - main_litedramcore_dfi_p0_ras_n <= 1'd1; - main_litedramcore_dfi_p0_we_n <= 1'd1; - main_litedramcore_dfi_p0_wrdata_en <= 1'd0; - main_litedramcore_dfi_p0_rddata_en <= 1'd0; - main_litedramcore_dfi_p1_address <= 14'd0; - main_litedramcore_dfi_p1_bank <= 3'd0; - main_litedramcore_dfi_p1_cas_n <= 1'd1; - main_litedramcore_dfi_p1_cs_n <= 1'd1; - main_litedramcore_dfi_p1_ras_n <= 1'd1; - main_litedramcore_dfi_p1_we_n <= 1'd1; - main_litedramcore_dfi_p1_wrdata_en <= 1'd0; - main_litedramcore_dfi_p1_rddata_en <= 1'd0; - main_litedramcore_dfi_p2_address <= 14'd0; - main_litedramcore_dfi_p2_bank <= 3'd0; - main_litedramcore_dfi_p2_cas_n <= 1'd1; - main_litedramcore_dfi_p2_cs_n <= 1'd1; - main_litedramcore_dfi_p2_ras_n <= 1'd1; - main_litedramcore_dfi_p2_we_n <= 1'd1; - main_litedramcore_dfi_p2_wrdata_en <= 1'd0; - main_litedramcore_dfi_p2_rddata_en <= 1'd0; - main_litedramcore_dfi_p3_address <= 14'd0; - main_litedramcore_dfi_p3_bank <= 3'd0; - main_litedramcore_dfi_p3_cas_n <= 1'd1; - main_litedramcore_dfi_p3_cs_n <= 1'd1; - main_litedramcore_dfi_p3_ras_n <= 1'd1; - main_litedramcore_dfi_p3_we_n <= 1'd1; - main_litedramcore_dfi_p3_wrdata_en <= 1'd0; - main_litedramcore_dfi_p3_rddata_en <= 1'd0; - main_litedramcore_cmd_payload_a <= 14'd0; - main_litedramcore_cmd_payload_ba <= 3'd0; - main_litedramcore_cmd_payload_cas <= 1'd0; - main_litedramcore_cmd_payload_ras <= 1'd0; - main_litedramcore_cmd_payload_we <= 1'd0; - main_litedramcore_timer_count1 <= 10'd781; - main_litedramcore_postponer_req_o <= 1'd0; - main_litedramcore_postponer_count <= 1'd0; - main_litedramcore_sequencer_done1 <= 1'd0; - main_litedramcore_sequencer_counter <= 6'd0; - main_litedramcore_sequencer_count <= 1'd0; - main_litedramcore_zqcs_timer_count1 <= 27'd99999999; - main_litedramcore_zqcs_executer_done <= 1'd0; - main_litedramcore_zqcs_executer_counter <= 5'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine0_row <= 14'd0; - main_litedramcore_bankmachine0_row_opened <= 1'd0; - main_litedramcore_bankmachine0_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine0_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine0_trccon_ready <= 1'd0; - main_litedramcore_bankmachine0_trccon_count <= 3'd0; - main_litedramcore_bankmachine0_trascon_ready <= 1'd0; - main_litedramcore_bankmachine0_trascon_count <= 3'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine1_row <= 14'd0; - main_litedramcore_bankmachine1_row_opened <= 1'd0; - main_litedramcore_bankmachine1_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine1_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine1_trccon_ready <= 1'd0; - main_litedramcore_bankmachine1_trccon_count <= 3'd0; - main_litedramcore_bankmachine1_trascon_ready <= 1'd0; - main_litedramcore_bankmachine1_trascon_count <= 3'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine2_row <= 14'd0; - main_litedramcore_bankmachine2_row_opened <= 1'd0; - main_litedramcore_bankmachine2_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine2_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine2_trccon_ready <= 1'd0; - main_litedramcore_bankmachine2_trccon_count <= 3'd0; - main_litedramcore_bankmachine2_trascon_ready <= 1'd0; - main_litedramcore_bankmachine2_trascon_count <= 3'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine3_row <= 14'd0; - main_litedramcore_bankmachine3_row_opened <= 1'd0; - main_litedramcore_bankmachine3_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine3_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine3_trccon_ready <= 1'd0; - main_litedramcore_bankmachine3_trccon_count <= 3'd0; - main_litedramcore_bankmachine3_trascon_ready <= 1'd0; - main_litedramcore_bankmachine3_trascon_count <= 3'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine4_row <= 14'd0; - main_litedramcore_bankmachine4_row_opened <= 1'd0; - main_litedramcore_bankmachine4_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine4_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine4_trccon_ready <= 1'd0; - main_litedramcore_bankmachine4_trccon_count <= 3'd0; - main_litedramcore_bankmachine4_trascon_ready <= 1'd0; - main_litedramcore_bankmachine4_trascon_count <= 3'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine5_row <= 14'd0; - main_litedramcore_bankmachine5_row_opened <= 1'd0; - main_litedramcore_bankmachine5_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine5_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine5_trccon_ready <= 1'd0; - main_litedramcore_bankmachine5_trccon_count <= 3'd0; - main_litedramcore_bankmachine5_trascon_ready <= 1'd0; - main_litedramcore_bankmachine5_trascon_count <= 3'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine6_row <= 14'd0; - main_litedramcore_bankmachine6_row_opened <= 1'd0; - main_litedramcore_bankmachine6_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine6_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine6_trccon_ready <= 1'd0; - main_litedramcore_bankmachine6_trccon_count <= 3'd0; - main_litedramcore_bankmachine6_trascon_ready <= 1'd0; - main_litedramcore_bankmachine6_trascon_count <= 3'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_level <= 5'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= 4'd0; - main_litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= 4'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_valid <= 1'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_we <= 1'd0; - main_litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= 21'd0; - main_litedramcore_bankmachine7_row <= 14'd0; - main_litedramcore_bankmachine7_row_opened <= 1'd0; - main_litedramcore_bankmachine7_twtpcon_ready <= 1'd0; - main_litedramcore_bankmachine7_twtpcon_count <= 3'd0; - main_litedramcore_bankmachine7_trccon_ready <= 1'd0; - main_litedramcore_bankmachine7_trccon_count <= 3'd0; - main_litedramcore_bankmachine7_trascon_ready <= 1'd0; - main_litedramcore_bankmachine7_trascon_count <= 3'd0; - main_litedramcore_choose_cmd_grant <= 3'd0; - main_litedramcore_choose_req_grant <= 3'd0; - main_litedramcore_trrdcon_ready <= 1'd0; - main_litedramcore_trrdcon_count <= 1'd0; - main_litedramcore_tfawcon_ready <= 1'd1; - main_litedramcore_tfawcon_window <= 5'd0; - main_litedramcore_tccdcon_ready <= 1'd0; - main_litedramcore_tccdcon_count <= 1'd0; - main_litedramcore_twtrcon_ready <= 1'd0; - main_litedramcore_twtrcon_count <= 3'd0; - main_litedramcore_time0 <= 5'd0; - main_litedramcore_time1 <= 4'd0; - main_init_done_storage <= 1'd0; - main_init_done_re <= 1'd0; - main_init_error_storage <= 1'd0; - main_init_error_re <= 1'd0; - builder_refresher_state <= 2'd0; - builder_bankmachine0_state <= 4'd0; - builder_bankmachine1_state <= 4'd0; - builder_bankmachine2_state <= 4'd0; - builder_bankmachine3_state <= 4'd0; - builder_bankmachine4_state <= 4'd0; - builder_bankmachine5_state <= 4'd0; - builder_bankmachine6_state <= 4'd0; - builder_bankmachine7_state <= 4'd0; - builder_multiplexer_state <= 4'd0; - builder_new_master_wdata_ready0 <= 1'd0; - builder_new_master_wdata_ready1 <= 1'd0; - builder_new_master_rdata_valid0 <= 1'd0; - builder_new_master_rdata_valid1 <= 1'd0; - builder_new_master_rdata_valid2 <= 1'd0; - builder_new_master_rdata_valid3 <= 1'd0; - builder_new_master_rdata_valid4 <= 1'd0; - builder_new_master_rdata_valid5 <= 1'd0; - builder_new_master_rdata_valid6 <= 1'd0; - builder_new_master_rdata_valid7 <= 1'd0; - builder_new_master_rdata_valid8 <= 1'd0; - builder_litedramcore_we <= 1'd0; - builder_state <= 2'd0; + a7ddrphy_rst_storage <= 1'd0; + a7ddrphy_rst_re <= 1'd0; + a7ddrphy_dly_sel_storage <= 2'd0; + a7ddrphy_dly_sel_re <= 1'd0; + a7ddrphy_half_sys8x_taps_storage <= 5'd8; + a7ddrphy_half_sys8x_taps_re <= 1'd0; + a7ddrphy_wlevel_en_storage <= 1'd0; + a7ddrphy_wlevel_en_re <= 1'd0; + a7ddrphy_rdphase_storage <= 2'd2; + a7ddrphy_rdphase_re <= 1'd0; + a7ddrphy_wrphase_storage <= 2'd3; + a7ddrphy_wrphase_re <= 1'd0; + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; + a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; + a7ddrphy_dqspattern_o1 <= 8'd0; + a7ddrphy_bitslip0_value0 <= 3'd7; + a7ddrphy_bitslip1_value0 <= 3'd7; + a7ddrphy_bitslip0_value1 <= 3'd7; + a7ddrphy_bitslip1_value1 <= 3'd7; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline0 <= 1'd0; + a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1 <= 1'd0; + a7ddrphy_bitslip0_value2 <= 3'd7; + a7ddrphy_bitslip0_value3 <= 3'd7; + a7ddrphy_bitslip1_value2 <= 3'd7; + a7ddrphy_bitslip1_value3 <= 3'd7; + a7ddrphy_bitslip2_value0 <= 3'd7; + a7ddrphy_bitslip2_value1 <= 3'd7; + a7ddrphy_bitslip3_value0 <= 3'd7; + a7ddrphy_bitslip3_value1 <= 3'd7; + a7ddrphy_bitslip4_value0 <= 3'd7; + a7ddrphy_bitslip4_value1 <= 3'd7; + a7ddrphy_bitslip5_value0 <= 3'd7; + a7ddrphy_bitslip5_value1 <= 3'd7; + a7ddrphy_bitslip6_value0 <= 3'd7; + a7ddrphy_bitslip6_value1 <= 3'd7; + a7ddrphy_bitslip7_value0 <= 3'd7; + a7ddrphy_bitslip7_value1 <= 3'd7; + a7ddrphy_bitslip8_value0 <= 3'd7; + a7ddrphy_bitslip8_value1 <= 3'd7; + a7ddrphy_bitslip9_value0 <= 3'd7; + a7ddrphy_bitslip9_value1 <= 3'd7; + a7ddrphy_bitslip10_value0 <= 3'd7; + a7ddrphy_bitslip10_value1 <= 3'd7; + a7ddrphy_bitslip11_value0 <= 3'd7; + a7ddrphy_bitslip11_value1 <= 3'd7; + a7ddrphy_bitslip12_value0 <= 3'd7; + a7ddrphy_bitslip12_value1 <= 3'd7; + a7ddrphy_bitslip13_value0 <= 3'd7; + a7ddrphy_bitslip13_value1 <= 3'd7; + a7ddrphy_bitslip14_value0 <= 3'd7; + a7ddrphy_bitslip14_value1 <= 3'd7; + a7ddrphy_bitslip15_value0 <= 3'd7; + a7ddrphy_bitslip15_value1 <= 3'd7; + a7ddrphy_rddata_en_tappeddelayline0 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline1 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline2 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline3 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline4 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline5 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline6 <= 1'd0; + a7ddrphy_rddata_en_tappeddelayline7 <= 1'd0; + a7ddrphy_wrdata_en_tappeddelayline0 <= 1'd0; + a7ddrphy_wrdata_en_tappeddelayline1 <= 1'd0; + a7ddrphy_wrdata_en_tappeddelayline2 <= 1'd0; + litedramcore_storage <= 4'd1; + litedramcore_re <= 1'd0; + litedramcore_phaseinjector0_command_storage <= 6'd0; + litedramcore_phaseinjector0_command_re <= 1'd0; + litedramcore_phaseinjector0_address_re <= 1'd0; + litedramcore_phaseinjector0_baddress_re <= 1'd0; + litedramcore_phaseinjector0_wrdata_re <= 1'd0; + litedramcore_phaseinjector0_rddata_status <= 32'd0; + litedramcore_phaseinjector0_rddata_re <= 1'd0; + litedramcore_phaseinjector1_command_storage <= 6'd0; + litedramcore_phaseinjector1_command_re <= 1'd0; + litedramcore_phaseinjector1_address_re <= 1'd0; + litedramcore_phaseinjector1_baddress_re <= 1'd0; + litedramcore_phaseinjector1_wrdata_re <= 1'd0; + litedramcore_phaseinjector1_rddata_status <= 32'd0; + litedramcore_phaseinjector1_rddata_re <= 1'd0; + litedramcore_phaseinjector2_command_storage <= 6'd0; + litedramcore_phaseinjector2_command_re <= 1'd0; + litedramcore_phaseinjector2_address_re <= 1'd0; + litedramcore_phaseinjector2_baddress_re <= 1'd0; + litedramcore_phaseinjector2_wrdata_re <= 1'd0; + litedramcore_phaseinjector2_rddata_status <= 32'd0; + litedramcore_phaseinjector2_rddata_re <= 1'd0; + litedramcore_phaseinjector3_command_storage <= 6'd0; + litedramcore_phaseinjector3_command_re <= 1'd0; + litedramcore_phaseinjector3_address_re <= 1'd0; + litedramcore_phaseinjector3_baddress_re <= 1'd0; + litedramcore_phaseinjector3_wrdata_re <= 1'd0; + litedramcore_phaseinjector3_rddata_status <= 32'd0; + litedramcore_phaseinjector3_rddata_re <= 1'd0; + litedramcore_dfi_p0_address <= 14'd0; + litedramcore_dfi_p0_bank <= 3'd0; + litedramcore_dfi_p0_cas_n <= 1'd1; + litedramcore_dfi_p0_cs_n <= 1'd1; + litedramcore_dfi_p0_ras_n <= 1'd1; + litedramcore_dfi_p0_we_n <= 1'd1; + litedramcore_dfi_p0_wrdata_en <= 1'd0; + litedramcore_dfi_p0_rddata_en <= 1'd0; + litedramcore_dfi_p1_address <= 14'd0; + litedramcore_dfi_p1_bank <= 3'd0; + litedramcore_dfi_p1_cas_n <= 1'd1; + litedramcore_dfi_p1_cs_n <= 1'd1; + litedramcore_dfi_p1_ras_n <= 1'd1; + litedramcore_dfi_p1_we_n <= 1'd1; + litedramcore_dfi_p1_wrdata_en <= 1'd0; + litedramcore_dfi_p1_rddata_en <= 1'd0; + litedramcore_dfi_p2_address <= 14'd0; + litedramcore_dfi_p2_bank <= 3'd0; + litedramcore_dfi_p2_cas_n <= 1'd1; + litedramcore_dfi_p2_cs_n <= 1'd1; + litedramcore_dfi_p2_ras_n <= 1'd1; + litedramcore_dfi_p2_we_n <= 1'd1; + litedramcore_dfi_p2_wrdata_en <= 1'd0; + litedramcore_dfi_p2_rddata_en <= 1'd0; + litedramcore_dfi_p3_address <= 14'd0; + litedramcore_dfi_p3_bank <= 3'd0; + litedramcore_dfi_p3_cas_n <= 1'd1; + litedramcore_dfi_p3_cs_n <= 1'd1; + litedramcore_dfi_p3_ras_n <= 1'd1; + litedramcore_dfi_p3_we_n <= 1'd1; + litedramcore_dfi_p3_wrdata_en <= 1'd0; + litedramcore_dfi_p3_rddata_en <= 1'd0; + litedramcore_cmd_payload_a <= 14'd0; + litedramcore_cmd_payload_ba <= 3'd0; + litedramcore_cmd_payload_cas <= 1'd0; + litedramcore_cmd_payload_ras <= 1'd0; + litedramcore_cmd_payload_we <= 1'd0; + litedramcore_timer_count1 <= 10'd781; + litedramcore_postponer_req_o <= 1'd0; + litedramcore_postponer_count <= 1'd0; + litedramcore_sequencer_done1 <= 1'd0; + litedramcore_sequencer_counter <= 6'd0; + litedramcore_sequencer_count <= 1'd0; + litedramcore_zqcs_timer_count1 <= 27'd99999999; + litedramcore_zqcs_executer_done <= 1'd0; + litedramcore_zqcs_executer_counter <= 5'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine0_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine0_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine0_row <= 14'd0; + litedramcore_bankmachine0_row_opened <= 1'd0; + litedramcore_bankmachine0_twtpcon_ready <= 1'd0; + litedramcore_bankmachine0_twtpcon_count <= 3'd0; + litedramcore_bankmachine0_trccon_ready <= 1'd0; + litedramcore_bankmachine0_trccon_count <= 3'd0; + litedramcore_bankmachine0_trascon_ready <= 1'd0; + litedramcore_bankmachine0_trascon_count <= 3'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine1_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine1_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine1_row <= 14'd0; + litedramcore_bankmachine1_row_opened <= 1'd0; + litedramcore_bankmachine1_twtpcon_ready <= 1'd0; + litedramcore_bankmachine1_twtpcon_count <= 3'd0; + litedramcore_bankmachine1_trccon_ready <= 1'd0; + litedramcore_bankmachine1_trccon_count <= 3'd0; + litedramcore_bankmachine1_trascon_ready <= 1'd0; + litedramcore_bankmachine1_trascon_count <= 3'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine2_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine2_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine2_row <= 14'd0; + litedramcore_bankmachine2_row_opened <= 1'd0; + litedramcore_bankmachine2_twtpcon_ready <= 1'd0; + litedramcore_bankmachine2_twtpcon_count <= 3'd0; + litedramcore_bankmachine2_trccon_ready <= 1'd0; + litedramcore_bankmachine2_trccon_count <= 3'd0; + litedramcore_bankmachine2_trascon_ready <= 1'd0; + litedramcore_bankmachine2_trascon_count <= 3'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine3_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine3_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine3_row <= 14'd0; + litedramcore_bankmachine3_row_opened <= 1'd0; + litedramcore_bankmachine3_twtpcon_ready <= 1'd0; + litedramcore_bankmachine3_twtpcon_count <= 3'd0; + litedramcore_bankmachine3_trccon_ready <= 1'd0; + litedramcore_bankmachine3_trccon_count <= 3'd0; + litedramcore_bankmachine3_trascon_ready <= 1'd0; + litedramcore_bankmachine3_trascon_count <= 3'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine4_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine4_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine4_row <= 14'd0; + litedramcore_bankmachine4_row_opened <= 1'd0; + litedramcore_bankmachine4_twtpcon_ready <= 1'd0; + litedramcore_bankmachine4_twtpcon_count <= 3'd0; + litedramcore_bankmachine4_trccon_ready <= 1'd0; + litedramcore_bankmachine4_trccon_count <= 3'd0; + litedramcore_bankmachine4_trascon_ready <= 1'd0; + litedramcore_bankmachine4_trascon_count <= 3'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine5_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine5_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine5_row <= 14'd0; + litedramcore_bankmachine5_row_opened <= 1'd0; + litedramcore_bankmachine5_twtpcon_ready <= 1'd0; + litedramcore_bankmachine5_twtpcon_count <= 3'd0; + litedramcore_bankmachine5_trccon_ready <= 1'd0; + litedramcore_bankmachine5_trccon_count <= 3'd0; + litedramcore_bankmachine5_trascon_ready <= 1'd0; + litedramcore_bankmachine5_trascon_count <= 3'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine6_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine6_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine6_row <= 14'd0; + litedramcore_bankmachine6_row_opened <= 1'd0; + litedramcore_bankmachine6_twtpcon_ready <= 1'd0; + litedramcore_bankmachine6_twtpcon_count <= 3'd0; + litedramcore_bankmachine6_trccon_ready <= 1'd0; + litedramcore_bankmachine6_trccon_count <= 3'd0; + litedramcore_bankmachine6_trascon_ready <= 1'd0; + litedramcore_bankmachine6_trascon_count <= 3'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_level <= 5'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= 4'd0; + litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= 4'd0; + litedramcore_bankmachine7_cmd_buffer_source_valid <= 1'd0; + litedramcore_bankmachine7_cmd_buffer_source_payload_we <= 1'd0; + litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= 21'd0; + litedramcore_bankmachine7_row <= 14'd0; + litedramcore_bankmachine7_row_opened <= 1'd0; + litedramcore_bankmachine7_twtpcon_ready <= 1'd0; + litedramcore_bankmachine7_twtpcon_count <= 3'd0; + litedramcore_bankmachine7_trccon_ready <= 1'd0; + litedramcore_bankmachine7_trccon_count <= 3'd0; + litedramcore_bankmachine7_trascon_ready <= 1'd0; + litedramcore_bankmachine7_trascon_count <= 3'd0; + litedramcore_choose_cmd_grant <= 3'd0; + litedramcore_choose_req_grant <= 3'd0; + litedramcore_trrdcon_ready <= 1'd0; + litedramcore_trrdcon_count <= 1'd0; + litedramcore_tfawcon_ready <= 1'd1; + litedramcore_tfawcon_window <= 5'd0; + litedramcore_tccdcon_ready <= 1'd0; + litedramcore_tccdcon_count <= 1'd0; + litedramcore_twtrcon_ready <= 1'd0; + litedramcore_twtrcon_count <= 3'd0; + litedramcore_time0 <= 5'd0; + litedramcore_time1 <= 4'd0; + init_done_storage <= 1'd0; + init_done_re <= 1'd0; + init_error_storage <= 1'd0; + init_error_re <= 1'd0; + litedramcore_we <= 1'd0; + litedramcore_refresher_state <= 2'd0; + litedramcore_bankmachine0_state <= 4'd0; + litedramcore_bankmachine1_state <= 4'd0; + litedramcore_bankmachine2_state <= 4'd0; + litedramcore_bankmachine3_state <= 4'd0; + litedramcore_bankmachine4_state <= 4'd0; + litedramcore_bankmachine5_state <= 4'd0; + litedramcore_bankmachine6_state <= 4'd0; + litedramcore_bankmachine7_state <= 4'd0; + litedramcore_multiplexer_state <= 4'd0; + litedramcore_new_master_wdata_ready0 <= 1'd0; + litedramcore_new_master_wdata_ready1 <= 1'd0; + litedramcore_new_master_rdata_valid0 <= 1'd0; + litedramcore_new_master_rdata_valid1 <= 1'd0; + litedramcore_new_master_rdata_valid2 <= 1'd0; + litedramcore_new_master_rdata_valid3 <= 1'd0; + litedramcore_new_master_rdata_valid4 <= 1'd0; + litedramcore_new_master_rdata_valid5 <= 1'd0; + litedramcore_new_master_rdata_valid6 <= 1'd0; + litedramcore_new_master_rdata_valid7 <= 1'd0; + litedramcore_new_master_rdata_valid8 <= 1'd0; + litedramcore_state <= 2'd0; end end @@ -13454,28 +13897,28 @@ end //------------------------------------------------------------------------------ BUFG BUFG( - .I(main_clkout0), - .O(main_clkout_buf0) + .I(clkout0), + .O(clkout_buf0) ); BUFG BUFG_1( - .I(main_clkout1), - .O(main_clkout_buf1) + .I(clkout1), + .O(clkout_buf1) ); BUFG BUFG_2( - .I(main_clkout2), - .O(main_clkout_buf2) + .I(clkout2), + .O(clkout_buf2) ); BUFG BUFG_3( - .I(main_clkout3), - .O(main_clkout_buf3) + .I(clkout3), + .O(clkout_buf3) ); IDELAYCTRL IDELAYCTRL( .REFCLK(iodelay_clk), - .RST(main_ic_reset) + .RST(ic_reset) ); OSERDESE2 #( @@ -13496,12 +13939,12 @@ OSERDESE2 #( .D7(1'd0), .D8(1'd1), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(main_a7ddrphy_sd_clk_se_nodelay) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_sd_clk_se_nodelay) ); OBUFDS OBUFDS( - .I(main_a7ddrphy_sd_clk_se_nodelay), + .I(a7ddrphy_sd_clk_se_nodelay), .O(ddram_clk_p), .OB(ddram_clk_n) ); @@ -13515,16 +13958,16 @@ OSERDESE2 #( ) OSERDESE2_1 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_reset_n), - .D2(main_a7ddrphy_dfi_p0_reset_n), - .D3(main_a7ddrphy_dfi_p1_reset_n), - .D4(main_a7ddrphy_dfi_p1_reset_n), - .D5(main_a7ddrphy_dfi_p2_reset_n), - .D6(main_a7ddrphy_dfi_p2_reset_n), - .D7(main_a7ddrphy_dfi_p3_reset_n), - .D8(main_a7ddrphy_dfi_p3_reset_n), + .D1(a7ddrphy_dfi_p0_reset_n), + .D2(a7ddrphy_dfi_p0_reset_n), + .D3(a7ddrphy_dfi_p1_reset_n), + .D4(a7ddrphy_dfi_p1_reset_n), + .D5(a7ddrphy_dfi_p2_reset_n), + .D6(a7ddrphy_dfi_p2_reset_n), + .D7(a7ddrphy_dfi_p3_reset_n), + .D8(a7ddrphy_dfi_p3_reset_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_reset_n) ); @@ -13537,16 +13980,16 @@ OSERDESE2 #( ) OSERDESE2_2 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_cs_n), - .D2(main_a7ddrphy_dfi_p0_cs_n), - .D3(main_a7ddrphy_dfi_p1_cs_n), - .D4(main_a7ddrphy_dfi_p1_cs_n), - .D5(main_a7ddrphy_dfi_p2_cs_n), - .D6(main_a7ddrphy_dfi_p2_cs_n), - .D7(main_a7ddrphy_dfi_p3_cs_n), - .D8(main_a7ddrphy_dfi_p3_cs_n), + .D1(a7ddrphy_dfi_p0_cs_n), + .D2(a7ddrphy_dfi_p0_cs_n), + .D3(a7ddrphy_dfi_p1_cs_n), + .D4(a7ddrphy_dfi_p1_cs_n), + .D5(a7ddrphy_dfi_p2_cs_n), + .D6(a7ddrphy_dfi_p2_cs_n), + .D7(a7ddrphy_dfi_p3_cs_n), + .D8(a7ddrphy_dfi_p3_cs_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_cs_n) ); @@ -13559,16 +14002,16 @@ OSERDESE2 #( ) OSERDESE2_3 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[0]), - .D2(main_a7ddrphy_dfi_p0_address[0]), - .D3(main_a7ddrphy_dfi_p1_address[0]), - .D4(main_a7ddrphy_dfi_p1_address[0]), - .D5(main_a7ddrphy_dfi_p2_address[0]), - .D6(main_a7ddrphy_dfi_p2_address[0]), - .D7(main_a7ddrphy_dfi_p3_address[0]), - .D8(main_a7ddrphy_dfi_p3_address[0]), + .D1(a7ddrphy_dfi_p0_address[0]), + .D2(a7ddrphy_dfi_p0_address[0]), + .D3(a7ddrphy_dfi_p1_address[0]), + .D4(a7ddrphy_dfi_p1_address[0]), + .D5(a7ddrphy_dfi_p2_address[0]), + .D6(a7ddrphy_dfi_p2_address[0]), + .D7(a7ddrphy_dfi_p3_address[0]), + .D8(a7ddrphy_dfi_p3_address[0]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[0]) ); @@ -13581,16 +14024,16 @@ OSERDESE2 #( ) OSERDESE2_4 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[1]), - .D2(main_a7ddrphy_dfi_p0_address[1]), - .D3(main_a7ddrphy_dfi_p1_address[1]), - .D4(main_a7ddrphy_dfi_p1_address[1]), - .D5(main_a7ddrphy_dfi_p2_address[1]), - .D6(main_a7ddrphy_dfi_p2_address[1]), - .D7(main_a7ddrphy_dfi_p3_address[1]), - .D8(main_a7ddrphy_dfi_p3_address[1]), + .D1(a7ddrphy_dfi_p0_address[1]), + .D2(a7ddrphy_dfi_p0_address[1]), + .D3(a7ddrphy_dfi_p1_address[1]), + .D4(a7ddrphy_dfi_p1_address[1]), + .D5(a7ddrphy_dfi_p2_address[1]), + .D6(a7ddrphy_dfi_p2_address[1]), + .D7(a7ddrphy_dfi_p3_address[1]), + .D8(a7ddrphy_dfi_p3_address[1]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[1]) ); @@ -13603,16 +14046,16 @@ OSERDESE2 #( ) OSERDESE2_5 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[2]), - .D2(main_a7ddrphy_dfi_p0_address[2]), - .D3(main_a7ddrphy_dfi_p1_address[2]), - .D4(main_a7ddrphy_dfi_p1_address[2]), - .D5(main_a7ddrphy_dfi_p2_address[2]), - .D6(main_a7ddrphy_dfi_p2_address[2]), - .D7(main_a7ddrphy_dfi_p3_address[2]), - .D8(main_a7ddrphy_dfi_p3_address[2]), + .D1(a7ddrphy_dfi_p0_address[2]), + .D2(a7ddrphy_dfi_p0_address[2]), + .D3(a7ddrphy_dfi_p1_address[2]), + .D4(a7ddrphy_dfi_p1_address[2]), + .D5(a7ddrphy_dfi_p2_address[2]), + .D6(a7ddrphy_dfi_p2_address[2]), + .D7(a7ddrphy_dfi_p3_address[2]), + .D8(a7ddrphy_dfi_p3_address[2]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[2]) ); @@ -13625,16 +14068,16 @@ OSERDESE2 #( ) OSERDESE2_6 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[3]), - .D2(main_a7ddrphy_dfi_p0_address[3]), - .D3(main_a7ddrphy_dfi_p1_address[3]), - .D4(main_a7ddrphy_dfi_p1_address[3]), - .D5(main_a7ddrphy_dfi_p2_address[3]), - .D6(main_a7ddrphy_dfi_p2_address[3]), - .D7(main_a7ddrphy_dfi_p3_address[3]), - .D8(main_a7ddrphy_dfi_p3_address[3]), + .D1(a7ddrphy_dfi_p0_address[3]), + .D2(a7ddrphy_dfi_p0_address[3]), + .D3(a7ddrphy_dfi_p1_address[3]), + .D4(a7ddrphy_dfi_p1_address[3]), + .D5(a7ddrphy_dfi_p2_address[3]), + .D6(a7ddrphy_dfi_p2_address[3]), + .D7(a7ddrphy_dfi_p3_address[3]), + .D8(a7ddrphy_dfi_p3_address[3]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[3]) ); @@ -13647,16 +14090,16 @@ OSERDESE2 #( ) OSERDESE2_7 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[4]), - .D2(main_a7ddrphy_dfi_p0_address[4]), - .D3(main_a7ddrphy_dfi_p1_address[4]), - .D4(main_a7ddrphy_dfi_p1_address[4]), - .D5(main_a7ddrphy_dfi_p2_address[4]), - .D6(main_a7ddrphy_dfi_p2_address[4]), - .D7(main_a7ddrphy_dfi_p3_address[4]), - .D8(main_a7ddrphy_dfi_p3_address[4]), + .D1(a7ddrphy_dfi_p0_address[4]), + .D2(a7ddrphy_dfi_p0_address[4]), + .D3(a7ddrphy_dfi_p1_address[4]), + .D4(a7ddrphy_dfi_p1_address[4]), + .D5(a7ddrphy_dfi_p2_address[4]), + .D6(a7ddrphy_dfi_p2_address[4]), + .D7(a7ddrphy_dfi_p3_address[4]), + .D8(a7ddrphy_dfi_p3_address[4]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[4]) ); @@ -13669,16 +14112,16 @@ OSERDESE2 #( ) OSERDESE2_8 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[5]), - .D2(main_a7ddrphy_dfi_p0_address[5]), - .D3(main_a7ddrphy_dfi_p1_address[5]), - .D4(main_a7ddrphy_dfi_p1_address[5]), - .D5(main_a7ddrphy_dfi_p2_address[5]), - .D6(main_a7ddrphy_dfi_p2_address[5]), - .D7(main_a7ddrphy_dfi_p3_address[5]), - .D8(main_a7ddrphy_dfi_p3_address[5]), + .D1(a7ddrphy_dfi_p0_address[5]), + .D2(a7ddrphy_dfi_p0_address[5]), + .D3(a7ddrphy_dfi_p1_address[5]), + .D4(a7ddrphy_dfi_p1_address[5]), + .D5(a7ddrphy_dfi_p2_address[5]), + .D6(a7ddrphy_dfi_p2_address[5]), + .D7(a7ddrphy_dfi_p3_address[5]), + .D8(a7ddrphy_dfi_p3_address[5]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[5]) ); @@ -13691,16 +14134,16 @@ OSERDESE2 #( ) OSERDESE2_9 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[6]), - .D2(main_a7ddrphy_dfi_p0_address[6]), - .D3(main_a7ddrphy_dfi_p1_address[6]), - .D4(main_a7ddrphy_dfi_p1_address[6]), - .D5(main_a7ddrphy_dfi_p2_address[6]), - .D6(main_a7ddrphy_dfi_p2_address[6]), - .D7(main_a7ddrphy_dfi_p3_address[6]), - .D8(main_a7ddrphy_dfi_p3_address[6]), + .D1(a7ddrphy_dfi_p0_address[6]), + .D2(a7ddrphy_dfi_p0_address[6]), + .D3(a7ddrphy_dfi_p1_address[6]), + .D4(a7ddrphy_dfi_p1_address[6]), + .D5(a7ddrphy_dfi_p2_address[6]), + .D6(a7ddrphy_dfi_p2_address[6]), + .D7(a7ddrphy_dfi_p3_address[6]), + .D8(a7ddrphy_dfi_p3_address[6]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[6]) ); @@ -13713,16 +14156,16 @@ OSERDESE2 #( ) OSERDESE2_10 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[7]), - .D2(main_a7ddrphy_dfi_p0_address[7]), - .D3(main_a7ddrphy_dfi_p1_address[7]), - .D4(main_a7ddrphy_dfi_p1_address[7]), - .D5(main_a7ddrphy_dfi_p2_address[7]), - .D6(main_a7ddrphy_dfi_p2_address[7]), - .D7(main_a7ddrphy_dfi_p3_address[7]), - .D8(main_a7ddrphy_dfi_p3_address[7]), + .D1(a7ddrphy_dfi_p0_address[7]), + .D2(a7ddrphy_dfi_p0_address[7]), + .D3(a7ddrphy_dfi_p1_address[7]), + .D4(a7ddrphy_dfi_p1_address[7]), + .D5(a7ddrphy_dfi_p2_address[7]), + .D6(a7ddrphy_dfi_p2_address[7]), + .D7(a7ddrphy_dfi_p3_address[7]), + .D8(a7ddrphy_dfi_p3_address[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[7]) ); @@ -13735,16 +14178,16 @@ OSERDESE2 #( ) OSERDESE2_11 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[8]), - .D2(main_a7ddrphy_dfi_p0_address[8]), - .D3(main_a7ddrphy_dfi_p1_address[8]), - .D4(main_a7ddrphy_dfi_p1_address[8]), - .D5(main_a7ddrphy_dfi_p2_address[8]), - .D6(main_a7ddrphy_dfi_p2_address[8]), - .D7(main_a7ddrphy_dfi_p3_address[8]), - .D8(main_a7ddrphy_dfi_p3_address[8]), + .D1(a7ddrphy_dfi_p0_address[8]), + .D2(a7ddrphy_dfi_p0_address[8]), + .D3(a7ddrphy_dfi_p1_address[8]), + .D4(a7ddrphy_dfi_p1_address[8]), + .D5(a7ddrphy_dfi_p2_address[8]), + .D6(a7ddrphy_dfi_p2_address[8]), + .D7(a7ddrphy_dfi_p3_address[8]), + .D8(a7ddrphy_dfi_p3_address[8]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[8]) ); @@ -13757,16 +14200,16 @@ OSERDESE2 #( ) OSERDESE2_12 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[9]), - .D2(main_a7ddrphy_dfi_p0_address[9]), - .D3(main_a7ddrphy_dfi_p1_address[9]), - .D4(main_a7ddrphy_dfi_p1_address[9]), - .D5(main_a7ddrphy_dfi_p2_address[9]), - .D6(main_a7ddrphy_dfi_p2_address[9]), - .D7(main_a7ddrphy_dfi_p3_address[9]), - .D8(main_a7ddrphy_dfi_p3_address[9]), + .D1(a7ddrphy_dfi_p0_address[9]), + .D2(a7ddrphy_dfi_p0_address[9]), + .D3(a7ddrphy_dfi_p1_address[9]), + .D4(a7ddrphy_dfi_p1_address[9]), + .D5(a7ddrphy_dfi_p2_address[9]), + .D6(a7ddrphy_dfi_p2_address[9]), + .D7(a7ddrphy_dfi_p3_address[9]), + .D8(a7ddrphy_dfi_p3_address[9]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[9]) ); @@ -13779,16 +14222,16 @@ OSERDESE2 #( ) OSERDESE2_13 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[10]), - .D2(main_a7ddrphy_dfi_p0_address[10]), - .D3(main_a7ddrphy_dfi_p1_address[10]), - .D4(main_a7ddrphy_dfi_p1_address[10]), - .D5(main_a7ddrphy_dfi_p2_address[10]), - .D6(main_a7ddrphy_dfi_p2_address[10]), - .D7(main_a7ddrphy_dfi_p3_address[10]), - .D8(main_a7ddrphy_dfi_p3_address[10]), + .D1(a7ddrphy_dfi_p0_address[10]), + .D2(a7ddrphy_dfi_p0_address[10]), + .D3(a7ddrphy_dfi_p1_address[10]), + .D4(a7ddrphy_dfi_p1_address[10]), + .D5(a7ddrphy_dfi_p2_address[10]), + .D6(a7ddrphy_dfi_p2_address[10]), + .D7(a7ddrphy_dfi_p3_address[10]), + .D8(a7ddrphy_dfi_p3_address[10]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[10]) ); @@ -13801,16 +14244,16 @@ OSERDESE2 #( ) OSERDESE2_14 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[11]), - .D2(main_a7ddrphy_dfi_p0_address[11]), - .D3(main_a7ddrphy_dfi_p1_address[11]), - .D4(main_a7ddrphy_dfi_p1_address[11]), - .D5(main_a7ddrphy_dfi_p2_address[11]), - .D6(main_a7ddrphy_dfi_p2_address[11]), - .D7(main_a7ddrphy_dfi_p3_address[11]), - .D8(main_a7ddrphy_dfi_p3_address[11]), + .D1(a7ddrphy_dfi_p0_address[11]), + .D2(a7ddrphy_dfi_p0_address[11]), + .D3(a7ddrphy_dfi_p1_address[11]), + .D4(a7ddrphy_dfi_p1_address[11]), + .D5(a7ddrphy_dfi_p2_address[11]), + .D6(a7ddrphy_dfi_p2_address[11]), + .D7(a7ddrphy_dfi_p3_address[11]), + .D8(a7ddrphy_dfi_p3_address[11]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[11]) ); @@ -13823,16 +14266,16 @@ OSERDESE2 #( ) OSERDESE2_15 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[12]), - .D2(main_a7ddrphy_dfi_p0_address[12]), - .D3(main_a7ddrphy_dfi_p1_address[12]), - .D4(main_a7ddrphy_dfi_p1_address[12]), - .D5(main_a7ddrphy_dfi_p2_address[12]), - .D6(main_a7ddrphy_dfi_p2_address[12]), - .D7(main_a7ddrphy_dfi_p3_address[12]), - .D8(main_a7ddrphy_dfi_p3_address[12]), + .D1(a7ddrphy_dfi_p0_address[12]), + .D2(a7ddrphy_dfi_p0_address[12]), + .D3(a7ddrphy_dfi_p1_address[12]), + .D4(a7ddrphy_dfi_p1_address[12]), + .D5(a7ddrphy_dfi_p2_address[12]), + .D6(a7ddrphy_dfi_p2_address[12]), + .D7(a7ddrphy_dfi_p3_address[12]), + .D8(a7ddrphy_dfi_p3_address[12]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[12]) ); @@ -13845,16 +14288,16 @@ OSERDESE2 #( ) OSERDESE2_16 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_address[13]), - .D2(main_a7ddrphy_dfi_p0_address[13]), - .D3(main_a7ddrphy_dfi_p1_address[13]), - .D4(main_a7ddrphy_dfi_p1_address[13]), - .D5(main_a7ddrphy_dfi_p2_address[13]), - .D6(main_a7ddrphy_dfi_p2_address[13]), - .D7(main_a7ddrphy_dfi_p3_address[13]), - .D8(main_a7ddrphy_dfi_p3_address[13]), + .D1(a7ddrphy_dfi_p0_address[13]), + .D2(a7ddrphy_dfi_p0_address[13]), + .D3(a7ddrphy_dfi_p1_address[13]), + .D4(a7ddrphy_dfi_p1_address[13]), + .D5(a7ddrphy_dfi_p2_address[13]), + .D6(a7ddrphy_dfi_p2_address[13]), + .D7(a7ddrphy_dfi_p3_address[13]), + .D8(a7ddrphy_dfi_p3_address[13]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_a[13]) ); @@ -13867,17 +14310,17 @@ OSERDESE2 #( ) OSERDESE2_17 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_bank[0]), - .D2(main_a7ddrphy_dfi_p0_bank[0]), - .D3(main_a7ddrphy_dfi_p1_bank[0]), - .D4(main_a7ddrphy_dfi_p1_bank[0]), - .D5(main_a7ddrphy_dfi_p2_bank[0]), - .D6(main_a7ddrphy_dfi_p2_bank[0]), - .D7(main_a7ddrphy_dfi_p3_bank[0]), - .D8(main_a7ddrphy_dfi_p3_bank[0]), + .D1(a7ddrphy_dfi_p0_bank[0]), + .D2(a7ddrphy_dfi_p0_bank[0]), + .D3(a7ddrphy_dfi_p1_bank[0]), + .D4(a7ddrphy_dfi_p1_bank[0]), + .D5(a7ddrphy_dfi_p2_bank[0]), + .D6(a7ddrphy_dfi_p2_bank[0]), + .D7(a7ddrphy_dfi_p3_bank[0]), + .D8(a7ddrphy_dfi_p3_bank[0]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(ddram_ba[0]) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_pads_ba[0]) ); OSERDESE2 #( @@ -13889,17 +14332,17 @@ OSERDESE2 #( ) OSERDESE2_18 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_bank[1]), - .D2(main_a7ddrphy_dfi_p0_bank[1]), - .D3(main_a7ddrphy_dfi_p1_bank[1]), - .D4(main_a7ddrphy_dfi_p1_bank[1]), - .D5(main_a7ddrphy_dfi_p2_bank[1]), - .D6(main_a7ddrphy_dfi_p2_bank[1]), - .D7(main_a7ddrphy_dfi_p3_bank[1]), - .D8(main_a7ddrphy_dfi_p3_bank[1]), + .D1(a7ddrphy_dfi_p0_bank[1]), + .D2(a7ddrphy_dfi_p0_bank[1]), + .D3(a7ddrphy_dfi_p1_bank[1]), + .D4(a7ddrphy_dfi_p1_bank[1]), + .D5(a7ddrphy_dfi_p2_bank[1]), + .D6(a7ddrphy_dfi_p2_bank[1]), + .D7(a7ddrphy_dfi_p3_bank[1]), + .D8(a7ddrphy_dfi_p3_bank[1]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(ddram_ba[1]) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_pads_ba[1]) ); OSERDESE2 #( @@ -13911,17 +14354,17 @@ OSERDESE2 #( ) OSERDESE2_19 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_bank[2]), - .D2(main_a7ddrphy_dfi_p0_bank[2]), - .D3(main_a7ddrphy_dfi_p1_bank[2]), - .D4(main_a7ddrphy_dfi_p1_bank[2]), - .D5(main_a7ddrphy_dfi_p2_bank[2]), - .D6(main_a7ddrphy_dfi_p2_bank[2]), - .D7(main_a7ddrphy_dfi_p3_bank[2]), - .D8(main_a7ddrphy_dfi_p3_bank[2]), + .D1(a7ddrphy_dfi_p0_bank[2]), + .D2(a7ddrphy_dfi_p0_bank[2]), + .D3(a7ddrphy_dfi_p1_bank[2]), + .D4(a7ddrphy_dfi_p1_bank[2]), + .D5(a7ddrphy_dfi_p2_bank[2]), + .D6(a7ddrphy_dfi_p2_bank[2]), + .D7(a7ddrphy_dfi_p3_bank[2]), + .D8(a7ddrphy_dfi_p3_bank[2]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .OQ(ddram_ba[2]) + .RST((sys_rst | a7ddrphy_rst_storage)), + .OQ(a7ddrphy_pads_ba[2]) ); OSERDESE2 #( @@ -13933,16 +14376,16 @@ OSERDESE2 #( ) OSERDESE2_20 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_ras_n), - .D2(main_a7ddrphy_dfi_p0_ras_n), - .D3(main_a7ddrphy_dfi_p1_ras_n), - .D4(main_a7ddrphy_dfi_p1_ras_n), - .D5(main_a7ddrphy_dfi_p2_ras_n), - .D6(main_a7ddrphy_dfi_p2_ras_n), - .D7(main_a7ddrphy_dfi_p3_ras_n), - .D8(main_a7ddrphy_dfi_p3_ras_n), + .D1(a7ddrphy_dfi_p0_ras_n), + .D2(a7ddrphy_dfi_p0_ras_n), + .D3(a7ddrphy_dfi_p1_ras_n), + .D4(a7ddrphy_dfi_p1_ras_n), + .D5(a7ddrphy_dfi_p2_ras_n), + .D6(a7ddrphy_dfi_p2_ras_n), + .D7(a7ddrphy_dfi_p3_ras_n), + .D8(a7ddrphy_dfi_p3_ras_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_ras_n) ); @@ -13955,16 +14398,16 @@ OSERDESE2 #( ) OSERDESE2_21 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_cas_n), - .D2(main_a7ddrphy_dfi_p0_cas_n), - .D3(main_a7ddrphy_dfi_p1_cas_n), - .D4(main_a7ddrphy_dfi_p1_cas_n), - .D5(main_a7ddrphy_dfi_p2_cas_n), - .D6(main_a7ddrphy_dfi_p2_cas_n), - .D7(main_a7ddrphy_dfi_p3_cas_n), - .D8(main_a7ddrphy_dfi_p3_cas_n), + .D1(a7ddrphy_dfi_p0_cas_n), + .D2(a7ddrphy_dfi_p0_cas_n), + .D3(a7ddrphy_dfi_p1_cas_n), + .D4(a7ddrphy_dfi_p1_cas_n), + .D5(a7ddrphy_dfi_p2_cas_n), + .D6(a7ddrphy_dfi_p2_cas_n), + .D7(a7ddrphy_dfi_p3_cas_n), + .D8(a7ddrphy_dfi_p3_cas_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_cas_n) ); @@ -13977,16 +14420,16 @@ OSERDESE2 #( ) OSERDESE2_22 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_we_n), - .D2(main_a7ddrphy_dfi_p0_we_n), - .D3(main_a7ddrphy_dfi_p1_we_n), - .D4(main_a7ddrphy_dfi_p1_we_n), - .D5(main_a7ddrphy_dfi_p2_we_n), - .D6(main_a7ddrphy_dfi_p2_we_n), - .D7(main_a7ddrphy_dfi_p3_we_n), - .D8(main_a7ddrphy_dfi_p3_we_n), + .D1(a7ddrphy_dfi_p0_we_n), + .D2(a7ddrphy_dfi_p0_we_n), + .D3(a7ddrphy_dfi_p1_we_n), + .D4(a7ddrphy_dfi_p1_we_n), + .D5(a7ddrphy_dfi_p2_we_n), + .D6(a7ddrphy_dfi_p2_we_n), + .D7(a7ddrphy_dfi_p3_we_n), + .D8(a7ddrphy_dfi_p3_we_n), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_we_n) ); @@ -13999,16 +14442,16 @@ OSERDESE2 #( ) OSERDESE2_23 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_cke), - .D2(main_a7ddrphy_dfi_p0_cke), - .D3(main_a7ddrphy_dfi_p1_cke), - .D4(main_a7ddrphy_dfi_p1_cke), - .D5(main_a7ddrphy_dfi_p2_cke), - .D6(main_a7ddrphy_dfi_p2_cke), - .D7(main_a7ddrphy_dfi_p3_cke), - .D8(main_a7ddrphy_dfi_p3_cke), + .D1(a7ddrphy_dfi_p0_cke), + .D2(a7ddrphy_dfi_p0_cke), + .D3(a7ddrphy_dfi_p1_cke), + .D4(a7ddrphy_dfi_p1_cke), + .D5(a7ddrphy_dfi_p2_cke), + .D6(a7ddrphy_dfi_p2_cke), + .D7(a7ddrphy_dfi_p3_cke), + .D8(a7ddrphy_dfi_p3_cke), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_cke) ); @@ -14021,16 +14464,16 @@ OSERDESE2 #( ) OSERDESE2_24 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_dfi_p0_odt), - .D2(main_a7ddrphy_dfi_p0_odt), - .D3(main_a7ddrphy_dfi_p1_odt), - .D4(main_a7ddrphy_dfi_p1_odt), - .D5(main_a7ddrphy_dfi_p2_odt), - .D6(main_a7ddrphy_dfi_p2_odt), - .D7(main_a7ddrphy_dfi_p3_odt), - .D8(main_a7ddrphy_dfi_p3_odt), + .D1(a7ddrphy_dfi_p0_odt), + .D2(a7ddrphy_dfi_p0_odt), + .D3(a7ddrphy_dfi_p1_odt), + .D4(a7ddrphy_dfi_p1_odt), + .D5(a7ddrphy_dfi_p2_odt), + .D6(a7ddrphy_dfi_p2_odt), + .D7(a7ddrphy_dfi_p3_odt), + .D8(a7ddrphy_dfi_p3_odt), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_odt) ); @@ -14043,26 +14486,26 @@ OSERDESE2 #( ) OSERDESE2_25 ( .CLK(sys4x_dqs_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip00[0]), - .D2(main_a7ddrphy_bitslip00[1]), - .D3(main_a7ddrphy_bitslip00[2]), - .D4(main_a7ddrphy_bitslip00[3]), - .D5(main_a7ddrphy_bitslip00[4]), - .D6(main_a7ddrphy_bitslip00[5]), - .D7(main_a7ddrphy_bitslip00[6]), - .D8(main_a7ddrphy_bitslip00[7]), + .D1(a7ddrphy_bitslip00[0]), + .D2(a7ddrphy_bitslip00[1]), + .D3(a7ddrphy_bitslip00[2]), + .D4(a7ddrphy_bitslip00[3]), + .D5(a7ddrphy_bitslip00[4]), + .D6(a7ddrphy_bitslip00[5]), + .D7(a7ddrphy_bitslip00[6]), + .D8(a7ddrphy_bitslip00[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OFB(main_a7ddrphy0), - .OQ(main_a7ddrphy_dqs_o_no_delay0), - .TQ(main_a7ddrphy_dqs_t0) + .OFB(a7ddrphy0), + .OQ(a7ddrphy_dqs_o_no_delay0), + .TQ(a7ddrphy_dqs_t0) ); IOBUFDS IOBUFDS( - .I(main_a7ddrphy_dqs_o_no_delay0), - .T(main_a7ddrphy_dqs_t0), + .I(a7ddrphy_dqs_o_no_delay0), + .T(a7ddrphy_dqs_t0), .IO(ddram_dqs_p[0]), .IOB(ddram_dqs_n[0]) ); @@ -14076,26 +14519,26 @@ OSERDESE2 #( ) OSERDESE2_26 ( .CLK(sys4x_dqs_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip10[0]), - .D2(main_a7ddrphy_bitslip10[1]), - .D3(main_a7ddrphy_bitslip10[2]), - .D4(main_a7ddrphy_bitslip10[3]), - .D5(main_a7ddrphy_bitslip10[4]), - .D6(main_a7ddrphy_bitslip10[5]), - .D7(main_a7ddrphy_bitslip10[6]), - .D8(main_a7ddrphy_bitslip10[7]), + .D1(a7ddrphy_bitslip10[0]), + .D2(a7ddrphy_bitslip10[1]), + .D3(a7ddrphy_bitslip10[2]), + .D4(a7ddrphy_bitslip10[3]), + .D5(a7ddrphy_bitslip10[4]), + .D6(a7ddrphy_bitslip10[5]), + .D7(a7ddrphy_bitslip10[6]), + .D8(a7ddrphy_bitslip10[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dqs_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OFB(main_a7ddrphy1), - .OQ(main_a7ddrphy_dqs_o_no_delay1), - .TQ(main_a7ddrphy_dqs_t1) + .OFB(a7ddrphy1), + .OQ(a7ddrphy_dqs_o_no_delay1), + .TQ(a7ddrphy_dqs_t1) ); IOBUFDS IOBUFDS_1( - .I(main_a7ddrphy_dqs_o_no_delay1), - .T(main_a7ddrphy_dqs_t1), + .I(a7ddrphy_dqs_o_no_delay1), + .T(a7ddrphy_dqs_t1), .IO(ddram_dqs_p[1]), .IOB(ddram_dqs_n[1]) ); @@ -14109,16 +14552,16 @@ OSERDESE2 #( ) OSERDESE2_27 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip01[0]), - .D2(main_a7ddrphy_bitslip01[1]), - .D3(main_a7ddrphy_bitslip01[2]), - .D4(main_a7ddrphy_bitslip01[3]), - .D5(main_a7ddrphy_bitslip01[4]), - .D6(main_a7ddrphy_bitslip01[5]), - .D7(main_a7ddrphy_bitslip01[6]), - .D8(main_a7ddrphy_bitslip01[7]), + .D1(a7ddrphy_bitslip01[0]), + .D2(a7ddrphy_bitslip01[1]), + .D3(a7ddrphy_bitslip01[2]), + .D4(a7ddrphy_bitslip01[3]), + .D5(a7ddrphy_bitslip01[4]), + .D6(a7ddrphy_bitslip01[5]), + .D7(a7ddrphy_bitslip01[6]), + .D8(a7ddrphy_bitslip01[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_dm[0]) ); @@ -14131,16 +14574,16 @@ OSERDESE2 #( ) OSERDESE2_28 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip11[0]), - .D2(main_a7ddrphy_bitslip11[1]), - .D3(main_a7ddrphy_bitslip11[2]), - .D4(main_a7ddrphy_bitslip11[3]), - .D5(main_a7ddrphy_bitslip11[4]), - .D6(main_a7ddrphy_bitslip11[5]), - .D7(main_a7ddrphy_bitslip11[6]), - .D8(main_a7ddrphy_bitslip11[7]), + .D1(a7ddrphy_bitslip11[0]), + .D2(a7ddrphy_bitslip11[1]), + .D3(a7ddrphy_bitslip11[2]), + .D4(a7ddrphy_bitslip11[3]), + .D5(a7ddrphy_bitslip11[4]), + .D6(a7ddrphy_bitslip11[5]), + .D7(a7ddrphy_bitslip11[6]), + .D8(a7ddrphy_bitslip11[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), + .RST((sys_rst | a7ddrphy_rst_storage)), .OQ(ddram_dm[1]) ); @@ -14153,20 +14596,20 @@ OSERDESE2 #( ) OSERDESE2_29 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip02[0]), - .D2(main_a7ddrphy_bitslip02[1]), - .D3(main_a7ddrphy_bitslip02[2]), - .D4(main_a7ddrphy_bitslip02[3]), - .D5(main_a7ddrphy_bitslip02[4]), - .D6(main_a7ddrphy_bitslip02[5]), - .D7(main_a7ddrphy_bitslip02[6]), - .D8(main_a7ddrphy_bitslip02[7]), + .D1(a7ddrphy_bitslip02[0]), + .D2(a7ddrphy_bitslip02[1]), + .D3(a7ddrphy_bitslip02[2]), + .D4(a7ddrphy_bitslip02[3]), + .D5(a7ddrphy_bitslip02[4]), + .D6(a7ddrphy_bitslip02[5]), + .D7(a7ddrphy_bitslip02[6]), + .D8(a7ddrphy_bitslip02[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay0), - .TQ(main_a7ddrphy_dq_t0) + .OQ(a7ddrphy_dq_o_nodelay0), + .TQ(a7ddrphy_dq_t0) ); ISERDESE2 #( @@ -14182,16 +14625,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed0), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip03[7]), - .Q2(main_a7ddrphy_bitslip03[6]), - .Q3(main_a7ddrphy_bitslip03[5]), - .Q4(main_a7ddrphy_bitslip03[4]), - .Q5(main_a7ddrphy_bitslip03[3]), - .Q6(main_a7ddrphy_bitslip03[2]), - .Q7(main_a7ddrphy_bitslip03[1]), - .Q8(main_a7ddrphy_bitslip03[0]) + .DDLY(a7ddrphy_dq_i_delayed0), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip03[7]), + .Q2(a7ddrphy_bitslip03[6]), + .Q3(a7ddrphy_bitslip03[5]), + .Q4(a7ddrphy_bitslip03[4]), + .Q5(a7ddrphy_bitslip03[3]), + .Q6(a7ddrphy_bitslip03[2]), + .Q7(a7ddrphy_bitslip03[1]), + .Q8(a7ddrphy_bitslip03[0]) ); IDELAYE2 #( @@ -14205,19 +14648,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay0), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay0), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed0) + .DATAOUT(a7ddrphy_dq_i_delayed0) ); IOBUF IOBUF( - .I(main_a7ddrphy_dq_o_nodelay0), - .T(main_a7ddrphy_dq_t0), + .I(a7ddrphy_dq_o_nodelay0), + .T(a7ddrphy_dq_t0), .IO(ddram_dq[0]), - .O(main_a7ddrphy_dq_i_nodelay0) + .O(a7ddrphy_dq_i_nodelay0) ); OSERDESE2 #( @@ -14229,20 +14672,20 @@ OSERDESE2 #( ) OSERDESE2_30 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip12[0]), - .D2(main_a7ddrphy_bitslip12[1]), - .D3(main_a7ddrphy_bitslip12[2]), - .D4(main_a7ddrphy_bitslip12[3]), - .D5(main_a7ddrphy_bitslip12[4]), - .D6(main_a7ddrphy_bitslip12[5]), - .D7(main_a7ddrphy_bitslip12[6]), - .D8(main_a7ddrphy_bitslip12[7]), + .D1(a7ddrphy_bitslip12[0]), + .D2(a7ddrphy_bitslip12[1]), + .D3(a7ddrphy_bitslip12[2]), + .D4(a7ddrphy_bitslip12[3]), + .D5(a7ddrphy_bitslip12[4]), + .D6(a7ddrphy_bitslip12[5]), + .D7(a7ddrphy_bitslip12[6]), + .D8(a7ddrphy_bitslip12[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay1), - .TQ(main_a7ddrphy_dq_t1) + .OQ(a7ddrphy_dq_o_nodelay1), + .TQ(a7ddrphy_dq_t1) ); ISERDESE2 #( @@ -14258,16 +14701,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip13[7]), - .Q2(main_a7ddrphy_bitslip13[6]), - .Q3(main_a7ddrphy_bitslip13[5]), - .Q4(main_a7ddrphy_bitslip13[4]), - .Q5(main_a7ddrphy_bitslip13[3]), - .Q6(main_a7ddrphy_bitslip13[2]), - .Q7(main_a7ddrphy_bitslip13[1]), - .Q8(main_a7ddrphy_bitslip13[0]) + .DDLY(a7ddrphy_dq_i_delayed1), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip13[7]), + .Q2(a7ddrphy_bitslip13[6]), + .Q3(a7ddrphy_bitslip13[5]), + .Q4(a7ddrphy_bitslip13[4]), + .Q5(a7ddrphy_bitslip13[3]), + .Q6(a7ddrphy_bitslip13[2]), + .Q7(a7ddrphy_bitslip13[1]), + .Q8(a7ddrphy_bitslip13[0]) ); IDELAYE2 #( @@ -14281,19 +14724,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_1 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay1), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay1), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed1) + .DATAOUT(a7ddrphy_dq_i_delayed1) ); IOBUF IOBUF_1( - .I(main_a7ddrphy_dq_o_nodelay1), - .T(main_a7ddrphy_dq_t1), + .I(a7ddrphy_dq_o_nodelay1), + .T(a7ddrphy_dq_t1), .IO(ddram_dq[1]), - .O(main_a7ddrphy_dq_i_nodelay1) + .O(a7ddrphy_dq_i_nodelay1) ); OSERDESE2 #( @@ -14305,20 +14748,20 @@ OSERDESE2 #( ) OSERDESE2_31 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip20[0]), - .D2(main_a7ddrphy_bitslip20[1]), - .D3(main_a7ddrphy_bitslip20[2]), - .D4(main_a7ddrphy_bitslip20[3]), - .D5(main_a7ddrphy_bitslip20[4]), - .D6(main_a7ddrphy_bitslip20[5]), - .D7(main_a7ddrphy_bitslip20[6]), - .D8(main_a7ddrphy_bitslip20[7]), + .D1(a7ddrphy_bitslip20[0]), + .D2(a7ddrphy_bitslip20[1]), + .D3(a7ddrphy_bitslip20[2]), + .D4(a7ddrphy_bitslip20[3]), + .D5(a7ddrphy_bitslip20[4]), + .D6(a7ddrphy_bitslip20[5]), + .D7(a7ddrphy_bitslip20[6]), + .D8(a7ddrphy_bitslip20[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay2), - .TQ(main_a7ddrphy_dq_t2) + .OQ(a7ddrphy_dq_o_nodelay2), + .TQ(a7ddrphy_dq_t2) ); ISERDESE2 #( @@ -14334,16 +14777,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed2), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip21[7]), - .Q2(main_a7ddrphy_bitslip21[6]), - .Q3(main_a7ddrphy_bitslip21[5]), - .Q4(main_a7ddrphy_bitslip21[4]), - .Q5(main_a7ddrphy_bitslip21[3]), - .Q6(main_a7ddrphy_bitslip21[2]), - .Q7(main_a7ddrphy_bitslip21[1]), - .Q8(main_a7ddrphy_bitslip21[0]) + .DDLY(a7ddrphy_dq_i_delayed2), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip21[7]), + .Q2(a7ddrphy_bitslip21[6]), + .Q3(a7ddrphy_bitslip21[5]), + .Q4(a7ddrphy_bitslip21[4]), + .Q5(a7ddrphy_bitslip21[3]), + .Q6(a7ddrphy_bitslip21[2]), + .Q7(a7ddrphy_bitslip21[1]), + .Q8(a7ddrphy_bitslip21[0]) ); IDELAYE2 #( @@ -14357,19 +14800,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_2 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay2), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay2), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed2) + .DATAOUT(a7ddrphy_dq_i_delayed2) ); IOBUF IOBUF_2( - .I(main_a7ddrphy_dq_o_nodelay2), - .T(main_a7ddrphy_dq_t2), + .I(a7ddrphy_dq_o_nodelay2), + .T(a7ddrphy_dq_t2), .IO(ddram_dq[2]), - .O(main_a7ddrphy_dq_i_nodelay2) + .O(a7ddrphy_dq_i_nodelay2) ); OSERDESE2 #( @@ -14381,20 +14824,20 @@ OSERDESE2 #( ) OSERDESE2_32 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip30[0]), - .D2(main_a7ddrphy_bitslip30[1]), - .D3(main_a7ddrphy_bitslip30[2]), - .D4(main_a7ddrphy_bitslip30[3]), - .D5(main_a7ddrphy_bitslip30[4]), - .D6(main_a7ddrphy_bitslip30[5]), - .D7(main_a7ddrphy_bitslip30[6]), - .D8(main_a7ddrphy_bitslip30[7]), + .D1(a7ddrphy_bitslip30[0]), + .D2(a7ddrphy_bitslip30[1]), + .D3(a7ddrphy_bitslip30[2]), + .D4(a7ddrphy_bitslip30[3]), + .D5(a7ddrphy_bitslip30[4]), + .D6(a7ddrphy_bitslip30[5]), + .D7(a7ddrphy_bitslip30[6]), + .D8(a7ddrphy_bitslip30[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay3), - .TQ(main_a7ddrphy_dq_t3) + .OQ(a7ddrphy_dq_o_nodelay3), + .TQ(a7ddrphy_dq_t3) ); ISERDESE2 #( @@ -14410,16 +14853,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed3), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip31[7]), - .Q2(main_a7ddrphy_bitslip31[6]), - .Q3(main_a7ddrphy_bitslip31[5]), - .Q4(main_a7ddrphy_bitslip31[4]), - .Q5(main_a7ddrphy_bitslip31[3]), - .Q6(main_a7ddrphy_bitslip31[2]), - .Q7(main_a7ddrphy_bitslip31[1]), - .Q8(main_a7ddrphy_bitslip31[0]) + .DDLY(a7ddrphy_dq_i_delayed3), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip31[7]), + .Q2(a7ddrphy_bitslip31[6]), + .Q3(a7ddrphy_bitslip31[5]), + .Q4(a7ddrphy_bitslip31[4]), + .Q5(a7ddrphy_bitslip31[3]), + .Q6(a7ddrphy_bitslip31[2]), + .Q7(a7ddrphy_bitslip31[1]), + .Q8(a7ddrphy_bitslip31[0]) ); IDELAYE2 #( @@ -14433,19 +14876,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_3 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay3), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay3), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed3) + .DATAOUT(a7ddrphy_dq_i_delayed3) ); IOBUF IOBUF_3( - .I(main_a7ddrphy_dq_o_nodelay3), - .T(main_a7ddrphy_dq_t3), + .I(a7ddrphy_dq_o_nodelay3), + .T(a7ddrphy_dq_t3), .IO(ddram_dq[3]), - .O(main_a7ddrphy_dq_i_nodelay3) + .O(a7ddrphy_dq_i_nodelay3) ); OSERDESE2 #( @@ -14457,20 +14900,20 @@ OSERDESE2 #( ) OSERDESE2_33 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip40[0]), - .D2(main_a7ddrphy_bitslip40[1]), - .D3(main_a7ddrphy_bitslip40[2]), - .D4(main_a7ddrphy_bitslip40[3]), - .D5(main_a7ddrphy_bitslip40[4]), - .D6(main_a7ddrphy_bitslip40[5]), - .D7(main_a7ddrphy_bitslip40[6]), - .D8(main_a7ddrphy_bitslip40[7]), + .D1(a7ddrphy_bitslip40[0]), + .D2(a7ddrphy_bitslip40[1]), + .D3(a7ddrphy_bitslip40[2]), + .D4(a7ddrphy_bitslip40[3]), + .D5(a7ddrphy_bitslip40[4]), + .D6(a7ddrphy_bitslip40[5]), + .D7(a7ddrphy_bitslip40[6]), + .D8(a7ddrphy_bitslip40[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay4), - .TQ(main_a7ddrphy_dq_t4) + .OQ(a7ddrphy_dq_o_nodelay4), + .TQ(a7ddrphy_dq_t4) ); ISERDESE2 #( @@ -14486,16 +14929,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed4), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip41[7]), - .Q2(main_a7ddrphy_bitslip41[6]), - .Q3(main_a7ddrphy_bitslip41[5]), - .Q4(main_a7ddrphy_bitslip41[4]), - .Q5(main_a7ddrphy_bitslip41[3]), - .Q6(main_a7ddrphy_bitslip41[2]), - .Q7(main_a7ddrphy_bitslip41[1]), - .Q8(main_a7ddrphy_bitslip41[0]) + .DDLY(a7ddrphy_dq_i_delayed4), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip41[7]), + .Q2(a7ddrphy_bitslip41[6]), + .Q3(a7ddrphy_bitslip41[5]), + .Q4(a7ddrphy_bitslip41[4]), + .Q5(a7ddrphy_bitslip41[3]), + .Q6(a7ddrphy_bitslip41[2]), + .Q7(a7ddrphy_bitslip41[1]), + .Q8(a7ddrphy_bitslip41[0]) ); IDELAYE2 #( @@ -14509,19 +14952,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_4 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay4), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay4), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed4) + .DATAOUT(a7ddrphy_dq_i_delayed4) ); IOBUF IOBUF_4( - .I(main_a7ddrphy_dq_o_nodelay4), - .T(main_a7ddrphy_dq_t4), + .I(a7ddrphy_dq_o_nodelay4), + .T(a7ddrphy_dq_t4), .IO(ddram_dq[4]), - .O(main_a7ddrphy_dq_i_nodelay4) + .O(a7ddrphy_dq_i_nodelay4) ); OSERDESE2 #( @@ -14533,20 +14976,20 @@ OSERDESE2 #( ) OSERDESE2_34 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip50[0]), - .D2(main_a7ddrphy_bitslip50[1]), - .D3(main_a7ddrphy_bitslip50[2]), - .D4(main_a7ddrphy_bitslip50[3]), - .D5(main_a7ddrphy_bitslip50[4]), - .D6(main_a7ddrphy_bitslip50[5]), - .D7(main_a7ddrphy_bitslip50[6]), - .D8(main_a7ddrphy_bitslip50[7]), + .D1(a7ddrphy_bitslip50[0]), + .D2(a7ddrphy_bitslip50[1]), + .D3(a7ddrphy_bitslip50[2]), + .D4(a7ddrphy_bitslip50[3]), + .D5(a7ddrphy_bitslip50[4]), + .D6(a7ddrphy_bitslip50[5]), + .D7(a7ddrphy_bitslip50[6]), + .D8(a7ddrphy_bitslip50[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay5), - .TQ(main_a7ddrphy_dq_t5) + .OQ(a7ddrphy_dq_o_nodelay5), + .TQ(a7ddrphy_dq_t5) ); ISERDESE2 #( @@ -14562,16 +15005,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed5), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip51[7]), - .Q2(main_a7ddrphy_bitslip51[6]), - .Q3(main_a7ddrphy_bitslip51[5]), - .Q4(main_a7ddrphy_bitslip51[4]), - .Q5(main_a7ddrphy_bitslip51[3]), - .Q6(main_a7ddrphy_bitslip51[2]), - .Q7(main_a7ddrphy_bitslip51[1]), - .Q8(main_a7ddrphy_bitslip51[0]) + .DDLY(a7ddrphy_dq_i_delayed5), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip51[7]), + .Q2(a7ddrphy_bitslip51[6]), + .Q3(a7ddrphy_bitslip51[5]), + .Q4(a7ddrphy_bitslip51[4]), + .Q5(a7ddrphy_bitslip51[3]), + .Q6(a7ddrphy_bitslip51[2]), + .Q7(a7ddrphy_bitslip51[1]), + .Q8(a7ddrphy_bitslip51[0]) ); IDELAYE2 #( @@ -14585,19 +15028,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_5 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay5), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay5), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed5) + .DATAOUT(a7ddrphy_dq_i_delayed5) ); IOBUF IOBUF_5( - .I(main_a7ddrphy_dq_o_nodelay5), - .T(main_a7ddrphy_dq_t5), + .I(a7ddrphy_dq_o_nodelay5), + .T(a7ddrphy_dq_t5), .IO(ddram_dq[5]), - .O(main_a7ddrphy_dq_i_nodelay5) + .O(a7ddrphy_dq_i_nodelay5) ); OSERDESE2 #( @@ -14609,20 +15052,20 @@ OSERDESE2 #( ) OSERDESE2_35 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip60[0]), - .D2(main_a7ddrphy_bitslip60[1]), - .D3(main_a7ddrphy_bitslip60[2]), - .D4(main_a7ddrphy_bitslip60[3]), - .D5(main_a7ddrphy_bitslip60[4]), - .D6(main_a7ddrphy_bitslip60[5]), - .D7(main_a7ddrphy_bitslip60[6]), - .D8(main_a7ddrphy_bitslip60[7]), + .D1(a7ddrphy_bitslip60[0]), + .D2(a7ddrphy_bitslip60[1]), + .D3(a7ddrphy_bitslip60[2]), + .D4(a7ddrphy_bitslip60[3]), + .D5(a7ddrphy_bitslip60[4]), + .D6(a7ddrphy_bitslip60[5]), + .D7(a7ddrphy_bitslip60[6]), + .D8(a7ddrphy_bitslip60[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay6), - .TQ(main_a7ddrphy_dq_t6) + .OQ(a7ddrphy_dq_o_nodelay6), + .TQ(a7ddrphy_dq_t6) ); ISERDESE2 #( @@ -14638,16 +15081,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed6), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip61[7]), - .Q2(main_a7ddrphy_bitslip61[6]), - .Q3(main_a7ddrphy_bitslip61[5]), - .Q4(main_a7ddrphy_bitslip61[4]), - .Q5(main_a7ddrphy_bitslip61[3]), - .Q6(main_a7ddrphy_bitslip61[2]), - .Q7(main_a7ddrphy_bitslip61[1]), - .Q8(main_a7ddrphy_bitslip61[0]) + .DDLY(a7ddrphy_dq_i_delayed6), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip61[7]), + .Q2(a7ddrphy_bitslip61[6]), + .Q3(a7ddrphy_bitslip61[5]), + .Q4(a7ddrphy_bitslip61[4]), + .Q5(a7ddrphy_bitslip61[3]), + .Q6(a7ddrphy_bitslip61[2]), + .Q7(a7ddrphy_bitslip61[1]), + .Q8(a7ddrphy_bitslip61[0]) ); IDELAYE2 #( @@ -14661,19 +15104,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_6 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay6), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay6), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed6) + .DATAOUT(a7ddrphy_dq_i_delayed6) ); IOBUF IOBUF_6( - .I(main_a7ddrphy_dq_o_nodelay6), - .T(main_a7ddrphy_dq_t6), + .I(a7ddrphy_dq_o_nodelay6), + .T(a7ddrphy_dq_t6), .IO(ddram_dq[6]), - .O(main_a7ddrphy_dq_i_nodelay6) + .O(a7ddrphy_dq_i_nodelay6) ); OSERDESE2 #( @@ -14685,20 +15128,20 @@ OSERDESE2 #( ) OSERDESE2_36 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip70[0]), - .D2(main_a7ddrphy_bitslip70[1]), - .D3(main_a7ddrphy_bitslip70[2]), - .D4(main_a7ddrphy_bitslip70[3]), - .D5(main_a7ddrphy_bitslip70[4]), - .D6(main_a7ddrphy_bitslip70[5]), - .D7(main_a7ddrphy_bitslip70[6]), - .D8(main_a7ddrphy_bitslip70[7]), + .D1(a7ddrphy_bitslip70[0]), + .D2(a7ddrphy_bitslip70[1]), + .D3(a7ddrphy_bitslip70[2]), + .D4(a7ddrphy_bitslip70[3]), + .D5(a7ddrphy_bitslip70[4]), + .D6(a7ddrphy_bitslip70[5]), + .D7(a7ddrphy_bitslip70[6]), + .D8(a7ddrphy_bitslip70[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay7), - .TQ(main_a7ddrphy_dq_t7) + .OQ(a7ddrphy_dq_o_nodelay7), + .TQ(a7ddrphy_dq_t7) ); ISERDESE2 #( @@ -14714,16 +15157,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed7), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip71[7]), - .Q2(main_a7ddrphy_bitslip71[6]), - .Q3(main_a7ddrphy_bitslip71[5]), - .Q4(main_a7ddrphy_bitslip71[4]), - .Q5(main_a7ddrphy_bitslip71[3]), - .Q6(main_a7ddrphy_bitslip71[2]), - .Q7(main_a7ddrphy_bitslip71[1]), - .Q8(main_a7ddrphy_bitslip71[0]) + .DDLY(a7ddrphy_dq_i_delayed7), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip71[7]), + .Q2(a7ddrphy_bitslip71[6]), + .Q3(a7ddrphy_bitslip71[5]), + .Q4(a7ddrphy_bitslip71[4]), + .Q5(a7ddrphy_bitslip71[3]), + .Q6(a7ddrphy_bitslip71[2]), + .Q7(a7ddrphy_bitslip71[1]), + .Q8(a7ddrphy_bitslip71[0]) ); IDELAYE2 #( @@ -14737,19 +15180,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_7 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay7), + .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay7), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[0] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed7) + .DATAOUT(a7ddrphy_dq_i_delayed7) ); IOBUF IOBUF_7( - .I(main_a7ddrphy_dq_o_nodelay7), - .T(main_a7ddrphy_dq_t7), + .I(a7ddrphy_dq_o_nodelay7), + .T(a7ddrphy_dq_t7), .IO(ddram_dq[7]), - .O(main_a7ddrphy_dq_i_nodelay7) + .O(a7ddrphy_dq_i_nodelay7) ); OSERDESE2 #( @@ -14761,20 +15204,20 @@ OSERDESE2 #( ) OSERDESE2_37 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip80[0]), - .D2(main_a7ddrphy_bitslip80[1]), - .D3(main_a7ddrphy_bitslip80[2]), - .D4(main_a7ddrphy_bitslip80[3]), - .D5(main_a7ddrphy_bitslip80[4]), - .D6(main_a7ddrphy_bitslip80[5]), - .D7(main_a7ddrphy_bitslip80[6]), - .D8(main_a7ddrphy_bitslip80[7]), + .D1(a7ddrphy_bitslip80[0]), + .D2(a7ddrphy_bitslip80[1]), + .D3(a7ddrphy_bitslip80[2]), + .D4(a7ddrphy_bitslip80[3]), + .D5(a7ddrphy_bitslip80[4]), + .D6(a7ddrphy_bitslip80[5]), + .D7(a7ddrphy_bitslip80[6]), + .D8(a7ddrphy_bitslip80[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay8), - .TQ(main_a7ddrphy_dq_t8) + .OQ(a7ddrphy_dq_o_nodelay8), + .TQ(a7ddrphy_dq_t8) ); ISERDESE2 #( @@ -14790,16 +15233,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed8), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip81[7]), - .Q2(main_a7ddrphy_bitslip81[6]), - .Q3(main_a7ddrphy_bitslip81[5]), - .Q4(main_a7ddrphy_bitslip81[4]), - .Q5(main_a7ddrphy_bitslip81[3]), - .Q6(main_a7ddrphy_bitslip81[2]), - .Q7(main_a7ddrphy_bitslip81[1]), - .Q8(main_a7ddrphy_bitslip81[0]) + .DDLY(a7ddrphy_dq_i_delayed8), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip81[7]), + .Q2(a7ddrphy_bitslip81[6]), + .Q3(a7ddrphy_bitslip81[5]), + .Q4(a7ddrphy_bitslip81[4]), + .Q5(a7ddrphy_bitslip81[3]), + .Q6(a7ddrphy_bitslip81[2]), + .Q7(a7ddrphy_bitslip81[1]), + .Q8(a7ddrphy_bitslip81[0]) ); IDELAYE2 #( @@ -14813,19 +15256,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_8 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay8), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay8), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed8) + .DATAOUT(a7ddrphy_dq_i_delayed8) ); IOBUF IOBUF_8( - .I(main_a7ddrphy_dq_o_nodelay8), - .T(main_a7ddrphy_dq_t8), + .I(a7ddrphy_dq_o_nodelay8), + .T(a7ddrphy_dq_t8), .IO(ddram_dq[8]), - .O(main_a7ddrphy_dq_i_nodelay8) + .O(a7ddrphy_dq_i_nodelay8) ); OSERDESE2 #( @@ -14837,20 +15280,20 @@ OSERDESE2 #( ) OSERDESE2_38 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip90[0]), - .D2(main_a7ddrphy_bitslip90[1]), - .D3(main_a7ddrphy_bitslip90[2]), - .D4(main_a7ddrphy_bitslip90[3]), - .D5(main_a7ddrphy_bitslip90[4]), - .D6(main_a7ddrphy_bitslip90[5]), - .D7(main_a7ddrphy_bitslip90[6]), - .D8(main_a7ddrphy_bitslip90[7]), + .D1(a7ddrphy_bitslip90[0]), + .D2(a7ddrphy_bitslip90[1]), + .D3(a7ddrphy_bitslip90[2]), + .D4(a7ddrphy_bitslip90[3]), + .D5(a7ddrphy_bitslip90[4]), + .D6(a7ddrphy_bitslip90[5]), + .D7(a7ddrphy_bitslip90[6]), + .D8(a7ddrphy_bitslip90[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay9), - .TQ(main_a7ddrphy_dq_t9) + .OQ(a7ddrphy_dq_o_nodelay9), + .TQ(a7ddrphy_dq_t9) ); ISERDESE2 #( @@ -14866,16 +15309,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed9), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip91[7]), - .Q2(main_a7ddrphy_bitslip91[6]), - .Q3(main_a7ddrphy_bitslip91[5]), - .Q4(main_a7ddrphy_bitslip91[4]), - .Q5(main_a7ddrphy_bitslip91[3]), - .Q6(main_a7ddrphy_bitslip91[2]), - .Q7(main_a7ddrphy_bitslip91[1]), - .Q8(main_a7ddrphy_bitslip91[0]) + .DDLY(a7ddrphy_dq_i_delayed9), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip91[7]), + .Q2(a7ddrphy_bitslip91[6]), + .Q3(a7ddrphy_bitslip91[5]), + .Q4(a7ddrphy_bitslip91[4]), + .Q5(a7ddrphy_bitslip91[3]), + .Q6(a7ddrphy_bitslip91[2]), + .Q7(a7ddrphy_bitslip91[1]), + .Q8(a7ddrphy_bitslip91[0]) ); IDELAYE2 #( @@ -14889,19 +15332,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_9 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay9), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay9), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed9) + .DATAOUT(a7ddrphy_dq_i_delayed9) ); IOBUF IOBUF_9( - .I(main_a7ddrphy_dq_o_nodelay9), - .T(main_a7ddrphy_dq_t9), + .I(a7ddrphy_dq_o_nodelay9), + .T(a7ddrphy_dq_t9), .IO(ddram_dq[9]), - .O(main_a7ddrphy_dq_i_nodelay9) + .O(a7ddrphy_dq_i_nodelay9) ); OSERDESE2 #( @@ -14913,20 +15356,20 @@ OSERDESE2 #( ) OSERDESE2_39 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip100[0]), - .D2(main_a7ddrphy_bitslip100[1]), - .D3(main_a7ddrphy_bitslip100[2]), - .D4(main_a7ddrphy_bitslip100[3]), - .D5(main_a7ddrphy_bitslip100[4]), - .D6(main_a7ddrphy_bitslip100[5]), - .D7(main_a7ddrphy_bitslip100[6]), - .D8(main_a7ddrphy_bitslip100[7]), + .D1(a7ddrphy_bitslip100[0]), + .D2(a7ddrphy_bitslip100[1]), + .D3(a7ddrphy_bitslip100[2]), + .D4(a7ddrphy_bitslip100[3]), + .D5(a7ddrphy_bitslip100[4]), + .D6(a7ddrphy_bitslip100[5]), + .D7(a7ddrphy_bitslip100[6]), + .D8(a7ddrphy_bitslip100[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay10), - .TQ(main_a7ddrphy_dq_t10) + .OQ(a7ddrphy_dq_o_nodelay10), + .TQ(a7ddrphy_dq_t10) ); ISERDESE2 #( @@ -14942,16 +15385,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed10), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip101[7]), - .Q2(main_a7ddrphy_bitslip101[6]), - .Q3(main_a7ddrphy_bitslip101[5]), - .Q4(main_a7ddrphy_bitslip101[4]), - .Q5(main_a7ddrphy_bitslip101[3]), - .Q6(main_a7ddrphy_bitslip101[2]), - .Q7(main_a7ddrphy_bitslip101[1]), - .Q8(main_a7ddrphy_bitslip101[0]) + .DDLY(a7ddrphy_dq_i_delayed10), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip101[7]), + .Q2(a7ddrphy_bitslip101[6]), + .Q3(a7ddrphy_bitslip101[5]), + .Q4(a7ddrphy_bitslip101[4]), + .Q5(a7ddrphy_bitslip101[3]), + .Q6(a7ddrphy_bitslip101[2]), + .Q7(a7ddrphy_bitslip101[1]), + .Q8(a7ddrphy_bitslip101[0]) ); IDELAYE2 #( @@ -14965,19 +15408,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_10 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay10), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay10), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed10) + .DATAOUT(a7ddrphy_dq_i_delayed10) ); IOBUF IOBUF_10( - .I(main_a7ddrphy_dq_o_nodelay10), - .T(main_a7ddrphy_dq_t10), + .I(a7ddrphy_dq_o_nodelay10), + .T(a7ddrphy_dq_t10), .IO(ddram_dq[10]), - .O(main_a7ddrphy_dq_i_nodelay10) + .O(a7ddrphy_dq_i_nodelay10) ); OSERDESE2 #( @@ -14989,20 +15432,20 @@ OSERDESE2 #( ) OSERDESE2_40 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip110[0]), - .D2(main_a7ddrphy_bitslip110[1]), - .D3(main_a7ddrphy_bitslip110[2]), - .D4(main_a7ddrphy_bitslip110[3]), - .D5(main_a7ddrphy_bitslip110[4]), - .D6(main_a7ddrphy_bitslip110[5]), - .D7(main_a7ddrphy_bitslip110[6]), - .D8(main_a7ddrphy_bitslip110[7]), + .D1(a7ddrphy_bitslip110[0]), + .D2(a7ddrphy_bitslip110[1]), + .D3(a7ddrphy_bitslip110[2]), + .D4(a7ddrphy_bitslip110[3]), + .D5(a7ddrphy_bitslip110[4]), + .D6(a7ddrphy_bitslip110[5]), + .D7(a7ddrphy_bitslip110[6]), + .D8(a7ddrphy_bitslip110[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay11), - .TQ(main_a7ddrphy_dq_t11) + .OQ(a7ddrphy_dq_o_nodelay11), + .TQ(a7ddrphy_dq_t11) ); ISERDESE2 #( @@ -15018,16 +15461,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed11), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip111[7]), - .Q2(main_a7ddrphy_bitslip111[6]), - .Q3(main_a7ddrphy_bitslip111[5]), - .Q4(main_a7ddrphy_bitslip111[4]), - .Q5(main_a7ddrphy_bitslip111[3]), - .Q6(main_a7ddrphy_bitslip111[2]), - .Q7(main_a7ddrphy_bitslip111[1]), - .Q8(main_a7ddrphy_bitslip111[0]) + .DDLY(a7ddrphy_dq_i_delayed11), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip111[7]), + .Q2(a7ddrphy_bitslip111[6]), + .Q3(a7ddrphy_bitslip111[5]), + .Q4(a7ddrphy_bitslip111[4]), + .Q5(a7ddrphy_bitslip111[3]), + .Q6(a7ddrphy_bitslip111[2]), + .Q7(a7ddrphy_bitslip111[1]), + .Q8(a7ddrphy_bitslip111[0]) ); IDELAYE2 #( @@ -15041,19 +15484,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_11 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay11), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay11), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed11) + .DATAOUT(a7ddrphy_dq_i_delayed11) ); IOBUF IOBUF_11( - .I(main_a7ddrphy_dq_o_nodelay11), - .T(main_a7ddrphy_dq_t11), + .I(a7ddrphy_dq_o_nodelay11), + .T(a7ddrphy_dq_t11), .IO(ddram_dq[11]), - .O(main_a7ddrphy_dq_i_nodelay11) + .O(a7ddrphy_dq_i_nodelay11) ); OSERDESE2 #( @@ -15065,20 +15508,20 @@ OSERDESE2 #( ) OSERDESE2_41 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip120[0]), - .D2(main_a7ddrphy_bitslip120[1]), - .D3(main_a7ddrphy_bitslip120[2]), - .D4(main_a7ddrphy_bitslip120[3]), - .D5(main_a7ddrphy_bitslip120[4]), - .D6(main_a7ddrphy_bitslip120[5]), - .D7(main_a7ddrphy_bitslip120[6]), - .D8(main_a7ddrphy_bitslip120[7]), + .D1(a7ddrphy_bitslip120[0]), + .D2(a7ddrphy_bitslip120[1]), + .D3(a7ddrphy_bitslip120[2]), + .D4(a7ddrphy_bitslip120[3]), + .D5(a7ddrphy_bitslip120[4]), + .D6(a7ddrphy_bitslip120[5]), + .D7(a7ddrphy_bitslip120[6]), + .D8(a7ddrphy_bitslip120[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay12), - .TQ(main_a7ddrphy_dq_t12) + .OQ(a7ddrphy_dq_o_nodelay12), + .TQ(a7ddrphy_dq_t12) ); ISERDESE2 #( @@ -15094,16 +15537,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed12), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip121[7]), - .Q2(main_a7ddrphy_bitslip121[6]), - .Q3(main_a7ddrphy_bitslip121[5]), - .Q4(main_a7ddrphy_bitslip121[4]), - .Q5(main_a7ddrphy_bitslip121[3]), - .Q6(main_a7ddrphy_bitslip121[2]), - .Q7(main_a7ddrphy_bitslip121[1]), - .Q8(main_a7ddrphy_bitslip121[0]) + .DDLY(a7ddrphy_dq_i_delayed12), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip121[7]), + .Q2(a7ddrphy_bitslip121[6]), + .Q3(a7ddrphy_bitslip121[5]), + .Q4(a7ddrphy_bitslip121[4]), + .Q5(a7ddrphy_bitslip121[3]), + .Q6(a7ddrphy_bitslip121[2]), + .Q7(a7ddrphy_bitslip121[1]), + .Q8(a7ddrphy_bitslip121[0]) ); IDELAYE2 #( @@ -15117,19 +15560,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_12 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay12), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay12), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed12) + .DATAOUT(a7ddrphy_dq_i_delayed12) ); IOBUF IOBUF_12( - .I(main_a7ddrphy_dq_o_nodelay12), - .T(main_a7ddrphy_dq_t12), + .I(a7ddrphy_dq_o_nodelay12), + .T(a7ddrphy_dq_t12), .IO(ddram_dq[12]), - .O(main_a7ddrphy_dq_i_nodelay12) + .O(a7ddrphy_dq_i_nodelay12) ); OSERDESE2 #( @@ -15141,20 +15584,20 @@ OSERDESE2 #( ) OSERDESE2_42 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip130[0]), - .D2(main_a7ddrphy_bitslip130[1]), - .D3(main_a7ddrphy_bitslip130[2]), - .D4(main_a7ddrphy_bitslip130[3]), - .D5(main_a7ddrphy_bitslip130[4]), - .D6(main_a7ddrphy_bitslip130[5]), - .D7(main_a7ddrphy_bitslip130[6]), - .D8(main_a7ddrphy_bitslip130[7]), + .D1(a7ddrphy_bitslip130[0]), + .D2(a7ddrphy_bitslip130[1]), + .D3(a7ddrphy_bitslip130[2]), + .D4(a7ddrphy_bitslip130[3]), + .D5(a7ddrphy_bitslip130[4]), + .D6(a7ddrphy_bitslip130[5]), + .D7(a7ddrphy_bitslip130[6]), + .D8(a7ddrphy_bitslip130[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay13), - .TQ(main_a7ddrphy_dq_t13) + .OQ(a7ddrphy_dq_o_nodelay13), + .TQ(a7ddrphy_dq_t13) ); ISERDESE2 #( @@ -15170,16 +15613,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed13), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip131[7]), - .Q2(main_a7ddrphy_bitslip131[6]), - .Q3(main_a7ddrphy_bitslip131[5]), - .Q4(main_a7ddrphy_bitslip131[4]), - .Q5(main_a7ddrphy_bitslip131[3]), - .Q6(main_a7ddrphy_bitslip131[2]), - .Q7(main_a7ddrphy_bitslip131[1]), - .Q8(main_a7ddrphy_bitslip131[0]) + .DDLY(a7ddrphy_dq_i_delayed13), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip131[7]), + .Q2(a7ddrphy_bitslip131[6]), + .Q3(a7ddrphy_bitslip131[5]), + .Q4(a7ddrphy_bitslip131[4]), + .Q5(a7ddrphy_bitslip131[3]), + .Q6(a7ddrphy_bitslip131[2]), + .Q7(a7ddrphy_bitslip131[1]), + .Q8(a7ddrphy_bitslip131[0]) ); IDELAYE2 #( @@ -15193,19 +15636,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_13 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay13), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay13), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed13) + .DATAOUT(a7ddrphy_dq_i_delayed13) ); IOBUF IOBUF_13( - .I(main_a7ddrphy_dq_o_nodelay13), - .T(main_a7ddrphy_dq_t13), + .I(a7ddrphy_dq_o_nodelay13), + .T(a7ddrphy_dq_t13), .IO(ddram_dq[13]), - .O(main_a7ddrphy_dq_i_nodelay13) + .O(a7ddrphy_dq_i_nodelay13) ); OSERDESE2 #( @@ -15217,20 +15660,20 @@ OSERDESE2 #( ) OSERDESE2_43 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip140[0]), - .D2(main_a7ddrphy_bitslip140[1]), - .D3(main_a7ddrphy_bitslip140[2]), - .D4(main_a7ddrphy_bitslip140[3]), - .D5(main_a7ddrphy_bitslip140[4]), - .D6(main_a7ddrphy_bitslip140[5]), - .D7(main_a7ddrphy_bitslip140[6]), - .D8(main_a7ddrphy_bitslip140[7]), + .D1(a7ddrphy_bitslip140[0]), + .D2(a7ddrphy_bitslip140[1]), + .D3(a7ddrphy_bitslip140[2]), + .D4(a7ddrphy_bitslip140[3]), + .D5(a7ddrphy_bitslip140[4]), + .D6(a7ddrphy_bitslip140[5]), + .D7(a7ddrphy_bitslip140[6]), + .D8(a7ddrphy_bitslip140[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay14), - .TQ(main_a7ddrphy_dq_t14) + .OQ(a7ddrphy_dq_o_nodelay14), + .TQ(a7ddrphy_dq_t14) ); ISERDESE2 #( @@ -15246,16 +15689,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed14), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip141[7]), - .Q2(main_a7ddrphy_bitslip141[6]), - .Q3(main_a7ddrphy_bitslip141[5]), - .Q4(main_a7ddrphy_bitslip141[4]), - .Q5(main_a7ddrphy_bitslip141[3]), - .Q6(main_a7ddrphy_bitslip141[2]), - .Q7(main_a7ddrphy_bitslip141[1]), - .Q8(main_a7ddrphy_bitslip141[0]) + .DDLY(a7ddrphy_dq_i_delayed14), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip141[7]), + .Q2(a7ddrphy_bitslip141[6]), + .Q3(a7ddrphy_bitslip141[5]), + .Q4(a7ddrphy_bitslip141[4]), + .Q5(a7ddrphy_bitslip141[3]), + .Q6(a7ddrphy_bitslip141[2]), + .Q7(a7ddrphy_bitslip141[1]), + .Q8(a7ddrphy_bitslip141[0]) ); IDELAYE2 #( @@ -15269,19 +15712,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_14 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay14), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay14), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed14) + .DATAOUT(a7ddrphy_dq_i_delayed14) ); IOBUF IOBUF_14( - .I(main_a7ddrphy_dq_o_nodelay14), - .T(main_a7ddrphy_dq_t14), + .I(a7ddrphy_dq_o_nodelay14), + .T(a7ddrphy_dq_t14), .IO(ddram_dq[14]), - .O(main_a7ddrphy_dq_i_nodelay14) + .O(a7ddrphy_dq_i_nodelay14) ); OSERDESE2 #( @@ -15293,20 +15736,20 @@ OSERDESE2 #( ) OSERDESE2_44 ( .CLK(sys4x_clk), .CLKDIV(sys_clk), - .D1(main_a7ddrphy_bitslip150[0]), - .D2(main_a7ddrphy_bitslip150[1]), - .D3(main_a7ddrphy_bitslip150[2]), - .D4(main_a7ddrphy_bitslip150[3]), - .D5(main_a7ddrphy_bitslip150[4]), - .D6(main_a7ddrphy_bitslip150[5]), - .D7(main_a7ddrphy_bitslip150[6]), - .D8(main_a7ddrphy_bitslip150[7]), + .D1(a7ddrphy_bitslip150[0]), + .D2(a7ddrphy_bitslip150[1]), + .D3(a7ddrphy_bitslip150[2]), + .D4(a7ddrphy_bitslip150[3]), + .D5(a7ddrphy_bitslip150[4]), + .D6(a7ddrphy_bitslip150[5]), + .D7(a7ddrphy_bitslip150[6]), + .D8(a7ddrphy_bitslip150[7]), .OCE(1'd1), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .T1((~main_a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), + .RST((sys_rst | a7ddrphy_rst_storage)), + .T1((~a7ddrphy_dq_oe_delay_tappeddelayline_tappeddelayline1)), .TCE(1'd1), - .OQ(main_a7ddrphy_dq_o_nodelay15), - .TQ(main_a7ddrphy_dq_t15) + .OQ(a7ddrphy_dq_o_nodelay15), + .TQ(a7ddrphy_dq_t15) ); ISERDESE2 #( @@ -15322,16 +15765,16 @@ ISERDESE2 #( .CLK(sys4x_clk), .CLKB((~sys4x_clk)), .CLKDIV(sys_clk), - .DDLY(main_a7ddrphy_dq_i_delayed15), - .RST((sys_rst | main_a7ddrphy_rst_storage)), - .Q1(main_a7ddrphy_bitslip151[7]), - .Q2(main_a7ddrphy_bitslip151[6]), - .Q3(main_a7ddrphy_bitslip151[5]), - .Q4(main_a7ddrphy_bitslip151[4]), - .Q5(main_a7ddrphy_bitslip151[3]), - .Q6(main_a7ddrphy_bitslip151[2]), - .Q7(main_a7ddrphy_bitslip151[1]), - .Q8(main_a7ddrphy_bitslip151[0]) + .DDLY(a7ddrphy_dq_i_delayed15), + .RST((sys_rst | a7ddrphy_rst_storage)), + .Q1(a7ddrphy_bitslip151[7]), + .Q2(a7ddrphy_bitslip151[6]), + .Q3(a7ddrphy_bitslip151[5]), + .Q4(a7ddrphy_bitslip151[4]), + .Q5(a7ddrphy_bitslip151[3]), + .Q6(a7ddrphy_bitslip151[2]), + .Q7(a7ddrphy_bitslip151[1]), + .Q8(a7ddrphy_bitslip151[0]) ); IDELAYE2 #( @@ -15345,19 +15788,19 @@ IDELAYE2 #( .SIGNAL_PATTERN("DATA") ) IDELAYE2_15 ( .C(sys_clk), - .CE((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_inc_re)), - .IDATAIN(main_a7ddrphy_dq_i_nodelay15), + .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)), + .IDATAIN(a7ddrphy_dq_i_nodelay15), .INC(1'd1), - .LD(((main_a7ddrphy_dly_sel_storage[1] & main_a7ddrphy_rdly_dq_rst_re) | main_a7ddrphy_rst_storage)), + .LD(((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re) | a7ddrphy_rst_storage)), .LDPIPEEN(1'd0), - .DATAOUT(main_a7ddrphy_dq_i_delayed15) + .DATAOUT(a7ddrphy_dq_i_delayed15) ); IOBUF IOBUF_15( - .I(main_a7ddrphy_dq_o_nodelay15), - .T(main_a7ddrphy_dq_t15), + .I(a7ddrphy_dq_o_nodelay15), + .T(a7ddrphy_dq_t15), .IO(ddram_dq[15]), - .O(main_a7ddrphy_dq_i_nodelay15) + .O(a7ddrphy_dq_i_nodelay15) ); //------------------------------------------------------------------------------ @@ -15368,14 +15811,14 @@ IOBUF IOBUF_15( reg [23:0] storage[0:15]; reg [23:0] storage_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we) - storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; - storage_dat0 <= storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we) + storage[litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w; + storage_dat0 <= storage[litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = storage_dat0; -assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage[main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = storage_dat0; +assign litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage[litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15386,14 +15829,14 @@ assign main_litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storag reg [23:0] storage_1[0:15]; reg [23:0] storage_1_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we) - storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; - storage_1_dat0 <= storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we) + storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w; + storage_1_dat0 <= storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = storage_1_dat0; -assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_1[main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = storage_1_dat0; +assign litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15404,14 +15847,14 @@ assign main_litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storag reg [23:0] storage_2[0:15]; reg [23:0] storage_2_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we) - storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; - storage_2_dat0 <= storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we) + storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w; + storage_2_dat0 <= storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = storage_2_dat0; -assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_2[main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = storage_2_dat0; +assign litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15422,14 +15865,14 @@ assign main_litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storag reg [23:0] storage_3[0:15]; reg [23:0] storage_3_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we) - storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; - storage_3_dat0 <= storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we) + storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w; + storage_3_dat0 <= storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = storage_3_dat0; -assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_3[main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = storage_3_dat0; +assign litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15440,14 +15883,14 @@ assign main_litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storag reg [23:0] storage_4[0:15]; reg [23:0] storage_4_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we) - storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; - storage_4_dat0 <= storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we) + storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w; + storage_4_dat0 <= storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = storage_4_dat0; -assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_4[main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = storage_4_dat0; +assign litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15458,14 +15901,14 @@ assign main_litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storag reg [23:0] storage_5[0:15]; reg [23:0] storage_5_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we) - storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; - storage_5_dat0 <= storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we) + storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w; + storage_5_dat0 <= storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = storage_5_dat0; -assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_5[main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = storage_5_dat0; +assign litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15476,14 +15919,14 @@ assign main_litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storag reg [23:0] storage_6[0:15]; reg [23:0] storage_6_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we) - storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; - storage_6_dat0 <= storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we) + storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w; + storage_6_dat0 <= storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = storage_6_dat0; -assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_6[main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = storage_6_dat0; +assign litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr]; //------------------------------------------------------------------------------ @@ -15494,62 +15937,78 @@ assign main_litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storag reg [23:0] storage_7[0:15]; reg [23:0] storage_7_dat0; always @(posedge sys_clk) begin - if (main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we) - storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; - storage_7_dat0 <= storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr]; + if (litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we) + storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w; + storage_7_dat0 <= storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr]; end always @(posedge sys_clk) begin end -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = storage_7_dat0; -assign main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_7[main_litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr]; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = storage_7_dat0; +assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr]; -FD FD( - .C(main_clkin), - .D(main_reset), - .Q(builder_reset0) +FDCE FDCE( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(reset), + .Q(litedramcore_reset0) ); -FD FD_1( - .C(main_clkin), - .D(builder_reset0), - .Q(builder_reset1) +FDCE FDCE_1( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset0), + .Q(litedramcore_reset1) ); -FD FD_2( - .C(main_clkin), - .D(builder_reset1), - .Q(builder_reset2) +FDCE FDCE_2( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset1), + .Q(litedramcore_reset2) ); -FD FD_3( - .C(main_clkin), - .D(builder_reset2), - .Q(builder_reset3) +FDCE FDCE_3( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset2), + .Q(litedramcore_reset3) ); -FD FD_4( - .C(main_clkin), - .D(builder_reset3), - .Q(builder_reset4) +FDCE FDCE_4( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset3), + .Q(litedramcore_reset4) ); -FD FD_5( - .C(main_clkin), - .D(builder_reset4), - .Q(builder_reset5) +FDCE FDCE_5( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset4), + .Q(litedramcore_reset5) ); -FD FD_6( - .C(main_clkin), - .D(builder_reset5), - .Q(builder_reset6) +FDCE FDCE_6( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset5), + .Q(litedramcore_reset6) ); -FD FD_7( - .C(main_clkin), - .D(builder_reset6), - .Q(builder_reset7) +FDCE FDCE_7( + .C(clkin), + .CE(1'd1), + .CLR(1'd0), + .D(litedramcore_reset6), + .Q(litedramcore_reset7) ); PLLE2_ADV #( @@ -15567,16 +16026,16 @@ PLLE2_ADV #( .REF_JITTER1(0.01), .STARTUP_WAIT("FALSE") ) PLLE2_ADV ( - .CLKFBIN(builder_pll_fb), - .CLKIN1(main_clkin), - .PWRDWN(main_power_down), - .RST(builder_reset7), - .CLKFBOUT(builder_pll_fb), - .CLKOUT0(main_clkout0), - .CLKOUT1(main_clkout1), - .CLKOUT2(main_clkout2), - .CLKOUT3(main_clkout3), - .LOCKED(main_locked) + .CLKFBIN(litedramcore_pll_fb), + .CLKIN1(clkin), + .PWRDWN(power_down), + .RST(litedramcore_reset7), + .CLKFBOUT(litedramcore_pll_fb), + .CLKOUT0(clkout0), + .CLKOUT1(clkout1), + .CLKOUT2(clkout2), + .CLKOUT3(clkout3), + .LOCKED(locked) ); (* ars_ff1 = "true", async_reg = "true" *) FDPE #( @@ -15585,8 +16044,8 @@ PLLE2_ADV #( .C(iodelay_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl0), - .Q(builder_xilinxasyncresetsynchronizerimpl0_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl0), + .Q(xilinxasyncresetsynchronizerimpl0_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15594,8 +16053,8 @@ PLLE2_ADV #( ) FDPE_1 ( .C(iodelay_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl0_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl0), + .D(xilinxasyncresetsynchronizerimpl0_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl0), .Q(iodelay_rst) ); @@ -15605,8 +16064,8 @@ PLLE2_ADV #( .C(sys_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl1), - .Q(builder_xilinxasyncresetsynchronizerimpl1_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl1), + .Q(xilinxasyncresetsynchronizerimpl1_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15614,8 +16073,8 @@ PLLE2_ADV #( ) FDPE_3 ( .C(sys_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl1_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl1), + .D(xilinxasyncresetsynchronizerimpl1_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl1), .Q(sys_rst) ); @@ -15625,8 +16084,8 @@ PLLE2_ADV #( .C(sys4x_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl2), - .Q(builder_xilinxasyncresetsynchronizerimpl2_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl2), + .Q(xilinxasyncresetsynchronizerimpl2_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15634,9 +16093,9 @@ PLLE2_ADV #( ) FDPE_5 ( .C(sys4x_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl2_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl2), - .Q(builder_xilinxasyncresetsynchronizerimpl2_expr) + .D(xilinxasyncresetsynchronizerimpl2_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl2), + .Q(xilinxasyncresetsynchronizerimpl2_expr) ); (* ars_ff1 = "true", async_reg = "true" *) FDPE #( @@ -15645,8 +16104,8 @@ PLLE2_ADV #( .C(sys4x_dqs_clk), .CE(1'd1), .D(1'd0), - .PRE(builder_xilinxasyncresetsynchronizerimpl3), - .Q(builder_xilinxasyncresetsynchronizerimpl3_rst_meta) + .PRE(xilinxasyncresetsynchronizerimpl3), + .Q(xilinxasyncresetsynchronizerimpl3_rst_meta) ); (* ars_ff2 = "true", async_reg = "true" *) FDPE #( @@ -15654,13 +16113,13 @@ PLLE2_ADV #( ) FDPE_7 ( .C(sys4x_dqs_clk), .CE(1'd1), - .D(builder_xilinxasyncresetsynchronizerimpl3_rst_meta), - .PRE(builder_xilinxasyncresetsynchronizerimpl3), - .Q(builder_xilinxasyncresetsynchronizerimpl3_expr) + .D(xilinxasyncresetsynchronizerimpl3_rst_meta), + .PRE(xilinxasyncresetsynchronizerimpl3), + .Q(xilinxasyncresetsynchronizerimpl3_expr) ); endmodule // ----------------------------------------------------------------------------- -// Auto-Generated by LiteX on 2022-01-14 08:32:15. +// Auto-Generated by LiteX on 2022-08-04 21:07:01. //------------------------------------------------------------------------------