From 8c5dcc8c4c3c5e6b7d4e23b869dd404e271311c6 Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Mon, 23 Sep 2019 21:20:12 +1000 Subject: [PATCH] Fix ghdl error I'm seeing an issue on my version of ghdl: core.vhdl:137:24:error: actual expression must be globally static Signed-off-by: Anton Blanchard --- core.vhdl | 5 ++++- 1 file changed, 4 insertions(+), 1 deletion(-) diff --git a/core.vhdl b/core.vhdl index d0bd5c5..e7ea1de 100644 --- a/core.vhdl +++ b/core.vhdl @@ -80,6 +80,7 @@ architecture behave of core is signal complete: std_ulogic; signal terminate: std_ulogic; signal core_rst: std_ulogic; + signal icache_rst: std_ulogic; -- Debug actions signal dbg_core_stop: std_ulogic; @@ -134,13 +135,15 @@ begin ) port map( clk => clk, - rst => rst or dbg_icache_rst, + rst => icache_rst, i_in => fetch2_to_icache, i_out => icache_to_fetch2, wishbone_out => wishbone_insn_out, wishbone_in => wishbone_insn_in ); + icache_rst <= rst or dbg_icache_rst; + decode1_0: entity work.decode1 port map ( clk => clk,