From 7619c3d089f21aadbeb8ab75cc91f9c42707898b Mon Sep 17 00:00:00 2001 From: Matt Johnston Date: Thu, 18 Aug 2022 14:14:20 +0800 Subject: [PATCH] arty: Add switches and buttons to xdc file Signed-off-by: Matt Johnston --- fpga/arty_a7.xdc | 18 ++++++++++++++++++ 1 file changed, 18 insertions(+) diff --git a/fpga/arty_a7.xdc b/fpga/arty_a7.xdc index 4db6aab..5211d59 100644 --- a/fpga/arty_a7.xdc +++ b/fpga/arty_a7.xdc @@ -35,6 +35,24 @@ set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { led5 }]; set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { led6 }]; set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { led7 }]; +################################################################################ +# Switches +################################################################################ + +set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { sw0 }]; +set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { sw1 }]; +set_property -dict { PACKAGE_PIN C10 IOSTANDARD LVCMOS33 } [get_ports { sw2 }]; +set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { sw3 }]; + +################################################################################ +# Buttons +################################################################################ + +set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports { btn0 }]; +set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports { btn1 }]; +set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports { btn2 }]; +set_property -dict { PACKAGE_PIN B8 IOSTANDARD LVCMOS33 } [get_ports { btn3 }]; + ################################################################################ # SPI Flash ################################################################################