From 5a29cb4699a207acb2d481fd52f46255f5e3213c Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Thu, 22 Aug 2019 16:46:13 +1000 Subject: [PATCH] Initial import of microwatt Signed-off-by: Anton Blanchard --- .gitignore | 8 + LICENSE | 8 + Makefile | 74 +++ README.md | 58 ++ common.vhdl | 196 +++++++ core.vhdl | 149 +++++ core_tb.vhdl | 57 ++ cr_file.vhdl | 65 +++ crhelpers.vhdl | 134 +++++ decode1.vhdl | 847 ++++++++++++++++++++++++++++ decode2.vhdl | 324 +++++++++++ decode_types.vhdl | 140 +++++ execute1.vhdl | 360 ++++++++++++ execute2.vhdl | 48 ++ fetch1.vhdl | 78 +++ fetch2.vhdl | 70 +++ fpga/LICENSE | 12 + fpga/nexys-video.xdc | 10 + fpga/pp_fifo.vhd | 91 +++ fpga/pp_soc_memory.vhd | 106 ++++ fpga/pp_soc_reset.vhd | 69 +++ fpga/pp_soc_uart.vhd | 384 +++++++++++++ fpga/pp_utilities.vhd | 90 +++ fpga/toplevel.vhd | 213 +++++++ glibc_random.vhdl | 38 ++ glibc_random_helpers.vhdl | 19 + helpers.vhdl | 209 +++++++ loadstore1.vhdl | 55 ++ loadstore2.vhdl | 151 +++++ multiply.vhdl | 104 ++++ multiply_tb.vhdl | 263 +++++++++ ppc_fx_insns.vhdl | 749 ++++++++++++++++++++++++ register_file.vhdl | 68 +++ scripts/dependencies.py | 25 + scripts/hash.py | 16 + scripts/run_test.sh | 33 ++ scripts/test_micropython.py | 40 ++ scripts/test_micropython_long.py | 48 ++ sim_console.vhdl | 30 + sim_console_c.c | 136 +++++ simple_ram_behavioural.vhdl | 81 +++ simple_ram_behavioural_helpers.vhdl | 30 + simple_ram_behavioural_helpers_c.c | 258 +++++++++ simple_ram_behavioural_tb.bin | Bin 0 -> 16 bytes simple_ram_behavioural_tb.vhdl | 233 ++++++++ tests/1.bin | Bin 0 -> 33468 bytes tests/1.out | 32 ++ tests/10.bin | Bin 0 -> 33468 bytes tests/10.out | 32 ++ tests/100.bin | Bin 0 -> 33468 bytes tests/100.out | 32 ++ tests/1000.bin | Bin 0 -> 33468 bytes tests/1000.out | 32 ++ tests/101.bin | Bin 0 -> 33468 bytes tests/101.out | 32 ++ tests/102.bin | Bin 0 -> 33468 bytes tests/102.out | 32 ++ tests/103.bin | Bin 0 -> 33468 bytes tests/103.out | 32 ++ tests/104.bin | Bin 0 -> 33468 bytes tests/104.out | 32 ++ tests/105.bin | Bin 0 -> 33468 bytes tests/105.out | 32 ++ tests/106.bin | Bin 0 -> 33468 bytes tests/106.out | 32 ++ tests/107.bin | Bin 0 -> 33468 bytes tests/107.out | 32 ++ tests/108.bin | Bin 0 -> 33468 bytes tests/108.out | 32 ++ tests/109.bin | Bin 0 -> 33468 bytes tests/109.out | 32 ++ tests/11.bin | Bin 0 -> 33468 bytes tests/11.out | 32 ++ tests/110.bin | Bin 0 -> 33468 bytes tests/110.out | 32 ++ tests/111.bin | Bin 0 -> 33468 bytes tests/111.out | 32 ++ tests/112.bin | Bin 0 -> 33468 bytes tests/112.out | 32 ++ tests/113.bin | Bin 0 -> 33468 bytes tests/113.out | 32 ++ tests/114.bin | Bin 0 -> 33468 bytes tests/114.out | 32 ++ tests/115.bin | Bin 0 -> 33468 bytes tests/115.out | 32 ++ tests/116.bin | Bin 0 -> 33468 bytes tests/116.out | 32 ++ tests/117.bin | Bin 0 -> 33468 bytes tests/117.out | 32 ++ tests/118.bin | Bin 0 -> 33468 bytes tests/118.out | 32 ++ tests/119.bin | Bin 0 -> 33468 bytes tests/119.out | 32 ++ tests/12.bin | Bin 0 -> 33468 bytes tests/12.out | 32 ++ tests/120.bin | Bin 0 -> 33468 bytes tests/120.out | 32 ++ tests/121.bin | Bin 0 -> 33468 bytes tests/121.out | 32 ++ tests/122.bin | Bin 0 -> 33468 bytes tests/122.out | 32 ++ tests/123.bin | Bin 0 -> 33468 bytes tests/123.out | 32 ++ tests/124.bin | Bin 0 -> 33468 bytes tests/124.out | 32 ++ tests/125.bin | Bin 0 -> 33468 bytes tests/125.out | 32 ++ tests/126.bin | Bin 0 -> 33468 bytes tests/126.out | 32 ++ tests/127.bin | Bin 0 -> 33468 bytes tests/127.out | 32 ++ tests/128.bin | Bin 0 -> 33468 bytes tests/128.out | 32 ++ tests/129.bin | Bin 0 -> 33468 bytes tests/129.out | 32 ++ tests/13.bin | Bin 0 -> 33468 bytes tests/13.out | 32 ++ tests/130.bin | Bin 0 -> 33468 bytes tests/130.out | 32 ++ tests/131.bin | Bin 0 -> 33468 bytes tests/131.out | 32 ++ tests/132.bin | Bin 0 -> 33468 bytes tests/132.out | 32 ++ tests/133.bin | Bin 0 -> 33468 bytes tests/133.out | 32 ++ tests/134.bin | Bin 0 -> 33468 bytes tests/134.out | 32 ++ tests/135.bin | Bin 0 -> 33468 bytes tests/135.out | 32 ++ tests/136.bin | Bin 0 -> 33468 bytes tests/136.out | 32 ++ tests/137.bin | Bin 0 -> 33468 bytes tests/137.out | 32 ++ tests/138.bin | Bin 0 -> 33468 bytes tests/138.out | 32 ++ tests/139.bin | Bin 0 -> 33468 bytes tests/139.out | 32 ++ tests/14.bin | Bin 0 -> 33468 bytes tests/14.out | 32 ++ tests/140.bin | Bin 0 -> 33468 bytes tests/140.out | 32 ++ tests/141.bin | Bin 0 -> 33468 bytes tests/141.out | 32 ++ tests/142.bin | Bin 0 -> 33468 bytes tests/142.out | 32 ++ tests/143.bin | Bin 0 -> 33468 bytes tests/143.out | 32 ++ tests/144.bin | Bin 0 -> 33468 bytes tests/144.out | 32 ++ tests/145.bin | Bin 0 -> 33468 bytes tests/145.out | 32 ++ tests/146.bin | Bin 0 -> 33468 bytes tests/146.out | 32 ++ tests/147.bin | Bin 0 -> 33468 bytes tests/147.out | 32 ++ tests/148.bin | Bin 0 -> 33468 bytes tests/148.out | 32 ++ tests/149.bin | Bin 0 -> 33468 bytes tests/149.out | 32 ++ tests/15.bin | Bin 0 -> 33468 bytes tests/15.out | 32 ++ tests/150.bin | Bin 0 -> 33468 bytes tests/150.out | 32 ++ tests/151.bin | Bin 0 -> 33468 bytes tests/151.out | 32 ++ tests/152.bin | Bin 0 -> 33468 bytes tests/152.out | 32 ++ tests/153.bin | Bin 0 -> 33468 bytes tests/153.out | 32 ++ tests/154.bin | Bin 0 -> 33468 bytes tests/154.out | 32 ++ tests/155.bin | Bin 0 -> 33468 bytes tests/155.out | 32 ++ tests/156.bin | Bin 0 -> 33468 bytes tests/156.out | 32 ++ tests/157.bin | Bin 0 -> 33468 bytes tests/157.out | 32 ++ tests/158.bin | Bin 0 -> 33468 bytes tests/158.out | 32 ++ tests/159.bin | Bin 0 -> 33468 bytes tests/159.out | 32 ++ tests/16.bin | Bin 0 -> 33468 bytes tests/16.out | 32 ++ tests/160.bin | Bin 0 -> 33468 bytes tests/160.out | 32 ++ tests/161.bin | Bin 0 -> 33468 bytes tests/161.out | 32 ++ tests/162.bin | Bin 0 -> 33468 bytes tests/162.out | 32 ++ tests/163.bin | Bin 0 -> 33468 bytes tests/163.out | 32 ++ tests/164.bin | Bin 0 -> 33468 bytes tests/164.out | 32 ++ tests/165.bin | Bin 0 -> 33468 bytes tests/165.out | 32 ++ tests/166.bin | Bin 0 -> 33468 bytes tests/166.out | 32 ++ tests/167.bin | Bin 0 -> 33468 bytes tests/167.out | 32 ++ tests/168.bin | Bin 0 -> 33468 bytes tests/168.out | 32 ++ tests/169.bin | Bin 0 -> 33468 bytes tests/169.out | 32 ++ tests/17.bin | Bin 0 -> 33468 bytes tests/17.out | 32 ++ tests/170.bin | Bin 0 -> 33468 bytes tests/170.out | 32 ++ tests/171.bin | Bin 0 -> 33468 bytes tests/171.out | 32 ++ tests/172.bin | Bin 0 -> 33468 bytes tests/172.out | 32 ++ tests/173.bin | Bin 0 -> 33468 bytes tests/173.out | 32 ++ tests/174.bin | Bin 0 -> 33468 bytes tests/174.out | 32 ++ tests/175.bin | Bin 0 -> 33468 bytes tests/175.out | 32 ++ tests/176.bin | Bin 0 -> 33468 bytes tests/176.out | 32 ++ tests/177.bin | Bin 0 -> 33468 bytes tests/177.out | 32 ++ tests/178.bin | Bin 0 -> 33468 bytes tests/178.out | 32 ++ tests/179.bin | Bin 0 -> 33468 bytes tests/179.out | 32 ++ tests/18.bin | Bin 0 -> 33468 bytes tests/18.out | 32 ++ tests/180.bin | Bin 0 -> 33468 bytes tests/180.out | 32 ++ tests/181.bin | Bin 0 -> 33468 bytes tests/181.out | 32 ++ tests/182.bin | Bin 0 -> 33468 bytes tests/182.out | 32 ++ tests/183.bin | Bin 0 -> 33468 bytes tests/183.out | 32 ++ tests/184.bin | Bin 0 -> 33468 bytes tests/184.out | 32 ++ tests/185.bin | Bin 0 -> 33468 bytes tests/185.out | 32 ++ tests/186.bin | Bin 0 -> 33468 bytes tests/186.out | 32 ++ tests/187.bin | Bin 0 -> 33468 bytes tests/187.out | 32 ++ tests/188.bin | Bin 0 -> 33468 bytes tests/188.out | 32 ++ tests/189.bin | Bin 0 -> 33468 bytes tests/189.out | 32 ++ tests/19.bin | Bin 0 -> 33468 bytes tests/19.out | 32 ++ tests/190.bin | Bin 0 -> 33468 bytes tests/190.out | 32 ++ tests/191.bin | Bin 0 -> 33468 bytes tests/191.out | 32 ++ tests/192.bin | Bin 0 -> 33468 bytes tests/192.out | 32 ++ tests/193.bin | Bin 0 -> 33468 bytes tests/193.out | 32 ++ tests/194.bin | Bin 0 -> 33468 bytes tests/194.out | 32 ++ tests/195.bin | Bin 0 -> 33468 bytes tests/195.out | 32 ++ tests/196.bin | Bin 0 -> 33468 bytes tests/196.out | 32 ++ tests/197.bin | Bin 0 -> 33468 bytes tests/197.out | 32 ++ tests/198.bin | Bin 0 -> 33468 bytes tests/198.out | 32 ++ tests/199.bin | Bin 0 -> 33468 bytes tests/199.out | 32 ++ tests/2.bin | Bin 0 -> 33468 bytes tests/2.out | 32 ++ tests/20.bin | Bin 0 -> 33468 bytes tests/20.out | 32 ++ tests/200.bin | Bin 0 -> 33468 bytes tests/200.out | 32 ++ tests/201.bin | Bin 0 -> 33468 bytes tests/201.out | 32 ++ tests/202.bin | Bin 0 -> 33468 bytes tests/202.out | 32 ++ tests/203.bin | Bin 0 -> 33468 bytes tests/203.out | 32 ++ tests/204.bin | Bin 0 -> 33468 bytes tests/204.out | 32 ++ tests/205.bin | Bin 0 -> 33468 bytes tests/205.out | 32 ++ tests/206.bin | Bin 0 -> 33468 bytes tests/206.out | 32 ++ tests/207.bin | Bin 0 -> 33468 bytes tests/207.out | 32 ++ tests/208.bin | Bin 0 -> 33468 bytes tests/208.out | 32 ++ tests/209.bin | Bin 0 -> 33468 bytes tests/209.out | 32 ++ tests/21.bin | Bin 0 -> 33468 bytes tests/21.out | 32 ++ tests/210.bin | Bin 0 -> 33468 bytes tests/210.out | 32 ++ tests/211.bin | Bin 0 -> 33468 bytes tests/211.out | 32 ++ tests/212.bin | Bin 0 -> 33468 bytes tests/212.out | 32 ++ tests/213.bin | Bin 0 -> 33468 bytes tests/213.out | 32 ++ tests/214.bin | Bin 0 -> 33468 bytes tests/214.out | 32 ++ tests/215.bin | Bin 0 -> 33468 bytes tests/215.out | 32 ++ tests/216.bin | Bin 0 -> 33468 bytes tests/216.out | 32 ++ tests/217.bin | Bin 0 -> 33468 bytes tests/217.out | 32 ++ tests/218.bin | Bin 0 -> 33468 bytes tests/218.out | 32 ++ tests/219.bin | Bin 0 -> 33468 bytes tests/219.out | 32 ++ tests/22.bin | Bin 0 -> 33468 bytes tests/22.out | 32 ++ tests/220.bin | Bin 0 -> 33468 bytes tests/220.out | 32 ++ tests/221.bin | Bin 0 -> 33468 bytes tests/221.out | 32 ++ tests/222.bin | Bin 0 -> 33468 bytes tests/222.out | 32 ++ tests/223.bin | Bin 0 -> 33468 bytes tests/223.out | 32 ++ tests/224.bin | Bin 0 -> 33468 bytes tests/224.out | 32 ++ tests/225.bin | Bin 0 -> 33468 bytes tests/225.out | 32 ++ tests/226.bin | Bin 0 -> 33468 bytes tests/226.out | 32 ++ tests/227.bin | Bin 0 -> 33468 bytes tests/227.out | 32 ++ tests/228.bin | Bin 0 -> 33468 bytes tests/228.out | 32 ++ tests/229.bin | Bin 0 -> 33468 bytes tests/229.out | 32 ++ tests/23.bin | Bin 0 -> 33468 bytes tests/23.out | 32 ++ tests/230.bin | Bin 0 -> 33468 bytes tests/230.out | 32 ++ tests/231.bin | Bin 0 -> 33468 bytes tests/231.out | 32 ++ tests/232.bin | Bin 0 -> 33468 bytes tests/232.out | 32 ++ tests/233.bin | Bin 0 -> 33468 bytes tests/233.out | 32 ++ tests/234.bin | Bin 0 -> 33468 bytes tests/234.out | 32 ++ tests/235.bin | Bin 0 -> 33468 bytes tests/235.out | 32 ++ tests/236.bin | Bin 0 -> 33468 bytes tests/236.out | 32 ++ tests/237.bin | Bin 0 -> 33468 bytes tests/237.out | 32 ++ tests/238.bin | Bin 0 -> 33468 bytes tests/238.out | 32 ++ tests/239.bin | Bin 0 -> 33468 bytes tests/239.out | 32 ++ tests/24.bin | Bin 0 -> 33468 bytes tests/24.out | 32 ++ tests/240.bin | Bin 0 -> 33468 bytes tests/240.out | 32 ++ tests/241.bin | Bin 0 -> 33468 bytes tests/241.out | 32 ++ tests/242.bin | Bin 0 -> 33468 bytes tests/242.out | 32 ++ tests/243.bin | Bin 0 -> 33468 bytes tests/243.out | 32 ++ tests/244.bin | Bin 0 -> 33468 bytes tests/244.out | 32 ++ tests/245.bin | Bin 0 -> 33468 bytes tests/245.out | 32 ++ tests/246.bin | Bin 0 -> 33468 bytes tests/246.out | 32 ++ tests/247.bin | Bin 0 -> 33468 bytes tests/247.out | 32 ++ tests/248.bin | Bin 0 -> 33468 bytes tests/248.out | 32 ++ tests/249.bin | Bin 0 -> 33468 bytes tests/249.out | 32 ++ tests/25.bin | Bin 0 -> 33468 bytes tests/25.out | 32 ++ tests/250.bin | Bin 0 -> 33468 bytes tests/250.out | 32 ++ tests/251.bin | Bin 0 -> 33468 bytes tests/251.out | 32 ++ tests/252.bin | Bin 0 -> 33468 bytes tests/252.out | 32 ++ tests/253.bin | Bin 0 -> 33468 bytes tests/253.out | 32 ++ tests/254.bin | Bin 0 -> 33468 bytes tests/254.out | 32 ++ tests/255.bin | Bin 0 -> 33468 bytes tests/255.out | 32 ++ tests/256.bin | Bin 0 -> 33468 bytes tests/256.out | 32 ++ tests/257.bin | Bin 0 -> 33468 bytes tests/257.out | 32 ++ tests/258.bin | Bin 0 -> 33468 bytes tests/258.out | 32 ++ tests/259.bin | Bin 0 -> 33468 bytes tests/259.out | 32 ++ tests/26.bin | Bin 0 -> 33468 bytes tests/26.out | 32 ++ tests/260.bin | Bin 0 -> 33468 bytes tests/260.out | 32 ++ tests/261.bin | Bin 0 -> 33468 bytes tests/261.out | 32 ++ tests/262.bin | Bin 0 -> 33468 bytes tests/262.out | 32 ++ tests/263.bin | Bin 0 -> 33468 bytes tests/263.out | 32 ++ tests/264.bin | Bin 0 -> 33468 bytes tests/264.out | 32 ++ tests/265.bin | Bin 0 -> 33468 bytes tests/265.out | 32 ++ tests/266.bin | Bin 0 -> 33468 bytes tests/266.out | 32 ++ tests/267.bin | Bin 0 -> 33468 bytes tests/267.out | 32 ++ tests/268.bin | Bin 0 -> 33468 bytes tests/268.out | 32 ++ tests/269.bin | Bin 0 -> 33468 bytes tests/269.out | 32 ++ tests/27.bin | Bin 0 -> 33468 bytes tests/27.out | 32 ++ tests/270.bin | Bin 0 -> 33468 bytes tests/270.out | 32 ++ tests/271.bin | Bin 0 -> 33468 bytes tests/271.out | 32 ++ tests/272.bin | Bin 0 -> 33468 bytes tests/272.out | 32 ++ tests/273.bin | Bin 0 -> 33468 bytes tests/273.out | 32 ++ tests/274.bin | Bin 0 -> 33468 bytes tests/274.out | 32 ++ tests/275.bin | Bin 0 -> 33468 bytes tests/275.out | 32 ++ tests/276.bin | Bin 0 -> 33468 bytes tests/276.out | 32 ++ tests/277.bin | Bin 0 -> 33468 bytes tests/277.out | 32 ++ tests/278.bin | Bin 0 -> 33468 bytes tests/278.out | 32 ++ tests/279.bin | Bin 0 -> 33468 bytes tests/279.out | 32 ++ tests/28.bin | Bin 0 -> 33468 bytes tests/28.out | 32 ++ tests/280.bin | Bin 0 -> 33468 bytes tests/280.out | 32 ++ tests/281.bin | Bin 0 -> 33468 bytes tests/281.out | 32 ++ tests/282.bin | Bin 0 -> 33468 bytes tests/282.out | 32 ++ tests/283.bin | Bin 0 -> 33468 bytes tests/283.out | 32 ++ tests/284.bin | Bin 0 -> 33468 bytes tests/284.out | 32 ++ tests/285.bin | Bin 0 -> 33468 bytes tests/285.out | 32 ++ tests/286.bin | Bin 0 -> 33468 bytes tests/286.out | 32 ++ tests/287.bin | Bin 0 -> 33468 bytes tests/287.out | 32 ++ tests/288.bin | Bin 0 -> 33468 bytes tests/288.out | 32 ++ tests/289.bin | Bin 0 -> 33468 bytes tests/289.out | 32 ++ tests/29.bin | Bin 0 -> 33468 bytes tests/29.out | 32 ++ tests/290.bin | Bin 0 -> 33468 bytes tests/290.out | 32 ++ tests/291.bin | Bin 0 -> 33468 bytes tests/291.out | 32 ++ tests/292.bin | Bin 0 -> 33468 bytes tests/292.out | 32 ++ tests/293.bin | Bin 0 -> 33468 bytes tests/293.out | 32 ++ tests/294.bin | Bin 0 -> 33468 bytes tests/294.out | 32 ++ tests/295.bin | Bin 0 -> 33468 bytes tests/295.out | 32 ++ tests/296.bin | Bin 0 -> 33468 bytes tests/296.out | 32 ++ tests/297.bin | Bin 0 -> 33468 bytes tests/297.out | 32 ++ tests/298.bin | Bin 0 -> 33468 bytes tests/298.out | 32 ++ tests/299.bin | Bin 0 -> 33468 bytes tests/299.out | 32 ++ tests/3.bin | Bin 0 -> 33468 bytes tests/3.out | 32 ++ tests/30.bin | Bin 0 -> 33468 bytes tests/30.out | 32 ++ tests/300.bin | Bin 0 -> 33468 bytes tests/300.out | 32 ++ tests/301.bin | Bin 0 -> 33468 bytes tests/301.out | 32 ++ tests/302.bin | Bin 0 -> 33468 bytes tests/302.out | 32 ++ tests/303.bin | Bin 0 -> 33468 bytes tests/303.out | 32 ++ tests/304.bin | Bin 0 -> 33468 bytes tests/304.out | 32 ++ tests/305.bin | Bin 0 -> 33468 bytes tests/305.out | 32 ++ tests/306.bin | Bin 0 -> 33468 bytes tests/306.out | 32 ++ tests/307.bin | Bin 0 -> 33468 bytes tests/307.out | 32 ++ tests/308.bin | Bin 0 -> 33468 bytes tests/308.out | 32 ++ tests/309.bin | Bin 0 -> 33468 bytes tests/309.out | 32 ++ tests/31.bin | Bin 0 -> 33468 bytes tests/31.out | 32 ++ tests/310.bin | Bin 0 -> 33468 bytes tests/310.out | 32 ++ tests/311.bin | Bin 0 -> 33468 bytes tests/311.out | 32 ++ tests/312.bin | Bin 0 -> 33468 bytes tests/312.out | 32 ++ tests/313.bin | Bin 0 -> 33468 bytes tests/313.out | 32 ++ tests/314.bin | Bin 0 -> 33468 bytes tests/314.out | 32 ++ tests/315.bin | Bin 0 -> 33468 bytes tests/315.out | 32 ++ tests/316.bin | Bin 0 -> 33468 bytes tests/316.out | 32 ++ tests/317.bin | Bin 0 -> 33468 bytes tests/317.out | 32 ++ tests/318.bin | Bin 0 -> 33468 bytes tests/318.out | 32 ++ tests/319.bin | Bin 0 -> 33468 bytes tests/319.out | 32 ++ tests/32.bin | Bin 0 -> 33468 bytes tests/32.out | 32 ++ tests/320.bin | Bin 0 -> 33468 bytes tests/320.out | 32 ++ tests/321.bin | Bin 0 -> 33468 bytes tests/321.out | 32 ++ tests/322.bin | Bin 0 -> 33468 bytes tests/322.out | 32 ++ tests/323.bin | Bin 0 -> 33468 bytes tests/323.out | 32 ++ tests/324.bin | Bin 0 -> 33468 bytes tests/324.out | 32 ++ tests/325.bin | Bin 0 -> 33468 bytes tests/325.out | 32 ++ tests/326.bin | Bin 0 -> 33468 bytes tests/326.out | 32 ++ tests/327.bin | Bin 0 -> 33468 bytes tests/327.out | 32 ++ tests/328.bin | Bin 0 -> 33468 bytes tests/328.out | 32 ++ tests/329.bin | Bin 0 -> 33468 bytes tests/329.out | 32 ++ tests/33.bin | Bin 0 -> 33468 bytes tests/33.out | 32 ++ tests/330.bin | Bin 0 -> 33468 bytes tests/330.out | 32 ++ tests/331.bin | Bin 0 -> 33468 bytes tests/331.out | 32 ++ tests/332.bin | Bin 0 -> 33468 bytes tests/332.out | 32 ++ tests/333.bin | Bin 0 -> 33468 bytes tests/333.out | 32 ++ tests/334.bin | Bin 0 -> 33468 bytes tests/334.out | 32 ++ tests/335.bin | Bin 0 -> 33468 bytes tests/335.out | 32 ++ tests/336.bin | Bin 0 -> 33468 bytes tests/336.out | 32 ++ tests/337.bin | Bin 0 -> 33468 bytes tests/337.out | 32 ++ tests/338.bin | Bin 0 -> 33468 bytes tests/338.out | 32 ++ tests/339.bin | Bin 0 -> 33468 bytes tests/339.out | 32 ++ tests/34.bin | Bin 0 -> 33468 bytes tests/34.out | 32 ++ tests/340.bin | Bin 0 -> 33468 bytes tests/340.out | 32 ++ tests/341.bin | Bin 0 -> 33468 bytes tests/341.out | 32 ++ tests/342.bin | Bin 0 -> 33468 bytes tests/342.out | 32 ++ tests/343.bin | Bin 0 -> 33468 bytes tests/343.out | 32 ++ tests/344.bin | Bin 0 -> 33468 bytes tests/344.out | 32 ++ tests/345.bin | Bin 0 -> 33468 bytes tests/345.out | 32 ++ tests/346.bin | Bin 0 -> 33468 bytes tests/346.out | 32 ++ tests/347.bin | Bin 0 -> 33468 bytes tests/347.out | 32 ++ tests/348.bin | Bin 0 -> 33468 bytes tests/348.out | 32 ++ tests/349.bin | Bin 0 -> 33468 bytes tests/349.out | 32 ++ tests/35.bin | Bin 0 -> 33468 bytes tests/35.out | 32 ++ tests/350.bin | Bin 0 -> 33468 bytes tests/350.out | 32 ++ tests/351.bin | Bin 0 -> 33468 bytes tests/351.out | 32 ++ tests/352.bin | Bin 0 -> 33468 bytes tests/352.out | 32 ++ tests/353.bin | Bin 0 -> 33468 bytes tests/353.out | 32 ++ tests/354.bin | Bin 0 -> 33468 bytes tests/354.out | 32 ++ tests/355.bin | Bin 0 -> 33468 bytes tests/355.out | 32 ++ tests/356.bin | Bin 0 -> 33468 bytes tests/356.out | 32 ++ tests/357.bin | Bin 0 -> 33468 bytes tests/357.out | 32 ++ tests/358.bin | Bin 0 -> 33468 bytes tests/358.out | 32 ++ tests/359.bin | Bin 0 -> 33468 bytes tests/359.out | 32 ++ tests/36.bin | Bin 0 -> 33468 bytes tests/36.out | 32 ++ tests/360.bin | Bin 0 -> 33468 bytes tests/360.out | 32 ++ tests/361.bin | Bin 0 -> 33468 bytes tests/361.out | 32 ++ tests/362.bin | Bin 0 -> 33468 bytes tests/362.out | 32 ++ tests/363.bin | Bin 0 -> 33468 bytes tests/363.out | 32 ++ tests/364.bin | Bin 0 -> 33468 bytes tests/364.out | 32 ++ tests/365.bin | Bin 0 -> 33468 bytes tests/365.out | 32 ++ tests/366.bin | Bin 0 -> 33468 bytes tests/366.out | 32 ++ tests/367.bin | Bin 0 -> 33468 bytes tests/367.out | 32 ++ tests/368.bin | Bin 0 -> 33468 bytes tests/368.out | 32 ++ tests/369.bin | Bin 0 -> 33468 bytes tests/369.out | 32 ++ tests/37.bin | Bin 0 -> 33468 bytes tests/37.out | 32 ++ tests/370.bin | Bin 0 -> 33468 bytes tests/370.out | 32 ++ tests/371.bin | Bin 0 -> 33468 bytes tests/371.out | 32 ++ tests/372.bin | Bin 0 -> 33468 bytes tests/372.out | 32 ++ tests/373.bin | Bin 0 -> 33468 bytes tests/373.out | 32 ++ tests/374.bin | Bin 0 -> 33468 bytes tests/374.out | 32 ++ tests/375.bin | Bin 0 -> 33468 bytes tests/375.out | 32 ++ tests/376.bin | Bin 0 -> 33468 bytes tests/376.out | 32 ++ tests/377.bin | Bin 0 -> 33468 bytes tests/377.out | 32 ++ tests/378.bin | Bin 0 -> 33468 bytes tests/378.out | 32 ++ tests/379.bin | Bin 0 -> 33468 bytes tests/379.out | 32 ++ tests/38.bin | Bin 0 -> 33468 bytes tests/38.out | 32 ++ tests/380.bin | Bin 0 -> 33468 bytes tests/380.out | 32 ++ tests/381.bin | Bin 0 -> 33468 bytes tests/381.out | 32 ++ tests/382.bin | Bin 0 -> 33468 bytes tests/382.out | 32 ++ tests/383.bin | Bin 0 -> 33468 bytes tests/383.out | 32 ++ tests/384.bin | Bin 0 -> 33468 bytes tests/384.out | 32 ++ tests/385.bin | Bin 0 -> 33468 bytes tests/385.out | 32 ++ tests/386.bin | Bin 0 -> 33468 bytes tests/386.out | 32 ++ tests/387.bin | Bin 0 -> 33468 bytes tests/387.out | 32 ++ tests/388.bin | Bin 0 -> 33468 bytes tests/388.out | 32 ++ tests/389.bin | Bin 0 -> 33468 bytes tests/389.out | 32 ++ tests/39.bin | Bin 0 -> 33468 bytes tests/39.out | 32 ++ tests/390.bin | Bin 0 -> 33468 bytes tests/390.out | 32 ++ tests/391.bin | Bin 0 -> 33468 bytes tests/391.out | 32 ++ tests/392.bin | Bin 0 -> 33468 bytes tests/392.out | 32 ++ tests/393.bin | Bin 0 -> 33468 bytes tests/393.out | 32 ++ tests/394.bin | Bin 0 -> 33468 bytes tests/394.out | 32 ++ tests/395.bin | Bin 0 -> 33468 bytes tests/395.out | 32 ++ tests/396.bin | Bin 0 -> 33468 bytes tests/396.out | 32 ++ tests/397.bin | Bin 0 -> 33468 bytes tests/397.out | 32 ++ tests/398.bin | Bin 0 -> 33468 bytes tests/398.out | 32 ++ tests/399.bin | Bin 0 -> 33468 bytes tests/399.out | 32 ++ tests/4.bin | Bin 0 -> 33468 bytes tests/4.out | 32 ++ tests/40.bin | Bin 0 -> 33468 bytes tests/40.out | 32 ++ tests/400.bin | Bin 0 -> 33468 bytes tests/400.out | 32 ++ tests/401.bin | Bin 0 -> 33468 bytes tests/401.out | 32 ++ tests/402.bin | Bin 0 -> 33468 bytes tests/402.out | 32 ++ tests/403.bin | Bin 0 -> 33468 bytes tests/403.out | 32 ++ tests/404.bin | Bin 0 -> 33468 bytes tests/404.out | 32 ++ tests/405.bin | Bin 0 -> 33468 bytes tests/405.out | 32 ++ tests/406.bin | Bin 0 -> 33468 bytes tests/406.out | 32 ++ tests/407.bin | Bin 0 -> 33468 bytes tests/407.out | 32 ++ tests/408.bin | Bin 0 -> 33468 bytes tests/408.out | 32 ++ tests/409.bin | Bin 0 -> 33468 bytes tests/409.out | 32 ++ tests/41.bin | Bin 0 -> 33468 bytes tests/41.out | 32 ++ tests/410.bin | Bin 0 -> 33468 bytes tests/410.out | 32 ++ tests/411.bin | Bin 0 -> 33468 bytes tests/411.out | 32 ++ tests/412.bin | Bin 0 -> 33468 bytes tests/412.out | 32 ++ tests/413.bin | Bin 0 -> 33468 bytes tests/413.out | 32 ++ tests/414.bin | Bin 0 -> 33468 bytes tests/414.out | 32 ++ tests/415.bin | Bin 0 -> 33468 bytes tests/415.out | 32 ++ tests/416.bin | Bin 0 -> 33468 bytes tests/416.out | 32 ++ tests/417.bin | Bin 0 -> 33468 bytes tests/417.out | 32 ++ tests/418.bin | Bin 0 -> 33468 bytes tests/418.out | 32 ++ tests/419.bin | Bin 0 -> 33468 bytes tests/419.out | 32 ++ tests/42.bin | Bin 0 -> 33468 bytes tests/42.out | 32 ++ tests/420.bin | Bin 0 -> 33468 bytes tests/420.out | 32 ++ tests/421.bin | Bin 0 -> 33468 bytes tests/421.out | 32 ++ tests/422.bin | Bin 0 -> 33468 bytes tests/422.out | 32 ++ tests/423.bin | Bin 0 -> 33468 bytes tests/423.out | 32 ++ tests/424.bin | Bin 0 -> 33468 bytes tests/424.out | 32 ++ tests/425.bin | Bin 0 -> 33468 bytes tests/425.out | 32 ++ tests/426.bin | Bin 0 -> 33468 bytes tests/426.out | 32 ++ tests/427.bin | Bin 0 -> 33468 bytes tests/427.out | 32 ++ tests/428.bin | Bin 0 -> 33468 bytes tests/428.out | 32 ++ tests/429.bin | Bin 0 -> 33468 bytes tests/429.out | 32 ++ tests/43.bin | Bin 0 -> 33468 bytes tests/43.out | 32 ++ tests/430.bin | Bin 0 -> 33468 bytes tests/430.out | 32 ++ tests/431.bin | Bin 0 -> 33468 bytes tests/431.out | 32 ++ tests/432.bin | Bin 0 -> 33468 bytes tests/432.out | 32 ++ tests/433.bin | Bin 0 -> 33468 bytes tests/433.out | 32 ++ tests/434.bin | Bin 0 -> 33468 bytes tests/434.out | 32 ++ tests/435.bin | Bin 0 -> 33468 bytes tests/435.out | 32 ++ tests/436.bin | Bin 0 -> 33468 bytes tests/436.out | 32 ++ tests/437.bin | Bin 0 -> 33468 bytes tests/437.out | 32 ++ tests/438.bin | Bin 0 -> 33468 bytes tests/438.out | 32 ++ tests/439.bin | Bin 0 -> 33468 bytes tests/439.out | 32 ++ tests/44.bin | Bin 0 -> 33468 bytes tests/44.out | 32 ++ tests/440.bin | Bin 0 -> 33468 bytes tests/440.out | 32 ++ tests/441.bin | Bin 0 -> 33468 bytes tests/441.out | 32 ++ tests/442.bin | Bin 0 -> 33468 bytes tests/442.out | 32 ++ tests/443.bin | Bin 0 -> 33468 bytes tests/443.out | 32 ++ tests/444.bin | Bin 0 -> 33468 bytes tests/444.out | 32 ++ tests/445.bin | Bin 0 -> 33468 bytes tests/445.out | 32 ++ tests/446.bin | Bin 0 -> 33468 bytes tests/446.out | 32 ++ tests/447.bin | Bin 0 -> 33468 bytes tests/447.out | 32 ++ tests/448.bin | Bin 0 -> 33468 bytes tests/448.out | 32 ++ tests/449.bin | Bin 0 -> 33468 bytes tests/449.out | 32 ++ tests/45.bin | Bin 0 -> 33468 bytes tests/45.out | 32 ++ tests/450.bin | Bin 0 -> 33468 bytes tests/450.out | 32 ++ tests/451.bin | Bin 0 -> 33468 bytes tests/451.out | 32 ++ tests/452.bin | Bin 0 -> 33468 bytes tests/452.out | 32 ++ tests/453.bin | Bin 0 -> 33468 bytes tests/453.out | 32 ++ tests/454.bin | Bin 0 -> 33468 bytes tests/454.out | 32 ++ tests/455.bin | Bin 0 -> 33468 bytes tests/455.out | 32 ++ tests/456.bin | Bin 0 -> 33468 bytes tests/456.out | 32 ++ tests/457.bin | Bin 0 -> 33468 bytes tests/457.out | 32 ++ tests/458.bin | Bin 0 -> 33468 bytes tests/458.out | 32 ++ tests/459.bin | Bin 0 -> 33468 bytes tests/459.out | 32 ++ tests/46.bin | Bin 0 -> 33468 bytes tests/46.out | 32 ++ tests/460.bin | Bin 0 -> 33468 bytes tests/460.out | 32 ++ tests/461.bin | Bin 0 -> 33468 bytes tests/461.out | 32 ++ tests/462.bin | Bin 0 -> 33468 bytes tests/462.out | 32 ++ tests/463.bin | Bin 0 -> 33468 bytes tests/463.out | 32 ++ tests/464.bin | Bin 0 -> 33468 bytes tests/464.out | 32 ++ tests/465.bin | Bin 0 -> 33468 bytes tests/465.out | 32 ++ tests/466.bin | Bin 0 -> 33468 bytes tests/466.out | 32 ++ tests/467.bin | Bin 0 -> 33468 bytes tests/467.out | 32 ++ tests/468.bin | Bin 0 -> 33468 bytes tests/468.out | 32 ++ tests/469.bin | Bin 0 -> 33468 bytes tests/469.out | 32 ++ tests/47.bin | Bin 0 -> 33468 bytes tests/47.out | 32 ++ tests/470.bin | Bin 0 -> 33468 bytes tests/470.out | 32 ++ tests/471.bin | Bin 0 -> 33468 bytes tests/471.out | 32 ++ tests/472.bin | Bin 0 -> 33468 bytes tests/472.out | 32 ++ tests/473.bin | Bin 0 -> 33468 bytes tests/473.out | 32 ++ tests/474.bin | Bin 0 -> 33468 bytes tests/474.out | 32 ++ tests/475.bin | Bin 0 -> 33468 bytes tests/475.out | 32 ++ tests/476.bin | Bin 0 -> 33468 bytes tests/476.out | 32 ++ tests/477.bin | Bin 0 -> 33468 bytes tests/477.out | 32 ++ tests/478.bin | Bin 0 -> 33468 bytes tests/478.out | 32 ++ tests/479.bin | Bin 0 -> 33468 bytes tests/479.out | 32 ++ tests/48.bin | Bin 0 -> 33468 bytes tests/48.out | 32 ++ tests/480.bin | Bin 0 -> 33468 bytes tests/480.out | 32 ++ tests/481.bin | Bin 0 -> 33468 bytes tests/481.out | 32 ++ tests/482.bin | Bin 0 -> 33468 bytes tests/482.out | 32 ++ tests/483.bin | Bin 0 -> 33468 bytes tests/483.out | 32 ++ tests/484.bin | Bin 0 -> 33468 bytes tests/484.out | 32 ++ tests/485.bin | Bin 0 -> 33468 bytes tests/485.out | 32 ++ tests/486.bin | Bin 0 -> 33468 bytes tests/486.out | 32 ++ tests/487.bin | Bin 0 -> 33468 bytes tests/487.out | 32 ++ tests/488.bin | Bin 0 -> 33468 bytes tests/488.out | 32 ++ tests/489.bin | Bin 0 -> 33468 bytes tests/489.out | 32 ++ tests/49.bin | Bin 0 -> 33468 bytes tests/49.out | 32 ++ tests/490.bin | Bin 0 -> 33468 bytes tests/490.out | 32 ++ tests/491.bin | Bin 0 -> 33468 bytes tests/491.out | 32 ++ tests/492.bin | Bin 0 -> 33468 bytes tests/492.out | 32 ++ tests/493.bin | Bin 0 -> 33468 bytes tests/493.out | 32 ++ tests/494.bin | Bin 0 -> 33468 bytes tests/494.out | 32 ++ tests/495.bin | Bin 0 -> 33468 bytes tests/495.out | 32 ++ tests/496.bin | Bin 0 -> 33468 bytes tests/496.out | 32 ++ tests/497.bin | Bin 0 -> 33468 bytes tests/497.out | 32 ++ tests/498.bin | Bin 0 -> 33468 bytes tests/498.out | 32 ++ tests/499.bin | Bin 0 -> 33468 bytes tests/499.out | 32 ++ tests/5.bin | Bin 0 -> 33468 bytes tests/5.out | 32 ++ tests/50.bin | Bin 0 -> 33468 bytes tests/50.out | 32 ++ tests/500.bin | Bin 0 -> 33468 bytes tests/500.out | 32 ++ tests/501.bin | Bin 0 -> 33468 bytes tests/501.out | 32 ++ tests/502.bin | Bin 0 -> 33468 bytes tests/502.out | 32 ++ tests/503.bin | Bin 0 -> 33468 bytes tests/503.out | 32 ++ tests/504.bin | Bin 0 -> 33468 bytes tests/504.out | 32 ++ tests/505.bin | Bin 0 -> 33468 bytes tests/505.out | 32 ++ tests/506.bin | Bin 0 -> 33468 bytes tests/506.out | 32 ++ tests/507.bin | Bin 0 -> 33468 bytes tests/507.out | 32 ++ tests/508.bin | Bin 0 -> 33468 bytes tests/508.out | 32 ++ tests/509.bin | Bin 0 -> 33468 bytes tests/509.out | 32 ++ tests/51.bin | Bin 0 -> 33468 bytes tests/51.out | 32 ++ tests/510.bin | Bin 0 -> 33468 bytes tests/510.out | 32 ++ tests/511.bin | Bin 0 -> 33468 bytes tests/511.out | 32 ++ tests/512.bin | Bin 0 -> 33468 bytes tests/512.out | 32 ++ tests/513.bin | Bin 0 -> 33468 bytes tests/513.out | 32 ++ tests/514.bin | Bin 0 -> 33468 bytes tests/514.out | 32 ++ tests/515.bin | Bin 0 -> 33468 bytes tests/515.out | 32 ++ tests/516.bin | Bin 0 -> 33468 bytes tests/516.out | 32 ++ tests/517.bin | Bin 0 -> 33468 bytes tests/517.out | 32 ++ tests/518.bin | Bin 0 -> 33468 bytes tests/518.out | 32 ++ tests/519.bin | Bin 0 -> 33468 bytes tests/519.out | 32 ++ tests/52.bin | Bin 0 -> 33468 bytes tests/52.out | 32 ++ tests/520.bin | Bin 0 -> 33468 bytes tests/520.out | 32 ++ tests/521.bin | Bin 0 -> 33468 bytes tests/521.out | 32 ++ tests/522.bin | Bin 0 -> 33468 bytes tests/522.out | 32 ++ tests/523.bin | Bin 0 -> 33468 bytes tests/523.out | 32 ++ tests/524.bin | Bin 0 -> 33468 bytes tests/524.out | 32 ++ tests/525.bin | Bin 0 -> 33468 bytes tests/525.out | 32 ++ tests/526.bin | Bin 0 -> 33468 bytes tests/526.out | 32 ++ tests/527.bin | Bin 0 -> 33468 bytes tests/527.out | 32 ++ tests/528.bin | Bin 0 -> 33468 bytes tests/528.out | 32 ++ tests/529.bin | Bin 0 -> 33468 bytes tests/529.out | 32 ++ tests/53.bin | Bin 0 -> 33468 bytes tests/53.out | 32 ++ tests/530.bin | Bin 0 -> 33468 bytes tests/530.out | 32 ++ tests/531.bin | Bin 0 -> 33468 bytes tests/531.out | 32 ++ tests/532.bin | Bin 0 -> 33468 bytes tests/532.out | 32 ++ tests/533.bin | Bin 0 -> 33468 bytes tests/533.out | 32 ++ tests/534.bin | Bin 0 -> 33468 bytes tests/534.out | 32 ++ tests/535.bin | Bin 0 -> 33468 bytes tests/535.out | 32 ++ tests/536.bin | Bin 0 -> 33468 bytes tests/536.out | 32 ++ tests/537.bin | Bin 0 -> 33468 bytes tests/537.out | 32 ++ tests/538.bin | Bin 0 -> 33468 bytes tests/538.out | 32 ++ tests/539.bin | Bin 0 -> 33468 bytes tests/539.out | 32 ++ tests/54.bin | Bin 0 -> 33468 bytes tests/54.out | 32 ++ tests/540.bin | Bin 0 -> 33468 bytes tests/540.out | 32 ++ tests/541.bin | Bin 0 -> 33468 bytes tests/541.out | 32 ++ tests/542.bin | Bin 0 -> 33468 bytes tests/542.out | 32 ++ tests/543.bin | Bin 0 -> 33468 bytes tests/543.out | 32 ++ tests/544.bin | Bin 0 -> 33468 bytes tests/544.out | 32 ++ tests/545.bin | Bin 0 -> 33468 bytes tests/545.out | 32 ++ tests/546.bin | Bin 0 -> 33468 bytes tests/546.out | 32 ++ tests/547.bin | Bin 0 -> 33468 bytes tests/547.out | 32 ++ tests/548.bin | Bin 0 -> 33468 bytes tests/548.out | 32 ++ tests/549.bin | Bin 0 -> 33468 bytes tests/549.out | 32 ++ tests/55.bin | Bin 0 -> 33468 bytes tests/55.out | 32 ++ tests/550.bin | Bin 0 -> 33468 bytes tests/550.out | 32 ++ tests/551.bin | Bin 0 -> 33468 bytes tests/551.out | 32 ++ tests/552.bin | Bin 0 -> 33468 bytes tests/552.out | 32 ++ tests/553.bin | Bin 0 -> 33468 bytes tests/553.out | 32 ++ tests/554.bin | Bin 0 -> 33468 bytes tests/554.out | 32 ++ tests/555.bin | Bin 0 -> 33468 bytes tests/555.out | 32 ++ tests/556.bin | Bin 0 -> 33468 bytes tests/556.out | 32 ++ tests/557.bin | Bin 0 -> 33468 bytes tests/557.out | 32 ++ tests/558.bin | Bin 0 -> 33468 bytes tests/558.out | 32 ++ tests/559.bin | Bin 0 -> 33468 bytes tests/559.out | 32 ++ tests/56.bin | Bin 0 -> 33468 bytes tests/56.out | 32 ++ tests/560.bin | Bin 0 -> 33468 bytes tests/560.out | 32 ++ tests/561.bin | Bin 0 -> 33468 bytes tests/561.out | 32 ++ tests/562.bin | Bin 0 -> 33468 bytes tests/562.out | 32 ++ tests/563.bin | Bin 0 -> 33468 bytes tests/563.out | 32 ++ tests/564.bin | Bin 0 -> 33468 bytes tests/564.out | 32 ++ tests/565.bin | Bin 0 -> 33468 bytes tests/565.out | 32 ++ tests/566.bin | Bin 0 -> 33468 bytes tests/566.out | 32 ++ tests/567.bin | Bin 0 -> 33468 bytes tests/567.out | 32 ++ tests/568.bin | Bin 0 -> 33468 bytes tests/568.out | 32 ++ tests/569.bin | Bin 0 -> 33468 bytes tests/569.out | 32 ++ tests/57.bin | Bin 0 -> 33468 bytes tests/57.out | 32 ++ tests/570.bin | Bin 0 -> 33468 bytes tests/570.out | 32 ++ tests/571.bin | Bin 0 -> 33468 bytes tests/571.out | 32 ++ tests/572.bin | Bin 0 -> 33468 bytes tests/572.out | 32 ++ tests/573.bin | Bin 0 -> 33468 bytes tests/573.out | 32 ++ tests/574.bin | Bin 0 -> 33468 bytes tests/574.out | 32 ++ tests/575.bin | Bin 0 -> 33468 bytes tests/575.out | 32 ++ tests/576.bin | Bin 0 -> 33468 bytes tests/576.out | 32 ++ tests/577.bin | Bin 0 -> 33468 bytes tests/577.out | 32 ++ tests/578.bin | Bin 0 -> 33468 bytes tests/578.out | 32 ++ tests/579.bin | Bin 0 -> 33468 bytes tests/579.out | 32 ++ tests/58.bin | Bin 0 -> 33468 bytes tests/58.out | 32 ++ tests/580.bin | Bin 0 -> 33468 bytes tests/580.out | 32 ++ tests/581.bin | Bin 0 -> 33468 bytes tests/581.out | 32 ++ tests/582.bin | Bin 0 -> 33468 bytes tests/582.out | 32 ++ tests/583.bin | Bin 0 -> 33468 bytes tests/583.out | 32 ++ tests/584.bin | Bin 0 -> 33468 bytes tests/584.out | 32 ++ tests/585.bin | Bin 0 -> 33468 bytes tests/585.out | 32 ++ tests/586.bin | Bin 0 -> 33468 bytes tests/586.out | 32 ++ tests/587.bin | Bin 0 -> 33468 bytes tests/587.out | 32 ++ tests/588.bin | Bin 0 -> 33468 bytes tests/588.out | 32 ++ tests/589.bin | Bin 0 -> 33468 bytes tests/589.out | 32 ++ tests/59.bin | Bin 0 -> 33468 bytes tests/59.out | 32 ++ tests/590.bin | Bin 0 -> 33468 bytes tests/590.out | 32 ++ tests/591.bin | Bin 0 -> 33468 bytes tests/591.out | 32 ++ tests/592.bin | Bin 0 -> 33468 bytes tests/592.out | 32 ++ tests/593.bin | Bin 0 -> 33468 bytes tests/593.out | 32 ++ tests/594.bin | Bin 0 -> 33468 bytes tests/594.out | 32 ++ tests/595.bin | Bin 0 -> 33468 bytes tests/595.out | 32 ++ tests/596.bin | Bin 0 -> 33468 bytes tests/596.out | 32 ++ tests/597.bin | Bin 0 -> 33468 bytes tests/597.out | 32 ++ tests/598.bin | Bin 0 -> 33468 bytes tests/598.out | 32 ++ tests/599.bin | Bin 0 -> 33468 bytes tests/599.out | 32 ++ tests/6.bin | Bin 0 -> 33468 bytes tests/6.out | 32 ++ tests/60.bin | Bin 0 -> 33468 bytes tests/60.out | 32 ++ tests/600.bin | Bin 0 -> 33468 bytes tests/600.out | 32 ++ tests/601.bin | Bin 0 -> 33468 bytes tests/601.out | 32 ++ tests/602.bin | Bin 0 -> 33468 bytes tests/602.out | 32 ++ tests/603.bin | Bin 0 -> 33468 bytes tests/603.out | 32 ++ tests/604.bin | Bin 0 -> 33468 bytes tests/604.out | 32 ++ tests/605.bin | Bin 0 -> 33468 bytes tests/605.out | 32 ++ tests/606.bin | Bin 0 -> 33468 bytes tests/606.out | 32 ++ tests/607.bin | Bin 0 -> 33468 bytes tests/607.out | 32 ++ tests/608.bin | Bin 0 -> 33468 bytes tests/608.out | 32 ++ tests/609.bin | Bin 0 -> 33468 bytes tests/609.out | 32 ++ tests/61.bin | Bin 0 -> 33468 bytes tests/61.out | 32 ++ tests/610.bin | Bin 0 -> 33468 bytes tests/610.out | 32 ++ tests/611.bin | Bin 0 -> 33468 bytes tests/611.out | 32 ++ tests/612.bin | Bin 0 -> 33468 bytes tests/612.out | 32 ++ tests/613.bin | Bin 0 -> 33468 bytes tests/613.out | 32 ++ tests/614.bin | Bin 0 -> 33468 bytes tests/614.out | 32 ++ tests/615.bin | Bin 0 -> 33468 bytes tests/615.out | 32 ++ tests/616.bin | Bin 0 -> 33468 bytes tests/616.out | 32 ++ tests/617.bin | Bin 0 -> 33468 bytes tests/617.out | 32 ++ tests/618.bin | Bin 0 -> 33468 bytes tests/618.out | 32 ++ tests/619.bin | Bin 0 -> 33468 bytes tests/619.out | 32 ++ tests/62.bin | Bin 0 -> 33468 bytes tests/62.out | 32 ++ tests/620.bin | Bin 0 -> 33468 bytes tests/620.out | 32 ++ tests/621.bin | Bin 0 -> 33468 bytes tests/621.out | 32 ++ tests/622.bin | Bin 0 -> 33468 bytes tests/622.out | 32 ++ tests/623.bin | Bin 0 -> 33468 bytes tests/623.out | 32 ++ tests/624.bin | Bin 0 -> 33468 bytes tests/624.out | 32 ++ tests/625.bin | Bin 0 -> 33468 bytes tests/625.out | 32 ++ tests/626.bin | Bin 0 -> 33468 bytes tests/626.out | 32 ++ tests/627.bin | Bin 0 -> 33468 bytes tests/627.out | 32 ++ tests/628.bin | Bin 0 -> 33468 bytes tests/628.out | 32 ++ tests/629.bin | Bin 0 -> 33468 bytes tests/629.out | 32 ++ tests/63.bin | Bin 0 -> 33468 bytes tests/63.out | 32 ++ tests/630.bin | Bin 0 -> 33468 bytes tests/630.out | 32 ++ tests/631.bin | Bin 0 -> 33468 bytes tests/631.out | 32 ++ tests/632.bin | Bin 0 -> 33468 bytes tests/632.out | 32 ++ tests/633.bin | Bin 0 -> 33468 bytes tests/633.out | 32 ++ tests/634.bin | Bin 0 -> 33468 bytes tests/634.out | 32 ++ tests/635.bin | Bin 0 -> 33468 bytes tests/635.out | 32 ++ tests/636.bin | Bin 0 -> 33468 bytes tests/636.out | 32 ++ tests/637.bin | Bin 0 -> 33468 bytes tests/637.out | 32 ++ tests/638.bin | Bin 0 -> 33468 bytes tests/638.out | 32 ++ tests/639.bin | Bin 0 -> 33468 bytes tests/639.out | 32 ++ tests/64.bin | Bin 0 -> 33468 bytes tests/64.out | 32 ++ tests/640.bin | Bin 0 -> 33468 bytes tests/640.out | 32 ++ tests/641.bin | Bin 0 -> 33468 bytes tests/641.out | 32 ++ tests/642.bin | Bin 0 -> 33468 bytes tests/642.out | 32 ++ tests/643.bin | Bin 0 -> 33468 bytes tests/643.out | 32 ++ tests/644.bin | Bin 0 -> 33468 bytes tests/644.out | 32 ++ tests/645.bin | Bin 0 -> 33468 bytes tests/645.out | 32 ++ tests/646.bin | Bin 0 -> 33468 bytes tests/646.out | 32 ++ tests/647.bin | Bin 0 -> 33468 bytes tests/647.out | 32 ++ tests/648.bin | Bin 0 -> 33468 bytes tests/648.out | 32 ++ tests/649.bin | Bin 0 -> 33468 bytes tests/649.out | 32 ++ tests/65.bin | Bin 0 -> 33468 bytes tests/65.out | 32 ++ tests/650.bin | Bin 0 -> 33468 bytes tests/650.out | 32 ++ tests/651.bin | Bin 0 -> 33468 bytes tests/651.out | 32 ++ tests/652.bin | Bin 0 -> 33468 bytes tests/652.out | 32 ++ tests/653.bin | Bin 0 -> 33468 bytes tests/653.out | 32 ++ tests/654.bin | Bin 0 -> 33468 bytes tests/654.out | 32 ++ tests/655.bin | Bin 0 -> 33468 bytes tests/655.out | 32 ++ tests/656.bin | Bin 0 -> 33468 bytes tests/656.out | 32 ++ tests/657.bin | Bin 0 -> 33468 bytes tests/657.out | 32 ++ tests/658.bin | Bin 0 -> 33468 bytes tests/658.out | 32 ++ tests/659.bin | Bin 0 -> 33468 bytes tests/659.out | 32 ++ tests/66.bin | Bin 0 -> 33468 bytes tests/66.out | 32 ++ tests/660.bin | Bin 0 -> 33468 bytes tests/660.out | 32 ++ tests/661.bin | Bin 0 -> 33468 bytes tests/661.out | 32 ++ tests/662.bin | Bin 0 -> 33468 bytes tests/662.out | 32 ++ tests/663.bin | Bin 0 -> 33468 bytes tests/663.out | 32 ++ tests/664.bin | Bin 0 -> 33468 bytes tests/664.out | 32 ++ tests/665.bin | Bin 0 -> 33468 bytes tests/665.out | 32 ++ tests/666.bin | Bin 0 -> 33468 bytes tests/666.out | 32 ++ tests/667.bin | Bin 0 -> 33468 bytes tests/667.out | 32 ++ tests/668.bin | Bin 0 -> 33468 bytes tests/668.out | 32 ++ tests/669.bin | Bin 0 -> 33468 bytes tests/669.out | 32 ++ tests/67.bin | Bin 0 -> 33468 bytes tests/67.out | 32 ++ tests/670.bin | Bin 0 -> 33468 bytes tests/670.out | 32 ++ tests/671.bin | Bin 0 -> 33468 bytes tests/671.out | 32 ++ tests/672.bin | Bin 0 -> 33468 bytes tests/672.out | 32 ++ tests/673.bin | Bin 0 -> 33468 bytes tests/673.out | 32 ++ tests/674.bin | Bin 0 -> 33468 bytes tests/674.out | 32 ++ tests/675.bin | Bin 0 -> 33468 bytes tests/675.out | 32 ++ tests/676.bin | Bin 0 -> 33468 bytes tests/676.out | 32 ++ tests/677.bin | Bin 0 -> 33468 bytes tests/677.out | 32 ++ tests/678.bin | Bin 0 -> 33468 bytes tests/678.out | 32 ++ tests/679.bin | Bin 0 -> 33468 bytes tests/679.out | 32 ++ tests/68.bin | Bin 0 -> 33468 bytes tests/68.out | 32 ++ tests/680.bin | Bin 0 -> 33468 bytes tests/680.out | 32 ++ tests/681.bin | Bin 0 -> 33468 bytes tests/681.out | 32 ++ tests/682.bin | Bin 0 -> 33468 bytes tests/682.out | 32 ++ tests/683.bin | Bin 0 -> 33468 bytes tests/683.out | 32 ++ tests/684.bin | Bin 0 -> 33468 bytes tests/684.out | 32 ++ tests/685.bin | Bin 0 -> 33468 bytes tests/685.out | 32 ++ tests/686.bin | Bin 0 -> 33468 bytes tests/686.out | 32 ++ tests/687.bin | Bin 0 -> 33468 bytes tests/687.out | 32 ++ tests/688.bin | Bin 0 -> 33468 bytes tests/688.out | 32 ++ tests/689.bin | Bin 0 -> 33468 bytes tests/689.out | 32 ++ tests/69.bin | Bin 0 -> 33468 bytes tests/69.out | 32 ++ tests/690.bin | Bin 0 -> 33468 bytes tests/690.out | 32 ++ tests/691.bin | Bin 0 -> 33468 bytes tests/691.out | 32 ++ tests/692.bin | Bin 0 -> 33468 bytes tests/692.out | 32 ++ tests/693.bin | Bin 0 -> 33468 bytes tests/693.out | 32 ++ tests/694.bin | Bin 0 -> 33468 bytes tests/694.out | 32 ++ tests/695.bin | Bin 0 -> 33468 bytes tests/695.out | 32 ++ tests/696.bin | Bin 0 -> 33468 bytes tests/696.out | 32 ++ tests/697.bin | Bin 0 -> 33468 bytes tests/697.out | 32 ++ tests/698.bin | Bin 0 -> 33468 bytes tests/698.out | 32 ++ tests/699.bin | Bin 0 -> 33468 bytes tests/699.out | 32 ++ tests/7.bin | Bin 0 -> 33468 bytes tests/7.out | 32 ++ tests/70.bin | Bin 0 -> 33468 bytes tests/70.out | 32 ++ tests/700.bin | Bin 0 -> 33468 bytes tests/700.out | 32 ++ tests/701.bin | Bin 0 -> 33468 bytes tests/701.out | 32 ++ tests/702.bin | Bin 0 -> 33468 bytes tests/702.out | 32 ++ tests/703.bin | Bin 0 -> 33468 bytes tests/703.out | 32 ++ tests/704.bin | Bin 0 -> 33468 bytes tests/704.out | 32 ++ tests/705.bin | Bin 0 -> 33468 bytes tests/705.out | 32 ++ tests/706.bin | Bin 0 -> 33468 bytes tests/706.out | 32 ++ tests/707.bin | Bin 0 -> 33468 bytes tests/707.out | 32 ++ tests/708.bin | Bin 0 -> 33468 bytes tests/708.out | 32 ++ tests/709.bin | Bin 0 -> 33468 bytes tests/709.out | 32 ++ tests/71.bin | Bin 0 -> 33468 bytes tests/71.out | 32 ++ tests/710.bin | Bin 0 -> 33468 bytes tests/710.out | 32 ++ tests/711.bin | Bin 0 -> 33468 bytes tests/711.out | 32 ++ tests/712.bin | Bin 0 -> 33468 bytes tests/712.out | 32 ++ tests/713.bin | Bin 0 -> 33468 bytes tests/713.out | 32 ++ tests/714.bin | Bin 0 -> 33468 bytes tests/714.out | 32 ++ tests/715.bin | Bin 0 -> 33468 bytes tests/715.out | 32 ++ tests/716.bin | Bin 0 -> 33468 bytes tests/716.out | 32 ++ tests/717.bin | Bin 0 -> 33468 bytes tests/717.out | 32 ++ tests/718.bin | Bin 0 -> 33468 bytes tests/718.out | 32 ++ tests/719.bin | Bin 0 -> 33468 bytes tests/719.out | 32 ++ tests/72.bin | Bin 0 -> 33468 bytes tests/72.out | 32 ++ tests/720.bin | Bin 0 -> 33468 bytes tests/720.out | 32 ++ tests/721.bin | Bin 0 -> 33468 bytes tests/721.out | 32 ++ tests/722.bin | Bin 0 -> 33468 bytes tests/722.out | 32 ++ tests/723.bin | Bin 0 -> 33468 bytes tests/723.out | 32 ++ tests/724.bin | Bin 0 -> 33468 bytes tests/724.out | 32 ++ tests/725.bin | Bin 0 -> 33468 bytes tests/725.out | 32 ++ tests/726.bin | Bin 0 -> 33468 bytes tests/726.out | 32 ++ tests/727.bin | Bin 0 -> 33468 bytes tests/727.out | 32 ++ tests/728.bin | Bin 0 -> 33468 bytes tests/728.out | 32 ++ tests/729.bin | Bin 0 -> 33468 bytes tests/729.out | 32 ++ tests/73.bin | Bin 0 -> 33468 bytes tests/73.out | 32 ++ tests/730.bin | Bin 0 -> 33468 bytes tests/730.out | 32 ++ tests/731.bin | Bin 0 -> 33468 bytes tests/731.out | 32 ++ tests/732.bin | Bin 0 -> 33468 bytes tests/732.out | 32 ++ tests/733.bin | Bin 0 -> 33468 bytes tests/733.out | 32 ++ tests/734.bin | Bin 0 -> 33468 bytes tests/734.out | 32 ++ tests/735.bin | Bin 0 -> 33468 bytes tests/735.out | 32 ++ tests/736.bin | Bin 0 -> 33468 bytes tests/736.out | 32 ++ tests/737.bin | Bin 0 -> 33468 bytes tests/737.out | 32 ++ tests/738.bin | Bin 0 -> 33468 bytes tests/738.out | 32 ++ tests/739.bin | Bin 0 -> 33468 bytes tests/739.out | 32 ++ tests/74.bin | Bin 0 -> 33468 bytes tests/74.out | 32 ++ tests/740.bin | Bin 0 -> 33468 bytes tests/740.out | 32 ++ tests/741.bin | Bin 0 -> 33468 bytes tests/741.out | 32 ++ tests/742.bin | Bin 0 -> 33468 bytes tests/742.out | 32 ++ tests/743.bin | Bin 0 -> 33468 bytes tests/743.out | 32 ++ tests/744.bin | Bin 0 -> 33468 bytes tests/744.out | 32 ++ tests/745.bin | Bin 0 -> 33468 bytes tests/745.out | 32 ++ tests/746.bin | Bin 0 -> 33468 bytes tests/746.out | 32 ++ tests/747.bin | Bin 0 -> 33468 bytes tests/747.out | 32 ++ tests/748.bin | Bin 0 -> 33468 bytes tests/748.out | 32 ++ tests/749.bin | Bin 0 -> 33468 bytes tests/749.out | 32 ++ tests/75.bin | Bin 0 -> 33468 bytes tests/75.out | 32 ++ tests/750.bin | Bin 0 -> 33468 bytes tests/750.out | 32 ++ tests/751.bin | Bin 0 -> 33468 bytes tests/751.out | 32 ++ tests/752.bin | Bin 0 -> 33468 bytes tests/752.out | 32 ++ tests/753.bin | Bin 0 -> 33468 bytes tests/753.out | 32 ++ tests/754.bin | Bin 0 -> 33468 bytes tests/754.out | 32 ++ tests/755.bin | Bin 0 -> 33468 bytes tests/755.out | 32 ++ tests/756.bin | Bin 0 -> 33468 bytes tests/756.out | 32 ++ tests/757.bin | Bin 0 -> 33468 bytes tests/757.out | 32 ++ tests/758.bin | Bin 0 -> 33468 bytes tests/758.out | 32 ++ tests/759.bin | Bin 0 -> 33468 bytes tests/759.out | 32 ++ tests/76.bin | Bin 0 -> 33468 bytes tests/76.out | 32 ++ tests/760.bin | Bin 0 -> 33468 bytes tests/760.out | 32 ++ tests/761.bin | Bin 0 -> 33468 bytes tests/761.out | 32 ++ tests/762.bin | Bin 0 -> 33468 bytes tests/762.out | 32 ++ tests/763.bin | Bin 0 -> 33468 bytes tests/763.out | 32 ++ tests/764.bin | Bin 0 -> 33468 bytes tests/764.out | 32 ++ tests/765.bin | Bin 0 -> 33468 bytes tests/765.out | 32 ++ tests/766.bin | Bin 0 -> 33468 bytes tests/766.out | 32 ++ tests/767.bin | Bin 0 -> 33468 bytes tests/767.out | 32 ++ tests/768.bin | Bin 0 -> 33468 bytes tests/768.out | 32 ++ tests/769.bin | Bin 0 -> 33468 bytes tests/769.out | 32 ++ tests/77.bin | Bin 0 -> 33468 bytes tests/77.out | 32 ++ tests/770.bin | Bin 0 -> 33468 bytes tests/770.out | 32 ++ tests/771.bin | Bin 0 -> 33468 bytes tests/771.out | 32 ++ tests/772.bin | Bin 0 -> 33468 bytes tests/772.out | 32 ++ tests/773.bin | Bin 0 -> 33468 bytes tests/773.out | 32 ++ tests/774.bin | Bin 0 -> 33468 bytes tests/774.out | 32 ++ tests/775.bin | Bin 0 -> 33468 bytes tests/775.out | 32 ++ tests/776.bin | Bin 0 -> 33468 bytes tests/776.out | 32 ++ tests/777.bin | Bin 0 -> 33468 bytes tests/777.out | 32 ++ tests/778.bin | Bin 0 -> 33468 bytes tests/778.out | 32 ++ tests/779.bin | Bin 0 -> 33468 bytes tests/779.out | 32 ++ tests/78.bin | Bin 0 -> 33468 bytes tests/78.out | 32 ++ tests/780.bin | Bin 0 -> 33468 bytes tests/780.out | 32 ++ tests/781.bin | Bin 0 -> 33468 bytes tests/781.out | 32 ++ tests/782.bin | Bin 0 -> 33468 bytes tests/782.out | 32 ++ tests/783.bin | Bin 0 -> 33468 bytes tests/783.out | 32 ++ tests/784.bin | Bin 0 -> 33468 bytes tests/784.out | 32 ++ tests/785.bin | Bin 0 -> 33468 bytes tests/785.out | 32 ++ tests/786.bin | Bin 0 -> 33468 bytes tests/786.out | 32 ++ tests/787.bin | Bin 0 -> 33468 bytes tests/787.out | 32 ++ tests/788.bin | Bin 0 -> 33468 bytes tests/788.out | 32 ++ tests/789.bin | Bin 0 -> 33468 bytes tests/789.out | 32 ++ tests/79.bin | Bin 0 -> 33468 bytes tests/79.out | 32 ++ tests/790.bin | Bin 0 -> 33468 bytes tests/790.out | 32 ++ tests/791.bin | Bin 0 -> 33468 bytes tests/791.out | 32 ++ tests/792.bin | Bin 0 -> 33468 bytes tests/792.out | 32 ++ tests/793.bin | Bin 0 -> 33468 bytes tests/793.out | 32 ++ tests/794.bin | Bin 0 -> 33468 bytes tests/794.out | 32 ++ tests/795.bin | Bin 0 -> 33468 bytes tests/795.out | 32 ++ tests/796.bin | Bin 0 -> 33468 bytes tests/796.out | 32 ++ tests/797.bin | Bin 0 -> 33468 bytes tests/797.out | 32 ++ tests/798.bin | Bin 0 -> 33468 bytes tests/798.out | 32 ++ tests/799.bin | Bin 0 -> 33468 bytes tests/799.out | 32 ++ tests/8.bin | Bin 0 -> 33468 bytes tests/8.out | 32 ++ tests/80.bin | Bin 0 -> 33468 bytes tests/80.out | 32 ++ tests/800.bin | Bin 0 -> 33468 bytes tests/800.out | 32 ++ tests/801.bin | Bin 0 -> 33468 bytes tests/801.out | 32 ++ tests/802.bin | Bin 0 -> 33468 bytes tests/802.out | 32 ++ tests/803.bin | Bin 0 -> 33468 bytes tests/803.out | 32 ++ tests/804.bin | Bin 0 -> 33468 bytes tests/804.out | 32 ++ tests/805.bin | Bin 0 -> 33468 bytes tests/805.out | 32 ++ tests/806.bin | Bin 0 -> 33468 bytes tests/806.out | 32 ++ tests/807.bin | Bin 0 -> 33468 bytes tests/807.out | 32 ++ tests/808.bin | Bin 0 -> 33468 bytes tests/808.out | 32 ++ tests/809.bin | Bin 0 -> 33468 bytes tests/809.out | 32 ++ tests/81.bin | Bin 0 -> 33468 bytes tests/81.out | 32 ++ tests/810.bin | Bin 0 -> 33468 bytes tests/810.out | 32 ++ tests/811.bin | Bin 0 -> 33468 bytes tests/811.out | 32 ++ tests/812.bin | Bin 0 -> 33468 bytes tests/812.out | 32 ++ tests/813.bin | Bin 0 -> 33468 bytes tests/813.out | 32 ++ tests/814.bin | Bin 0 -> 33468 bytes tests/814.out | 32 ++ tests/815.bin | Bin 0 -> 33468 bytes tests/815.out | 32 ++ tests/816.bin | Bin 0 -> 33468 bytes tests/816.out | 32 ++ tests/817.bin | Bin 0 -> 33468 bytes tests/817.out | 32 ++ tests/818.bin | Bin 0 -> 33468 bytes tests/818.out | 32 ++ tests/819.bin | Bin 0 -> 33468 bytes tests/819.out | 32 ++ tests/82.bin | Bin 0 -> 33468 bytes tests/82.out | 32 ++ tests/820.bin | Bin 0 -> 33468 bytes tests/820.out | 32 ++ tests/821.bin | Bin 0 -> 33468 bytes tests/821.out | 32 ++ tests/822.bin | Bin 0 -> 33468 bytes tests/822.out | 32 ++ tests/823.bin | Bin 0 -> 33468 bytes tests/823.out | 32 ++ tests/824.bin | Bin 0 -> 33468 bytes tests/824.out | 32 ++ tests/825.bin | Bin 0 -> 33468 bytes tests/825.out | 32 ++ tests/826.bin | Bin 0 -> 33468 bytes tests/826.out | 32 ++ tests/827.bin | Bin 0 -> 33468 bytes tests/827.out | 32 ++ tests/828.bin | Bin 0 -> 33468 bytes tests/828.out | 32 ++ tests/829.bin | Bin 0 -> 33468 bytes tests/829.out | 32 ++ tests/83.bin | Bin 0 -> 33468 bytes tests/83.out | 32 ++ tests/830.bin | Bin 0 -> 33468 bytes tests/830.out | 32 ++ tests/831.bin | Bin 0 -> 33468 bytes tests/831.out | 32 ++ tests/832.bin | Bin 0 -> 33468 bytes tests/832.out | 32 ++ tests/833.bin | Bin 0 -> 33468 bytes tests/833.out | 32 ++ tests/834.bin | Bin 0 -> 33468 bytes tests/834.out | 32 ++ tests/835.bin | Bin 0 -> 33468 bytes tests/835.out | 32 ++ tests/836.bin | Bin 0 -> 33468 bytes tests/836.out | 32 ++ tests/837.bin | Bin 0 -> 33468 bytes tests/837.out | 32 ++ tests/838.bin | Bin 0 -> 33468 bytes tests/838.out | 32 ++ tests/839.bin | Bin 0 -> 33468 bytes tests/839.out | 32 ++ tests/84.bin | Bin 0 -> 33468 bytes tests/84.out | 32 ++ tests/840.bin | Bin 0 -> 33468 bytes tests/840.out | 32 ++ tests/841.bin | Bin 0 -> 33468 bytes tests/841.out | 32 ++ tests/842.bin | Bin 0 -> 33468 bytes tests/842.out | 32 ++ tests/843.bin | Bin 0 -> 33468 bytes tests/843.out | 32 ++ tests/844.bin | Bin 0 -> 33468 bytes tests/844.out | 32 ++ tests/845.bin | Bin 0 -> 33468 bytes tests/845.out | 32 ++ tests/846.bin | Bin 0 -> 33468 bytes tests/846.out | 32 ++ tests/847.bin | Bin 0 -> 33468 bytes tests/847.out | 32 ++ tests/848.bin | Bin 0 -> 33468 bytes tests/848.out | 32 ++ tests/849.bin | Bin 0 -> 33468 bytes tests/849.out | 32 ++ tests/85.bin | Bin 0 -> 33468 bytes tests/85.out | 32 ++ tests/850.bin | Bin 0 -> 33468 bytes tests/850.out | 32 ++ tests/851.bin | Bin 0 -> 33468 bytes tests/851.out | 32 ++ tests/852.bin | Bin 0 -> 33468 bytes tests/852.out | 32 ++ tests/853.bin | Bin 0 -> 33468 bytes tests/853.out | 32 ++ tests/854.bin | Bin 0 -> 33468 bytes tests/854.out | 32 ++ tests/855.bin | Bin 0 -> 33468 bytes tests/855.out | 32 ++ tests/856.bin | Bin 0 -> 33468 bytes tests/856.out | 32 ++ tests/857.bin | Bin 0 -> 33468 bytes tests/857.out | 32 ++ tests/858.bin | Bin 0 -> 33468 bytes tests/858.out | 32 ++ tests/859.bin | Bin 0 -> 33468 bytes tests/859.out | 32 ++ tests/86.bin | Bin 0 -> 33468 bytes tests/86.out | 32 ++ tests/860.bin | Bin 0 -> 33468 bytes tests/860.out | 32 ++ tests/861.bin | Bin 0 -> 33468 bytes tests/861.out | 32 ++ tests/862.bin | Bin 0 -> 33468 bytes tests/862.out | 32 ++ tests/863.bin | Bin 0 -> 33468 bytes tests/863.out | 32 ++ tests/864.bin | Bin 0 -> 33468 bytes tests/864.out | 32 ++ tests/865.bin | Bin 0 -> 33468 bytes tests/865.out | 32 ++ tests/866.bin | Bin 0 -> 33468 bytes tests/866.out | 32 ++ tests/867.bin | Bin 0 -> 33468 bytes tests/867.out | 32 ++ tests/868.bin | Bin 0 -> 33468 bytes tests/868.out | 32 ++ tests/869.bin | Bin 0 -> 33468 bytes tests/869.out | 32 ++ tests/87.bin | Bin 0 -> 33468 bytes tests/87.out | 32 ++ tests/870.bin | Bin 0 -> 33468 bytes tests/870.out | 32 ++ tests/871.bin | Bin 0 -> 33468 bytes tests/871.out | 32 ++ tests/872.bin | Bin 0 -> 33468 bytes tests/872.out | 32 ++ tests/873.bin | Bin 0 -> 33468 bytes tests/873.out | 32 ++ tests/874.bin | Bin 0 -> 33468 bytes tests/874.out | 32 ++ tests/875.bin | Bin 0 -> 33468 bytes tests/875.out | 32 ++ tests/876.bin | Bin 0 -> 33468 bytes tests/876.out | 32 ++ tests/877.bin | Bin 0 -> 33468 bytes tests/877.out | 32 ++ tests/878.bin | Bin 0 -> 33468 bytes tests/878.out | 32 ++ tests/879.bin | Bin 0 -> 33468 bytes tests/879.out | 32 ++ tests/88.bin | Bin 0 -> 33468 bytes tests/88.out | 32 ++ tests/880.bin | Bin 0 -> 33468 bytes tests/880.out | 32 ++ tests/881.bin | Bin 0 -> 33468 bytes tests/881.out | 32 ++ tests/882.bin | Bin 0 -> 33468 bytes tests/882.out | 32 ++ tests/883.bin | Bin 0 -> 33468 bytes tests/883.out | 32 ++ tests/884.bin | Bin 0 -> 33468 bytes tests/884.out | 32 ++ tests/885.bin | Bin 0 -> 33468 bytes tests/885.out | 32 ++ tests/886.bin | Bin 0 -> 33468 bytes tests/886.out | 32 ++ tests/887.bin | Bin 0 -> 33468 bytes tests/887.out | 32 ++ tests/888.bin | Bin 0 -> 33468 bytes tests/888.out | 32 ++ tests/889.bin | Bin 0 -> 33468 bytes tests/889.out | 32 ++ tests/89.bin | Bin 0 -> 33468 bytes tests/89.out | 32 ++ tests/890.bin | Bin 0 -> 33468 bytes tests/890.out | 32 ++ tests/891.bin | Bin 0 -> 33468 bytes tests/891.out | 32 ++ tests/892.bin | Bin 0 -> 33468 bytes tests/892.out | 32 ++ tests/893.bin | Bin 0 -> 33468 bytes tests/893.out | 32 ++ tests/894.bin | Bin 0 -> 33468 bytes tests/894.out | 32 ++ tests/895.bin | Bin 0 -> 33468 bytes tests/895.out | 32 ++ tests/896.bin | Bin 0 -> 33468 bytes tests/896.out | 32 ++ tests/897.bin | Bin 0 -> 33468 bytes tests/897.out | 32 ++ tests/898.bin | Bin 0 -> 33468 bytes tests/898.out | 32 ++ tests/899.bin | Bin 0 -> 33468 bytes tests/899.out | 32 ++ tests/9.bin | Bin 0 -> 33468 bytes tests/9.out | 32 ++ tests/90.bin | Bin 0 -> 33468 bytes tests/90.out | 32 ++ tests/900.bin | Bin 0 -> 33468 bytes tests/900.out | 32 ++ tests/901.bin | Bin 0 -> 33468 bytes tests/901.out | 32 ++ tests/902.bin | Bin 0 -> 33468 bytes tests/902.out | 32 ++ tests/903.bin | Bin 0 -> 33468 bytes tests/903.out | 32 ++ tests/904.bin | Bin 0 -> 33468 bytes tests/904.out | 32 ++ tests/905.bin | Bin 0 -> 33468 bytes tests/905.out | 32 ++ tests/906.bin | Bin 0 -> 33468 bytes tests/906.out | 32 ++ tests/907.bin | Bin 0 -> 33468 bytes tests/907.out | 32 ++ tests/908.bin | Bin 0 -> 33468 bytes tests/908.out | 32 ++ tests/909.bin | Bin 0 -> 33468 bytes tests/909.out | 32 ++ tests/91.bin | Bin 0 -> 33468 bytes tests/91.out | 32 ++ tests/910.bin | Bin 0 -> 33468 bytes tests/910.out | 32 ++ tests/911.bin | Bin 0 -> 33468 bytes tests/911.out | 32 ++ tests/912.bin | Bin 0 -> 33468 bytes tests/912.out | 32 ++ tests/913.bin | Bin 0 -> 33468 bytes tests/913.out | 32 ++ tests/914.bin | Bin 0 -> 33468 bytes tests/914.out | 32 ++ tests/915.bin | Bin 0 -> 33468 bytes tests/915.out | 32 ++ tests/916.bin | Bin 0 -> 33468 bytes tests/916.out | 32 ++ tests/917.bin | Bin 0 -> 33468 bytes tests/917.out | 32 ++ tests/918.bin | Bin 0 -> 33468 bytes tests/918.out | 32 ++ tests/919.bin | Bin 0 -> 33468 bytes tests/919.out | 32 ++ tests/92.bin | Bin 0 -> 33468 bytes tests/92.out | 32 ++ tests/920.bin | Bin 0 -> 33468 bytes tests/920.out | 32 ++ tests/921.bin | Bin 0 -> 33468 bytes tests/921.out | 32 ++ tests/922.bin | Bin 0 -> 33468 bytes tests/922.out | 32 ++ tests/923.bin | Bin 0 -> 33468 bytes tests/923.out | 32 ++ tests/924.bin | Bin 0 -> 33468 bytes tests/924.out | 32 ++ tests/925.bin | Bin 0 -> 33468 bytes tests/925.out | 32 ++ tests/926.bin | Bin 0 -> 33468 bytes tests/926.out | 32 ++ tests/927.bin | Bin 0 -> 33468 bytes tests/927.out | 32 ++ tests/928.bin | Bin 0 -> 33468 bytes tests/928.out | 32 ++ tests/929.bin | Bin 0 -> 33468 bytes tests/929.out | 32 ++ tests/93.bin | Bin 0 -> 33468 bytes tests/93.out | 32 ++ tests/930.bin | Bin 0 -> 33468 bytes tests/930.out | 32 ++ tests/931.bin | Bin 0 -> 33468 bytes tests/931.out | 32 ++ tests/932.bin | Bin 0 -> 33468 bytes tests/932.out | 32 ++ tests/933.bin | Bin 0 -> 33468 bytes tests/933.out | 32 ++ tests/934.bin | Bin 0 -> 33468 bytes tests/934.out | 32 ++ tests/935.bin | Bin 0 -> 33468 bytes tests/935.out | 32 ++ tests/936.bin | Bin 0 -> 33468 bytes tests/936.out | 32 ++ tests/937.bin | Bin 0 -> 33468 bytes tests/937.out | 32 ++ tests/938.bin | Bin 0 -> 33468 bytes tests/938.out | 32 ++ tests/939.bin | Bin 0 -> 33468 bytes tests/939.out | 32 ++ tests/94.bin | Bin 0 -> 33468 bytes tests/94.out | 32 ++ tests/940.bin | Bin 0 -> 33468 bytes tests/940.out | 32 ++ tests/941.bin | Bin 0 -> 33468 bytes tests/941.out | 32 ++ tests/942.bin | Bin 0 -> 33468 bytes tests/942.out | 32 ++ tests/943.bin | Bin 0 -> 33468 bytes tests/943.out | 32 ++ tests/944.bin | Bin 0 -> 33468 bytes tests/944.out | 32 ++ tests/945.bin | Bin 0 -> 33468 bytes tests/945.out | 32 ++ tests/946.bin | Bin 0 -> 33468 bytes tests/946.out | 32 ++ tests/947.bin | Bin 0 -> 33468 bytes tests/947.out | 32 ++ tests/948.bin | Bin 0 -> 33468 bytes tests/948.out | 32 ++ tests/949.bin | Bin 0 -> 33468 bytes tests/949.out | 32 ++ tests/95.bin | Bin 0 -> 33468 bytes tests/95.out | 32 ++ tests/950.bin | Bin 0 -> 33468 bytes tests/950.out | 32 ++ tests/951.bin | Bin 0 -> 33468 bytes tests/951.out | 32 ++ tests/952.bin | Bin 0 -> 33468 bytes tests/952.out | 32 ++ tests/953.bin | Bin 0 -> 33468 bytes tests/953.out | 32 ++ tests/954.bin | Bin 0 -> 33468 bytes tests/954.out | 32 ++ tests/955.bin | Bin 0 -> 33468 bytes tests/955.out | 32 ++ tests/956.bin | Bin 0 -> 33468 bytes tests/956.out | 32 ++ tests/957.bin | Bin 0 -> 33468 bytes tests/957.out | 32 ++ tests/958.bin | Bin 0 -> 33468 bytes tests/958.out | 32 ++ tests/959.bin | Bin 0 -> 33468 bytes tests/959.out | 32 ++ tests/96.bin | Bin 0 -> 33468 bytes tests/96.out | 32 ++ tests/960.bin | Bin 0 -> 33468 bytes tests/960.out | 32 ++ tests/961.bin | Bin 0 -> 33468 bytes tests/961.out | 32 ++ tests/962.bin | Bin 0 -> 33468 bytes tests/962.out | 32 ++ tests/963.bin | Bin 0 -> 33468 bytes tests/963.out | 32 ++ tests/964.bin | Bin 0 -> 33468 bytes tests/964.out | 32 ++ tests/965.bin | Bin 0 -> 33468 bytes tests/965.out | 32 ++ tests/966.bin | Bin 0 -> 33468 bytes tests/966.out | 32 ++ tests/967.bin | Bin 0 -> 33468 bytes tests/967.out | 32 ++ tests/968.bin | Bin 0 -> 33468 bytes tests/968.out | 32 ++ tests/969.bin | Bin 0 -> 33468 bytes tests/969.out | 32 ++ tests/97.bin | Bin 0 -> 33468 bytes tests/97.out | 32 ++ tests/970.bin | Bin 0 -> 33468 bytes tests/970.out | 32 ++ tests/971.bin | Bin 0 -> 33468 bytes tests/971.out | 32 ++ tests/972.bin | Bin 0 -> 33468 bytes tests/972.out | 32 ++ tests/973.bin | Bin 0 -> 33468 bytes tests/973.out | 32 ++ tests/974.bin | Bin 0 -> 33468 bytes tests/974.out | 32 ++ tests/975.bin | Bin 0 -> 33468 bytes tests/975.out | 32 ++ tests/976.bin | Bin 0 -> 33468 bytes tests/976.out | 32 ++ tests/977.bin | Bin 0 -> 33468 bytes tests/977.out | 32 ++ tests/978.bin | Bin 0 -> 33468 bytes tests/978.out | 32 ++ tests/979.bin | Bin 0 -> 33468 bytes tests/979.out | 32 ++ tests/98.bin | Bin 0 -> 33468 bytes tests/98.out | 32 ++ tests/980.bin | Bin 0 -> 33468 bytes tests/980.out | 32 ++ tests/981.bin | Bin 0 -> 33468 bytes tests/981.out | 32 ++ tests/982.bin | Bin 0 -> 33468 bytes tests/982.out | 32 ++ tests/983.bin | Bin 0 -> 33468 bytes tests/983.out | 32 ++ tests/984.bin | Bin 0 -> 33468 bytes tests/984.out | 32 ++ tests/985.bin | Bin 0 -> 33468 bytes tests/985.out | 32 ++ tests/986.bin | Bin 0 -> 33468 bytes tests/986.out | 32 ++ tests/987.bin | Bin 0 -> 33468 bytes tests/987.out | 32 ++ tests/988.bin | Bin 0 -> 33468 bytes tests/988.out | 32 ++ tests/989.bin | Bin 0 -> 33468 bytes tests/989.out | 32 ++ tests/99.bin | Bin 0 -> 33468 bytes tests/99.out | 32 ++ tests/990.bin | Bin 0 -> 33468 bytes tests/990.out | 32 ++ tests/991.bin | Bin 0 -> 33468 bytes tests/991.out | 32 ++ tests/992.bin | Bin 0 -> 33468 bytes tests/992.out | 32 ++ tests/993.bin | Bin 0 -> 33468 bytes tests/993.out | 32 ++ tests/994.bin | Bin 0 -> 33468 bytes tests/994.out | 32 ++ tests/995.bin | Bin 0 -> 33468 bytes tests/995.out | 32 ++ tests/996.bin | Bin 0 -> 33468 bytes tests/996.out | 32 ++ tests/997.bin | Bin 0 -> 33468 bytes tests/997.out | 32 ++ tests/998.bin | Bin 0 -> 33468 bytes tests/998.out | 32 ++ tests/999.bin | Bin 0 -> 33468 bytes tests/999.out | 32 ++ tests/micropython.bin | Bin 0 -> 342312 bytes wishbone_arbiter.vhdl | 57 ++ wishbone_types.vhdl | 27 + writeback.vhdl | 91 +++ 2049 files changed, 38352 insertions(+) create mode 100644 .gitignore create mode 100644 LICENSE create mode 100644 Makefile create mode 100644 README.md create mode 100644 common.vhdl create mode 100644 core.vhdl create mode 100644 core_tb.vhdl create mode 100644 cr_file.vhdl create mode 100644 crhelpers.vhdl create mode 100644 decode1.vhdl create mode 100644 decode2.vhdl create mode 100644 decode_types.vhdl create mode 100644 execute1.vhdl create mode 100644 execute2.vhdl create mode 100644 fetch1.vhdl create mode 100644 fetch2.vhdl create mode 100644 fpga/LICENSE create mode 100644 fpga/nexys-video.xdc create mode 100644 fpga/pp_fifo.vhd create mode 100644 fpga/pp_soc_memory.vhd create mode 100644 fpga/pp_soc_reset.vhd create mode 100644 fpga/pp_soc_uart.vhd create mode 100644 fpga/pp_utilities.vhd create mode 100644 fpga/toplevel.vhd create mode 100644 glibc_random.vhdl create mode 100644 glibc_random_helpers.vhdl create mode 100644 helpers.vhdl create mode 100644 loadstore1.vhdl create mode 100644 loadstore2.vhdl create mode 100644 multiply.vhdl create mode 100644 multiply_tb.vhdl create mode 100644 ppc_fx_insns.vhdl create mode 100644 register_file.vhdl create mode 100755 scripts/dependencies.py create mode 100755 scripts/hash.py create mode 100755 scripts/run_test.sh create mode 100755 scripts/test_micropython.py create mode 100755 scripts/test_micropython_long.py create mode 100644 sim_console.vhdl create mode 100644 sim_console_c.c create mode 100644 simple_ram_behavioural.vhdl create mode 100644 simple_ram_behavioural_helpers.vhdl create mode 100644 simple_ram_behavioural_helpers_c.c create mode 100644 simple_ram_behavioural_tb.bin create mode 100644 simple_ram_behavioural_tb.vhdl create mode 100644 tests/1.bin create mode 100644 tests/1.out create mode 100644 tests/10.bin create mode 100644 tests/10.out create mode 100644 tests/100.bin create mode 100644 tests/100.out create mode 100644 tests/1000.bin create mode 100644 tests/1000.out create mode 100644 tests/101.bin create mode 100644 tests/101.out create mode 100644 tests/102.bin create mode 100644 tests/102.out create mode 100644 tests/103.bin create mode 100644 tests/103.out create mode 100644 tests/104.bin create mode 100644 tests/104.out create mode 100644 tests/105.bin create mode 100644 tests/105.out create mode 100644 tests/106.bin create mode 100644 tests/106.out create mode 100644 tests/107.bin create mode 100644 tests/107.out create mode 100644 tests/108.bin create mode 100644 tests/108.out create mode 100644 tests/109.bin create mode 100644 tests/109.out create mode 100644 tests/11.bin create mode 100644 tests/11.out create mode 100644 tests/110.bin create mode 100644 tests/110.out create mode 100644 tests/111.bin create mode 100644 tests/111.out create mode 100644 tests/112.bin create mode 100644 tests/112.out create mode 100644 tests/113.bin create mode 100644 tests/113.out create mode 100644 tests/114.bin create mode 100644 tests/114.out create mode 100644 tests/115.bin create mode 100644 tests/115.out create mode 100644 tests/116.bin create mode 100644 tests/116.out create mode 100644 tests/117.bin create mode 100644 tests/117.out create mode 100644 tests/118.bin create mode 100644 tests/118.out create mode 100644 tests/119.bin create mode 100644 tests/119.out create mode 100644 tests/12.bin create mode 100644 tests/12.out create mode 100644 tests/120.bin create mode 100644 tests/120.out create mode 100644 tests/121.bin create mode 100644 tests/121.out create mode 100644 tests/122.bin create mode 100644 tests/122.out create mode 100644 tests/123.bin create mode 100644 tests/123.out create mode 100644 tests/124.bin create mode 100644 tests/124.out create mode 100644 tests/125.bin create mode 100644 tests/125.out create mode 100644 tests/126.bin create mode 100644 tests/126.out create mode 100644 tests/127.bin create mode 100644 tests/127.out create mode 100644 tests/128.bin create mode 100644 tests/128.out create mode 100644 tests/129.bin create mode 100644 tests/129.out create mode 100644 tests/13.bin create mode 100644 tests/13.out create mode 100644 tests/130.bin create mode 100644 tests/130.out create mode 100644 tests/131.bin create mode 100644 tests/131.out create mode 100644 tests/132.bin create mode 100644 tests/132.out create mode 100644 tests/133.bin create mode 100644 tests/133.out create mode 100644 tests/134.bin create mode 100644 tests/134.out create mode 100644 tests/135.bin create mode 100644 tests/135.out create mode 100644 tests/136.bin create mode 100644 tests/136.out create mode 100644 tests/137.bin create mode 100644 tests/137.out create mode 100644 tests/138.bin create mode 100644 tests/138.out create mode 100644 tests/139.bin create mode 100644 tests/139.out create mode 100644 tests/14.bin create mode 100644 tests/14.out create mode 100644 tests/140.bin create mode 100644 tests/140.out create mode 100644 tests/141.bin create mode 100644 tests/141.out create mode 100644 tests/142.bin create mode 100644 tests/142.out create mode 100644 tests/143.bin create mode 100644 tests/143.out create mode 100644 tests/144.bin create mode 100644 tests/144.out create mode 100644 tests/145.bin create mode 100644 tests/145.out create mode 100644 tests/146.bin create mode 100644 tests/146.out create mode 100644 tests/147.bin create mode 100644 tests/147.out create mode 100644 tests/148.bin create mode 100644 tests/148.out create mode 100644 tests/149.bin create mode 100644 tests/149.out create mode 100644 tests/15.bin create mode 100644 tests/15.out create mode 100644 tests/150.bin create mode 100644 tests/150.out create mode 100644 tests/151.bin create mode 100644 tests/151.out create mode 100644 tests/152.bin create mode 100644 tests/152.out create mode 100644 tests/153.bin create mode 100644 tests/153.out create mode 100644 tests/154.bin create mode 100644 tests/154.out create mode 100644 tests/155.bin create mode 100644 tests/155.out create mode 100644 tests/156.bin create mode 100644 tests/156.out create mode 100644 tests/157.bin create mode 100644 tests/157.out create mode 100644 tests/158.bin create mode 100644 tests/158.out create mode 100644 tests/159.bin create mode 100644 tests/159.out create mode 100644 tests/16.bin create mode 100644 tests/16.out create mode 100644 tests/160.bin create mode 100644 tests/160.out create mode 100644 tests/161.bin create mode 100644 tests/161.out create mode 100644 tests/162.bin create mode 100644 tests/162.out create mode 100644 tests/163.bin create mode 100644 tests/163.out create mode 100644 tests/164.bin create mode 100644 tests/164.out create mode 100644 tests/165.bin create mode 100644 tests/165.out create mode 100644 tests/166.bin create mode 100644 tests/166.out create mode 100644 tests/167.bin create mode 100644 tests/167.out create mode 100644 tests/168.bin create mode 100644 tests/168.out create mode 100644 tests/169.bin create mode 100644 tests/169.out create mode 100644 tests/17.bin create mode 100644 tests/17.out create mode 100644 tests/170.bin create mode 100644 tests/170.out create mode 100644 tests/171.bin create mode 100644 tests/171.out create mode 100644 tests/172.bin create mode 100644 tests/172.out create mode 100644 tests/173.bin create mode 100644 tests/173.out create mode 100644 tests/174.bin create mode 100644 tests/174.out create mode 100644 tests/175.bin create mode 100644 tests/175.out create mode 100644 tests/176.bin create mode 100644 tests/176.out create mode 100644 tests/177.bin create mode 100644 tests/177.out create mode 100644 tests/178.bin create mode 100644 tests/178.out create mode 100644 tests/179.bin create mode 100644 tests/179.out create mode 100644 tests/18.bin create mode 100644 tests/18.out create mode 100644 tests/180.bin create mode 100644 tests/180.out create mode 100644 tests/181.bin create mode 100644 tests/181.out create mode 100644 tests/182.bin create mode 100644 tests/182.out create mode 100644 tests/183.bin create mode 100644 tests/183.out create mode 100644 tests/184.bin create mode 100644 tests/184.out create mode 100644 tests/185.bin create mode 100644 tests/185.out create mode 100644 tests/186.bin create mode 100644 tests/186.out create mode 100644 tests/187.bin create mode 100644 tests/187.out create mode 100644 tests/188.bin create mode 100644 tests/188.out create mode 100644 tests/189.bin create mode 100644 tests/189.out create mode 100644 tests/19.bin create mode 100644 tests/19.out create mode 100644 tests/190.bin create mode 100644 tests/190.out create mode 100644 tests/191.bin create mode 100644 tests/191.out create mode 100644 tests/192.bin create mode 100644 tests/192.out create mode 100644 tests/193.bin create mode 100644 tests/193.out create mode 100644 tests/194.bin create mode 100644 tests/194.out create mode 100644 tests/195.bin create mode 100644 tests/195.out create mode 100644 tests/196.bin create mode 100644 tests/196.out create mode 100644 tests/197.bin create mode 100644 tests/197.out create mode 100644 tests/198.bin create mode 100644 tests/198.out create mode 100644 tests/199.bin create mode 100644 tests/199.out create mode 100644 tests/2.bin create mode 100644 tests/2.out create mode 100644 tests/20.bin create mode 100644 tests/20.out create mode 100644 tests/200.bin create mode 100644 tests/200.out create mode 100644 tests/201.bin create mode 100644 tests/201.out create mode 100644 tests/202.bin create mode 100644 tests/202.out create mode 100644 tests/203.bin create mode 100644 tests/203.out create mode 100644 tests/204.bin create mode 100644 tests/204.out create mode 100644 tests/205.bin create mode 100644 tests/205.out create mode 100644 tests/206.bin create mode 100644 tests/206.out create mode 100644 tests/207.bin create mode 100644 tests/207.out create mode 100644 tests/208.bin create mode 100644 tests/208.out create mode 100644 tests/209.bin create mode 100644 tests/209.out create mode 100644 tests/21.bin create mode 100644 tests/21.out create mode 100644 tests/210.bin create mode 100644 tests/210.out create mode 100644 tests/211.bin create mode 100644 tests/211.out create mode 100644 tests/212.bin create mode 100644 tests/212.out create mode 100644 tests/213.bin create mode 100644 tests/213.out create mode 100644 tests/214.bin create mode 100644 tests/214.out create mode 100644 tests/215.bin create mode 100644 tests/215.out create mode 100644 tests/216.bin create mode 100644 tests/216.out create mode 100644 tests/217.bin create mode 100644 tests/217.out create mode 100644 tests/218.bin create mode 100644 tests/218.out create mode 100644 tests/219.bin create mode 100644 tests/219.out create mode 100644 tests/22.bin create mode 100644 tests/22.out create mode 100644 tests/220.bin create mode 100644 tests/220.out create mode 100644 tests/221.bin create mode 100644 tests/221.out create mode 100644 tests/222.bin create mode 100644 tests/222.out create mode 100644 tests/223.bin create mode 100644 tests/223.out create mode 100644 tests/224.bin create mode 100644 tests/224.out create mode 100644 tests/225.bin create mode 100644 tests/225.out create mode 100644 tests/226.bin create mode 100644 tests/226.out create mode 100644 tests/227.bin create mode 100644 tests/227.out create mode 100644 tests/228.bin create mode 100644 tests/228.out create mode 100644 tests/229.bin create mode 100644 tests/229.out create mode 100644 tests/23.bin create mode 100644 tests/23.out create mode 100644 tests/230.bin create mode 100644 tests/230.out create mode 100644 tests/231.bin create mode 100644 tests/231.out create mode 100644 tests/232.bin create mode 100644 tests/232.out create mode 100644 tests/233.bin create mode 100644 tests/233.out create mode 100644 tests/234.bin create mode 100644 tests/234.out create mode 100644 tests/235.bin create mode 100644 tests/235.out create mode 100644 tests/236.bin create mode 100644 tests/236.out create mode 100644 tests/237.bin create mode 100644 tests/237.out create mode 100644 tests/238.bin create mode 100644 tests/238.out create mode 100644 tests/239.bin create mode 100644 tests/239.out create mode 100644 tests/24.bin create mode 100644 tests/24.out create mode 100644 tests/240.bin create mode 100644 tests/240.out create mode 100644 tests/241.bin create mode 100644 tests/241.out create mode 100644 tests/242.bin create mode 100644 tests/242.out create mode 100644 tests/243.bin create mode 100644 tests/243.out create mode 100644 tests/244.bin create mode 100644 tests/244.out create mode 100644 tests/245.bin create mode 100644 tests/245.out create mode 100644 tests/246.bin create mode 100644 tests/246.out create mode 100644 tests/247.bin create mode 100644 tests/247.out create mode 100644 tests/248.bin create mode 100644 tests/248.out create mode 100644 tests/249.bin create mode 100644 tests/249.out create mode 100644 tests/25.bin create mode 100644 tests/25.out create mode 100644 tests/250.bin create mode 100644 tests/250.out create mode 100644 tests/251.bin create mode 100644 tests/251.out create mode 100644 tests/252.bin create mode 100644 tests/252.out create mode 100644 tests/253.bin create mode 100644 tests/253.out create mode 100644 tests/254.bin create mode 100644 tests/254.out create mode 100644 tests/255.bin create mode 100644 tests/255.out create mode 100644 tests/256.bin create mode 100644 tests/256.out create mode 100644 tests/257.bin create mode 100644 tests/257.out create mode 100644 tests/258.bin create mode 100644 tests/258.out create mode 100644 tests/259.bin create mode 100644 tests/259.out create mode 100644 tests/26.bin create mode 100644 tests/26.out create mode 100644 tests/260.bin create mode 100644 tests/260.out create mode 100644 tests/261.bin create mode 100644 tests/261.out create mode 100644 tests/262.bin create mode 100644 tests/262.out create mode 100644 tests/263.bin create mode 100644 tests/263.out create mode 100644 tests/264.bin create mode 100644 tests/264.out create mode 100644 tests/265.bin create mode 100644 tests/265.out create mode 100644 tests/266.bin create mode 100644 tests/266.out create mode 100644 tests/267.bin create mode 100644 tests/267.out create mode 100644 tests/268.bin create mode 100644 tests/268.out create mode 100644 tests/269.bin create mode 100644 tests/269.out create mode 100644 tests/27.bin create mode 100644 tests/27.out create mode 100644 tests/270.bin create mode 100644 tests/270.out create mode 100644 tests/271.bin create mode 100644 tests/271.out create mode 100644 tests/272.bin create mode 100644 tests/272.out create mode 100644 tests/273.bin create mode 100644 tests/273.out create mode 100644 tests/274.bin create mode 100644 tests/274.out create mode 100644 tests/275.bin create mode 100644 tests/275.out create mode 100644 tests/276.bin create mode 100644 tests/276.out create mode 100644 tests/277.bin create mode 100644 tests/277.out create mode 100644 tests/278.bin create mode 100644 tests/278.out create mode 100644 tests/279.bin create mode 100644 tests/279.out create mode 100644 tests/28.bin create mode 100644 tests/28.out create mode 100644 tests/280.bin create mode 100644 tests/280.out create mode 100644 tests/281.bin create mode 100644 tests/281.out create mode 100644 tests/282.bin create mode 100644 tests/282.out create mode 100644 tests/283.bin create mode 100644 tests/283.out create mode 100644 tests/284.bin create mode 100644 tests/284.out create mode 100644 tests/285.bin create mode 100644 tests/285.out create mode 100644 tests/286.bin create mode 100644 tests/286.out create mode 100644 tests/287.bin create mode 100644 tests/287.out create mode 100644 tests/288.bin create mode 100644 tests/288.out create mode 100644 tests/289.bin create mode 100644 tests/289.out create mode 100644 tests/29.bin create mode 100644 tests/29.out create mode 100644 tests/290.bin create mode 100644 tests/290.out create mode 100644 tests/291.bin create mode 100644 tests/291.out create mode 100644 tests/292.bin create mode 100644 tests/292.out create mode 100644 tests/293.bin create mode 100644 tests/293.out create mode 100644 tests/294.bin create mode 100644 tests/294.out create mode 100644 tests/295.bin create mode 100644 tests/295.out create mode 100644 tests/296.bin create mode 100644 tests/296.out create mode 100644 tests/297.bin create mode 100644 tests/297.out create mode 100644 tests/298.bin create mode 100644 tests/298.out create mode 100644 tests/299.bin create mode 100644 tests/299.out create mode 100644 tests/3.bin create mode 100644 tests/3.out create mode 100644 tests/30.bin create mode 100644 tests/30.out create mode 100644 tests/300.bin create mode 100644 tests/300.out create mode 100644 tests/301.bin create mode 100644 tests/301.out create mode 100644 tests/302.bin create mode 100644 tests/302.out create mode 100644 tests/303.bin create mode 100644 tests/303.out create mode 100644 tests/304.bin create mode 100644 tests/304.out create mode 100644 tests/305.bin create mode 100644 tests/305.out create mode 100644 tests/306.bin create mode 100644 tests/306.out create mode 100644 tests/307.bin create mode 100644 tests/307.out create mode 100644 tests/308.bin create mode 100644 tests/308.out create mode 100644 tests/309.bin create mode 100644 tests/309.out create mode 100644 tests/31.bin create mode 100644 tests/31.out create mode 100644 tests/310.bin create mode 100644 tests/310.out create mode 100644 tests/311.bin create mode 100644 tests/311.out create mode 100644 tests/312.bin create mode 100644 tests/312.out create mode 100644 tests/313.bin create mode 100644 tests/313.out create mode 100644 tests/314.bin create mode 100644 tests/314.out create mode 100644 tests/315.bin create mode 100644 tests/315.out create mode 100644 tests/316.bin create mode 100644 tests/316.out create mode 100644 tests/317.bin create mode 100644 tests/317.out create mode 100644 tests/318.bin create mode 100644 tests/318.out create mode 100644 tests/319.bin create mode 100644 tests/319.out create mode 100644 tests/32.bin create mode 100644 tests/32.out create mode 100644 tests/320.bin create mode 100644 tests/320.out create mode 100644 tests/321.bin create mode 100644 tests/321.out create mode 100644 tests/322.bin create mode 100644 tests/322.out create mode 100644 tests/323.bin create mode 100644 tests/323.out create mode 100644 tests/324.bin create mode 100644 tests/324.out create mode 100644 tests/325.bin create mode 100644 tests/325.out create mode 100644 tests/326.bin create mode 100644 tests/326.out create mode 100644 tests/327.bin create mode 100644 tests/327.out create mode 100644 tests/328.bin create mode 100644 tests/328.out create mode 100644 tests/329.bin create mode 100644 tests/329.out create mode 100644 tests/33.bin create mode 100644 tests/33.out create mode 100644 tests/330.bin create mode 100644 tests/330.out create mode 100644 tests/331.bin create mode 100644 tests/331.out create mode 100644 tests/332.bin create mode 100644 tests/332.out create mode 100644 tests/333.bin create mode 100644 tests/333.out create mode 100644 tests/334.bin create mode 100644 tests/334.out create mode 100644 tests/335.bin create mode 100644 tests/335.out create mode 100644 tests/336.bin create mode 100644 tests/336.out create mode 100644 tests/337.bin create mode 100644 tests/337.out create mode 100644 tests/338.bin create mode 100644 tests/338.out create mode 100644 tests/339.bin create mode 100644 tests/339.out create mode 100644 tests/34.bin create mode 100644 tests/34.out create mode 100644 tests/340.bin create mode 100644 tests/340.out create mode 100644 tests/341.bin create mode 100644 tests/341.out create mode 100644 tests/342.bin create mode 100644 tests/342.out create mode 100644 tests/343.bin create mode 100644 tests/343.out create mode 100644 tests/344.bin create mode 100644 tests/344.out create mode 100644 tests/345.bin create mode 100644 tests/345.out create mode 100644 tests/346.bin create mode 100644 tests/346.out create mode 100644 tests/347.bin create mode 100644 tests/347.out create mode 100644 tests/348.bin create mode 100644 tests/348.out create mode 100644 tests/349.bin create mode 100644 tests/349.out create mode 100644 tests/35.bin create mode 100644 tests/35.out create mode 100644 tests/350.bin create mode 100644 tests/350.out create mode 100644 tests/351.bin create mode 100644 tests/351.out create mode 100644 tests/352.bin create mode 100644 tests/352.out create mode 100644 tests/353.bin create mode 100644 tests/353.out create mode 100644 tests/354.bin create mode 100644 tests/354.out create mode 100644 tests/355.bin create mode 100644 tests/355.out create mode 100644 tests/356.bin create mode 100644 tests/356.out create mode 100644 tests/357.bin create mode 100644 tests/357.out create mode 100644 tests/358.bin create mode 100644 tests/358.out create mode 100644 tests/359.bin create mode 100644 tests/359.out create mode 100644 tests/36.bin create mode 100644 tests/36.out create mode 100644 tests/360.bin create mode 100644 tests/360.out create mode 100644 tests/361.bin create mode 100644 tests/361.out create mode 100644 tests/362.bin create mode 100644 tests/362.out create mode 100644 tests/363.bin create mode 100644 tests/363.out create mode 100644 tests/364.bin create mode 100644 tests/364.out create mode 100644 tests/365.bin create mode 100644 tests/365.out create mode 100644 tests/366.bin create mode 100644 tests/366.out create mode 100644 tests/367.bin create mode 100644 tests/367.out create mode 100644 tests/368.bin create mode 100644 tests/368.out create mode 100644 tests/369.bin create mode 100644 tests/369.out create mode 100644 tests/37.bin create mode 100644 tests/37.out create mode 100644 tests/370.bin create mode 100644 tests/370.out create mode 100644 tests/371.bin create mode 100644 tests/371.out create mode 100644 tests/372.bin create mode 100644 tests/372.out create mode 100644 tests/373.bin create mode 100644 tests/373.out create mode 100644 tests/374.bin create mode 100644 tests/374.out create mode 100644 tests/375.bin create mode 100644 tests/375.out create mode 100644 tests/376.bin create mode 100644 tests/376.out create mode 100644 tests/377.bin create mode 100644 tests/377.out create mode 100644 tests/378.bin create mode 100644 tests/378.out create mode 100644 tests/379.bin create mode 100644 tests/379.out create mode 100644 tests/38.bin create mode 100644 tests/38.out create mode 100644 tests/380.bin create mode 100644 tests/380.out create mode 100644 tests/381.bin create mode 100644 tests/381.out create mode 100644 tests/382.bin create mode 100644 tests/382.out create mode 100644 tests/383.bin create mode 100644 tests/383.out create mode 100644 tests/384.bin create mode 100644 tests/384.out create mode 100644 tests/385.bin create mode 100644 tests/385.out create mode 100644 tests/386.bin create mode 100644 tests/386.out create mode 100644 tests/387.bin create mode 100644 tests/387.out create mode 100644 tests/388.bin create mode 100644 tests/388.out create mode 100644 tests/389.bin create mode 100644 tests/389.out create mode 100644 tests/39.bin create mode 100644 tests/39.out create mode 100644 tests/390.bin create mode 100644 tests/390.out create mode 100644 tests/391.bin create mode 100644 tests/391.out create mode 100644 tests/392.bin create mode 100644 tests/392.out create mode 100644 tests/393.bin create mode 100644 tests/393.out create mode 100644 tests/394.bin create mode 100644 tests/394.out create mode 100644 tests/395.bin create mode 100644 tests/395.out create mode 100644 tests/396.bin create mode 100644 tests/396.out create mode 100644 tests/397.bin create mode 100644 tests/397.out create mode 100644 tests/398.bin create mode 100644 tests/398.out create mode 100644 tests/399.bin create mode 100644 tests/399.out create mode 100644 tests/4.bin create mode 100644 tests/4.out create mode 100644 tests/40.bin create mode 100644 tests/40.out create mode 100644 tests/400.bin create mode 100644 tests/400.out create mode 100644 tests/401.bin create mode 100644 tests/401.out create mode 100644 tests/402.bin create mode 100644 tests/402.out create mode 100644 tests/403.bin create mode 100644 tests/403.out create mode 100644 tests/404.bin create mode 100644 tests/404.out create mode 100644 tests/405.bin create mode 100644 tests/405.out create mode 100644 tests/406.bin create mode 100644 tests/406.out create mode 100644 tests/407.bin create mode 100644 tests/407.out create mode 100644 tests/408.bin create mode 100644 tests/408.out create mode 100644 tests/409.bin create mode 100644 tests/409.out create mode 100644 tests/41.bin create mode 100644 tests/41.out create mode 100644 tests/410.bin create mode 100644 tests/410.out create mode 100644 tests/411.bin create mode 100644 tests/411.out create mode 100644 tests/412.bin create mode 100644 tests/412.out create mode 100644 tests/413.bin create mode 100644 tests/413.out create mode 100644 tests/414.bin create mode 100644 tests/414.out create mode 100644 tests/415.bin create mode 100644 tests/415.out create mode 100644 tests/416.bin create mode 100644 tests/416.out create mode 100644 tests/417.bin create mode 100644 tests/417.out create mode 100644 tests/418.bin create mode 100644 tests/418.out create mode 100644 tests/419.bin create mode 100644 tests/419.out create mode 100644 tests/42.bin create mode 100644 tests/42.out create mode 100644 tests/420.bin create mode 100644 tests/420.out create mode 100644 tests/421.bin create mode 100644 tests/421.out create mode 100644 tests/422.bin create mode 100644 tests/422.out create mode 100644 tests/423.bin create mode 100644 tests/423.out create mode 100644 tests/424.bin create mode 100644 tests/424.out create mode 100644 tests/425.bin create mode 100644 tests/425.out create mode 100644 tests/426.bin create mode 100644 tests/426.out create mode 100644 tests/427.bin create mode 100644 tests/427.out create mode 100644 tests/428.bin create mode 100644 tests/428.out create mode 100644 tests/429.bin create mode 100644 tests/429.out create mode 100644 tests/43.bin create mode 100644 tests/43.out create mode 100644 tests/430.bin create mode 100644 tests/430.out create mode 100644 tests/431.bin create mode 100644 tests/431.out create mode 100644 tests/432.bin create mode 100644 tests/432.out create mode 100644 tests/433.bin create mode 100644 tests/433.out create mode 100644 tests/434.bin create mode 100644 tests/434.out create mode 100644 tests/435.bin create mode 100644 tests/435.out create mode 100644 tests/436.bin create mode 100644 tests/436.out create mode 100644 tests/437.bin create mode 100644 tests/437.out create mode 100644 tests/438.bin create mode 100644 tests/438.out create mode 100644 tests/439.bin create mode 100644 tests/439.out create mode 100644 tests/44.bin create mode 100644 tests/44.out create mode 100644 tests/440.bin create mode 100644 tests/440.out create mode 100644 tests/441.bin create mode 100644 tests/441.out create mode 100644 tests/442.bin create mode 100644 tests/442.out create mode 100644 tests/443.bin create mode 100644 tests/443.out create mode 100644 tests/444.bin create mode 100644 tests/444.out create mode 100644 tests/445.bin create mode 100644 tests/445.out create mode 100644 tests/446.bin create mode 100644 tests/446.out create mode 100644 tests/447.bin create mode 100644 tests/447.out create mode 100644 tests/448.bin create mode 100644 tests/448.out create mode 100644 tests/449.bin create mode 100644 tests/449.out create mode 100644 tests/45.bin create mode 100644 tests/45.out create mode 100644 tests/450.bin create mode 100644 tests/450.out create mode 100644 tests/451.bin create mode 100644 tests/451.out create mode 100644 tests/452.bin create mode 100644 tests/452.out create mode 100644 tests/453.bin create mode 100644 tests/453.out create mode 100644 tests/454.bin create mode 100644 tests/454.out create mode 100644 tests/455.bin create mode 100644 tests/455.out create mode 100644 tests/456.bin create mode 100644 tests/456.out create mode 100644 tests/457.bin create mode 100644 tests/457.out create mode 100644 tests/458.bin create mode 100644 tests/458.out create mode 100644 tests/459.bin create mode 100644 tests/459.out create mode 100644 tests/46.bin create mode 100644 tests/46.out create mode 100644 tests/460.bin create mode 100644 tests/460.out create mode 100644 tests/461.bin create mode 100644 tests/461.out create mode 100644 tests/462.bin create mode 100644 tests/462.out create mode 100644 tests/463.bin create mode 100644 tests/463.out create mode 100644 tests/464.bin create mode 100644 tests/464.out create mode 100644 tests/465.bin create mode 100644 tests/465.out create mode 100644 tests/466.bin create mode 100644 tests/466.out create mode 100644 tests/467.bin create mode 100644 tests/467.out create mode 100644 tests/468.bin create mode 100644 tests/468.out create mode 100644 tests/469.bin create mode 100644 tests/469.out create mode 100644 tests/47.bin create mode 100644 tests/47.out create mode 100644 tests/470.bin create mode 100644 tests/470.out create mode 100644 tests/471.bin create mode 100644 tests/471.out create mode 100644 tests/472.bin create mode 100644 tests/472.out create mode 100644 tests/473.bin create mode 100644 tests/473.out create mode 100644 tests/474.bin create mode 100644 tests/474.out create mode 100644 tests/475.bin create mode 100644 tests/475.out create mode 100644 tests/476.bin create mode 100644 tests/476.out create mode 100644 tests/477.bin create mode 100644 tests/477.out create mode 100644 tests/478.bin create mode 100644 tests/478.out create mode 100644 tests/479.bin create mode 100644 tests/479.out create mode 100644 tests/48.bin create mode 100644 tests/48.out create mode 100644 tests/480.bin create mode 100644 tests/480.out create mode 100644 tests/481.bin create mode 100644 tests/481.out create mode 100644 tests/482.bin create mode 100644 tests/482.out create mode 100644 tests/483.bin create mode 100644 tests/483.out create mode 100644 tests/484.bin create mode 100644 tests/484.out create mode 100644 tests/485.bin create mode 100644 tests/485.out create mode 100644 tests/486.bin create mode 100644 tests/486.out create mode 100644 tests/487.bin create mode 100644 tests/487.out create mode 100644 tests/488.bin create mode 100644 tests/488.out create mode 100644 tests/489.bin create mode 100644 tests/489.out create mode 100644 tests/49.bin create mode 100644 tests/49.out create mode 100644 tests/490.bin create mode 100644 tests/490.out create mode 100644 tests/491.bin create mode 100644 tests/491.out create mode 100644 tests/492.bin create mode 100644 tests/492.out create mode 100644 tests/493.bin create mode 100644 tests/493.out create mode 100644 tests/494.bin create mode 100644 tests/494.out create mode 100644 tests/495.bin create mode 100644 tests/495.out create mode 100644 tests/496.bin create mode 100644 tests/496.out create mode 100644 tests/497.bin create mode 100644 tests/497.out create mode 100644 tests/498.bin create mode 100644 tests/498.out create mode 100644 tests/499.bin create mode 100644 tests/499.out create mode 100644 tests/5.bin create mode 100644 tests/5.out create mode 100644 tests/50.bin create mode 100644 tests/50.out create mode 100644 tests/500.bin create mode 100644 tests/500.out create mode 100644 tests/501.bin create mode 100644 tests/501.out create mode 100644 tests/502.bin create mode 100644 tests/502.out create mode 100644 tests/503.bin create mode 100644 tests/503.out create mode 100644 tests/504.bin create mode 100644 tests/504.out create mode 100644 tests/505.bin create mode 100644 tests/505.out create mode 100644 tests/506.bin create mode 100644 tests/506.out create mode 100644 tests/507.bin create mode 100644 tests/507.out create mode 100644 tests/508.bin create mode 100644 tests/508.out create mode 100644 tests/509.bin create mode 100644 tests/509.out create mode 100644 tests/51.bin create mode 100644 tests/51.out create mode 100644 tests/510.bin create mode 100644 tests/510.out create mode 100644 tests/511.bin create mode 100644 tests/511.out create mode 100644 tests/512.bin create mode 100644 tests/512.out create mode 100644 tests/513.bin create mode 100644 tests/513.out create mode 100644 tests/514.bin create mode 100644 tests/514.out create mode 100644 tests/515.bin create mode 100644 tests/515.out create mode 100644 tests/516.bin create mode 100644 tests/516.out create mode 100644 tests/517.bin create mode 100644 tests/517.out create mode 100644 tests/518.bin create mode 100644 tests/518.out create mode 100644 tests/519.bin create mode 100644 tests/519.out create mode 100644 tests/52.bin create mode 100644 tests/52.out create mode 100644 tests/520.bin create mode 100644 tests/520.out create mode 100644 tests/521.bin create mode 100644 tests/521.out create mode 100644 tests/522.bin create mode 100644 tests/522.out create mode 100644 tests/523.bin create mode 100644 tests/523.out create mode 100644 tests/524.bin create mode 100644 tests/524.out create mode 100644 tests/525.bin create mode 100644 tests/525.out create mode 100644 tests/526.bin create mode 100644 tests/526.out create mode 100644 tests/527.bin create mode 100644 tests/527.out create mode 100644 tests/528.bin create mode 100644 tests/528.out create mode 100644 tests/529.bin create mode 100644 tests/529.out create mode 100644 tests/53.bin create mode 100644 tests/53.out create mode 100644 tests/530.bin create mode 100644 tests/530.out create mode 100644 tests/531.bin create mode 100644 tests/531.out create mode 100644 tests/532.bin create mode 100644 tests/532.out create mode 100644 tests/533.bin create mode 100644 tests/533.out create mode 100644 tests/534.bin create mode 100644 tests/534.out create mode 100644 tests/535.bin create mode 100644 tests/535.out create mode 100644 tests/536.bin create mode 100644 tests/536.out create mode 100644 tests/537.bin create mode 100644 tests/537.out create mode 100644 tests/538.bin create mode 100644 tests/538.out create mode 100644 tests/539.bin create mode 100644 tests/539.out create mode 100644 tests/54.bin create mode 100644 tests/54.out create mode 100644 tests/540.bin create mode 100644 tests/540.out create mode 100644 tests/541.bin create mode 100644 tests/541.out create mode 100644 tests/542.bin create mode 100644 tests/542.out create mode 100644 tests/543.bin create mode 100644 tests/543.out create mode 100644 tests/544.bin create mode 100644 tests/544.out create mode 100644 tests/545.bin create mode 100644 tests/545.out create mode 100644 tests/546.bin create mode 100644 tests/546.out create mode 100644 tests/547.bin create mode 100644 tests/547.out create mode 100644 tests/548.bin create mode 100644 tests/548.out create mode 100644 tests/549.bin create mode 100644 tests/549.out create mode 100644 tests/55.bin create mode 100644 tests/55.out create mode 100644 tests/550.bin create mode 100644 tests/550.out create mode 100644 tests/551.bin create mode 100644 tests/551.out create mode 100644 tests/552.bin create mode 100644 tests/552.out create mode 100644 tests/553.bin create mode 100644 tests/553.out create mode 100644 tests/554.bin create mode 100644 tests/554.out create mode 100644 tests/555.bin create mode 100644 tests/555.out create mode 100644 tests/556.bin create mode 100644 tests/556.out create mode 100644 tests/557.bin create mode 100644 tests/557.out create mode 100644 tests/558.bin create mode 100644 tests/558.out create mode 100644 tests/559.bin create mode 100644 tests/559.out create mode 100644 tests/56.bin create mode 100644 tests/56.out create mode 100644 tests/560.bin create mode 100644 tests/560.out create mode 100644 tests/561.bin create mode 100644 tests/561.out create mode 100644 tests/562.bin create mode 100644 tests/562.out create mode 100644 tests/563.bin create mode 100644 tests/563.out create mode 100644 tests/564.bin create mode 100644 tests/564.out create mode 100644 tests/565.bin create mode 100644 tests/565.out create mode 100644 tests/566.bin create mode 100644 tests/566.out create mode 100644 tests/567.bin create mode 100644 tests/567.out create mode 100644 tests/568.bin create mode 100644 tests/568.out create mode 100644 tests/569.bin create mode 100644 tests/569.out create mode 100644 tests/57.bin create mode 100644 tests/57.out create mode 100644 tests/570.bin create mode 100644 tests/570.out create mode 100644 tests/571.bin create mode 100644 tests/571.out create mode 100644 tests/572.bin create mode 100644 tests/572.out create mode 100644 tests/573.bin create mode 100644 tests/573.out create mode 100644 tests/574.bin create mode 100644 tests/574.out create mode 100644 tests/575.bin create mode 100644 tests/575.out create mode 100644 tests/576.bin create mode 100644 tests/576.out create mode 100644 tests/577.bin create mode 100644 tests/577.out create mode 100644 tests/578.bin create mode 100644 tests/578.out create mode 100644 tests/579.bin create mode 100644 tests/579.out create mode 100644 tests/58.bin create mode 100644 tests/58.out create mode 100644 tests/580.bin create mode 100644 tests/580.out create mode 100644 tests/581.bin create mode 100644 tests/581.out create mode 100644 tests/582.bin create mode 100644 tests/582.out create mode 100644 tests/583.bin create mode 100644 tests/583.out create mode 100644 tests/584.bin create mode 100644 tests/584.out create mode 100644 tests/585.bin create mode 100644 tests/585.out create mode 100644 tests/586.bin create mode 100644 tests/586.out create mode 100644 tests/587.bin create mode 100644 tests/587.out create mode 100644 tests/588.bin create mode 100644 tests/588.out create mode 100644 tests/589.bin create mode 100644 tests/589.out create mode 100644 tests/59.bin create mode 100644 tests/59.out create mode 100644 tests/590.bin create mode 100644 tests/590.out create mode 100644 tests/591.bin create mode 100644 tests/591.out create mode 100644 tests/592.bin create mode 100644 tests/592.out create mode 100644 tests/593.bin create mode 100644 tests/593.out create mode 100644 tests/594.bin create mode 100644 tests/594.out create mode 100644 tests/595.bin create mode 100644 tests/595.out create mode 100644 tests/596.bin create mode 100644 tests/596.out create mode 100644 tests/597.bin create mode 100644 tests/597.out create mode 100644 tests/598.bin create mode 100644 tests/598.out create mode 100644 tests/599.bin create mode 100644 tests/599.out create mode 100644 tests/6.bin create mode 100644 tests/6.out create mode 100644 tests/60.bin create mode 100644 tests/60.out create mode 100644 tests/600.bin create mode 100644 tests/600.out create mode 100644 tests/601.bin create mode 100644 tests/601.out create mode 100644 tests/602.bin create mode 100644 tests/602.out create mode 100644 tests/603.bin create mode 100644 tests/603.out create mode 100644 tests/604.bin create mode 100644 tests/604.out create mode 100644 tests/605.bin create mode 100644 tests/605.out create mode 100644 tests/606.bin create mode 100644 tests/606.out create mode 100644 tests/607.bin create mode 100644 tests/607.out create mode 100644 tests/608.bin create mode 100644 tests/608.out create mode 100644 tests/609.bin create mode 100644 tests/609.out create mode 100644 tests/61.bin create mode 100644 tests/61.out create mode 100644 tests/610.bin create mode 100644 tests/610.out create mode 100644 tests/611.bin create mode 100644 tests/611.out create mode 100644 tests/612.bin create mode 100644 tests/612.out create mode 100644 tests/613.bin create mode 100644 tests/613.out create mode 100644 tests/614.bin create mode 100644 tests/614.out create mode 100644 tests/615.bin create mode 100644 tests/615.out create mode 100644 tests/616.bin create mode 100644 tests/616.out create mode 100644 tests/617.bin create mode 100644 tests/617.out create mode 100644 tests/618.bin create mode 100644 tests/618.out create mode 100644 tests/619.bin create mode 100644 tests/619.out create mode 100644 tests/62.bin create mode 100644 tests/62.out create mode 100644 tests/620.bin create mode 100644 tests/620.out create mode 100644 tests/621.bin create mode 100644 tests/621.out create mode 100644 tests/622.bin create mode 100644 tests/622.out create mode 100644 tests/623.bin create mode 100644 tests/623.out create mode 100644 tests/624.bin create mode 100644 tests/624.out create mode 100644 tests/625.bin create mode 100644 tests/625.out create mode 100644 tests/626.bin create mode 100644 tests/626.out create mode 100644 tests/627.bin create mode 100644 tests/627.out create mode 100644 tests/628.bin create mode 100644 tests/628.out create mode 100644 tests/629.bin create mode 100644 tests/629.out create mode 100644 tests/63.bin create mode 100644 tests/63.out create mode 100644 tests/630.bin create mode 100644 tests/630.out create mode 100644 tests/631.bin create mode 100644 tests/631.out create mode 100644 tests/632.bin create mode 100644 tests/632.out create mode 100644 tests/633.bin create mode 100644 tests/633.out create mode 100644 tests/634.bin create mode 100644 tests/634.out create mode 100644 tests/635.bin create mode 100644 tests/635.out create mode 100644 tests/636.bin create mode 100644 tests/636.out create mode 100644 tests/637.bin create mode 100644 tests/637.out create mode 100644 tests/638.bin create mode 100644 tests/638.out create mode 100644 tests/639.bin create mode 100644 tests/639.out create mode 100644 tests/64.bin create mode 100644 tests/64.out create mode 100644 tests/640.bin create mode 100644 tests/640.out create mode 100644 tests/641.bin create mode 100644 tests/641.out create mode 100644 tests/642.bin create mode 100644 tests/642.out create mode 100644 tests/643.bin create mode 100644 tests/643.out create mode 100644 tests/644.bin create mode 100644 tests/644.out create mode 100644 tests/645.bin create mode 100644 tests/645.out create mode 100644 tests/646.bin create mode 100644 tests/646.out create mode 100644 tests/647.bin create mode 100644 tests/647.out create mode 100644 tests/648.bin create mode 100644 tests/648.out create mode 100644 tests/649.bin create mode 100644 tests/649.out create mode 100644 tests/65.bin create mode 100644 tests/65.out create mode 100644 tests/650.bin create mode 100644 tests/650.out create mode 100644 tests/651.bin create mode 100644 tests/651.out create mode 100644 tests/652.bin create mode 100644 tests/652.out create mode 100644 tests/653.bin create mode 100644 tests/653.out create mode 100644 tests/654.bin create mode 100644 tests/654.out create mode 100644 tests/655.bin create mode 100644 tests/655.out create mode 100644 tests/656.bin create mode 100644 tests/656.out create mode 100644 tests/657.bin create mode 100644 tests/657.out create mode 100644 tests/658.bin create mode 100644 tests/658.out create mode 100644 tests/659.bin create mode 100644 tests/659.out create mode 100644 tests/66.bin create mode 100644 tests/66.out create mode 100644 tests/660.bin create mode 100644 tests/660.out create mode 100644 tests/661.bin create mode 100644 tests/661.out create mode 100644 tests/662.bin create mode 100644 tests/662.out create mode 100644 tests/663.bin create mode 100644 tests/663.out create mode 100644 tests/664.bin create mode 100644 tests/664.out create mode 100644 tests/665.bin create mode 100644 tests/665.out create mode 100644 tests/666.bin create mode 100644 tests/666.out create mode 100644 tests/667.bin create mode 100644 tests/667.out create mode 100644 tests/668.bin create mode 100644 tests/668.out create mode 100644 tests/669.bin create mode 100644 tests/669.out create mode 100644 tests/67.bin create mode 100644 tests/67.out create mode 100644 tests/670.bin create mode 100644 tests/670.out create mode 100644 tests/671.bin create mode 100644 tests/671.out create mode 100644 tests/672.bin create mode 100644 tests/672.out create mode 100644 tests/673.bin create mode 100644 tests/673.out create mode 100644 tests/674.bin create mode 100644 tests/674.out create mode 100644 tests/675.bin create mode 100644 tests/675.out create mode 100644 tests/676.bin create mode 100644 tests/676.out create mode 100644 tests/677.bin create mode 100644 tests/677.out create mode 100644 tests/678.bin create mode 100644 tests/678.out create mode 100644 tests/679.bin create mode 100644 tests/679.out create mode 100644 tests/68.bin create mode 100644 tests/68.out create mode 100644 tests/680.bin create mode 100644 tests/680.out create mode 100644 tests/681.bin create mode 100644 tests/681.out create mode 100644 tests/682.bin create mode 100644 tests/682.out create mode 100644 tests/683.bin create mode 100644 tests/683.out create mode 100644 tests/684.bin create mode 100644 tests/684.out create mode 100644 tests/685.bin create mode 100644 tests/685.out create mode 100644 tests/686.bin create mode 100644 tests/686.out create mode 100644 tests/687.bin create mode 100644 tests/687.out create mode 100644 tests/688.bin create mode 100644 tests/688.out create mode 100644 tests/689.bin create mode 100644 tests/689.out create mode 100644 tests/69.bin create mode 100644 tests/69.out create mode 100644 tests/690.bin create mode 100644 tests/690.out create mode 100644 tests/691.bin create mode 100644 tests/691.out create mode 100644 tests/692.bin create mode 100644 tests/692.out create mode 100644 tests/693.bin create mode 100644 tests/693.out create mode 100644 tests/694.bin create mode 100644 tests/694.out create mode 100644 tests/695.bin create mode 100644 tests/695.out create mode 100644 tests/696.bin create mode 100644 tests/696.out create mode 100644 tests/697.bin create mode 100644 tests/697.out create mode 100644 tests/698.bin create mode 100644 tests/698.out create mode 100644 tests/699.bin create mode 100644 tests/699.out create mode 100644 tests/7.bin create mode 100644 tests/7.out create mode 100644 tests/70.bin create mode 100644 tests/70.out create mode 100644 tests/700.bin create mode 100644 tests/700.out create mode 100644 tests/701.bin create mode 100644 tests/701.out create mode 100644 tests/702.bin create mode 100644 tests/702.out create mode 100644 tests/703.bin create mode 100644 tests/703.out create mode 100644 tests/704.bin create mode 100644 tests/704.out create mode 100644 tests/705.bin create mode 100644 tests/705.out create mode 100644 tests/706.bin create mode 100644 tests/706.out create mode 100644 tests/707.bin create mode 100644 tests/707.out create mode 100644 tests/708.bin create mode 100644 tests/708.out create mode 100644 tests/709.bin create mode 100644 tests/709.out create mode 100644 tests/71.bin create mode 100644 tests/71.out create mode 100644 tests/710.bin create mode 100644 tests/710.out create mode 100644 tests/711.bin create mode 100644 tests/711.out create mode 100644 tests/712.bin create mode 100644 tests/712.out create mode 100644 tests/713.bin create mode 100644 tests/713.out create mode 100644 tests/714.bin create mode 100644 tests/714.out create mode 100644 tests/715.bin create mode 100644 tests/715.out create mode 100644 tests/716.bin create mode 100644 tests/716.out create mode 100644 tests/717.bin create mode 100644 tests/717.out create mode 100644 tests/718.bin create mode 100644 tests/718.out create mode 100644 tests/719.bin create mode 100644 tests/719.out create mode 100644 tests/72.bin create mode 100644 tests/72.out create mode 100644 tests/720.bin create mode 100644 tests/720.out create mode 100644 tests/721.bin create mode 100644 tests/721.out create mode 100644 tests/722.bin create mode 100644 tests/722.out create mode 100644 tests/723.bin create mode 100644 tests/723.out create mode 100644 tests/724.bin create mode 100644 tests/724.out create mode 100644 tests/725.bin create mode 100644 tests/725.out create mode 100644 tests/726.bin create mode 100644 tests/726.out create mode 100644 tests/727.bin create mode 100644 tests/727.out create mode 100644 tests/728.bin create mode 100644 tests/728.out create mode 100644 tests/729.bin create mode 100644 tests/729.out create mode 100644 tests/73.bin create mode 100644 tests/73.out create mode 100644 tests/730.bin create mode 100644 tests/730.out create mode 100644 tests/731.bin create mode 100644 tests/731.out create mode 100644 tests/732.bin create mode 100644 tests/732.out create mode 100644 tests/733.bin create mode 100644 tests/733.out create mode 100644 tests/734.bin create mode 100644 tests/734.out create mode 100644 tests/735.bin create mode 100644 tests/735.out create mode 100644 tests/736.bin create mode 100644 tests/736.out create mode 100644 tests/737.bin create mode 100644 tests/737.out create mode 100644 tests/738.bin create mode 100644 tests/738.out create mode 100644 tests/739.bin create mode 100644 tests/739.out create mode 100644 tests/74.bin create mode 100644 tests/74.out create mode 100644 tests/740.bin create mode 100644 tests/740.out create mode 100644 tests/741.bin create mode 100644 tests/741.out create mode 100644 tests/742.bin create mode 100644 tests/742.out create mode 100644 tests/743.bin create mode 100644 tests/743.out create mode 100644 tests/744.bin create mode 100644 tests/744.out create mode 100644 tests/745.bin create mode 100644 tests/745.out create mode 100644 tests/746.bin create mode 100644 tests/746.out create mode 100644 tests/747.bin create mode 100644 tests/747.out create mode 100644 tests/748.bin create mode 100644 tests/748.out create mode 100644 tests/749.bin create mode 100644 tests/749.out create mode 100644 tests/75.bin create mode 100644 tests/75.out create mode 100644 tests/750.bin create mode 100644 tests/750.out create mode 100644 tests/751.bin create mode 100644 tests/751.out create mode 100644 tests/752.bin create mode 100644 tests/752.out create mode 100644 tests/753.bin create mode 100644 tests/753.out create mode 100644 tests/754.bin create mode 100644 tests/754.out create mode 100644 tests/755.bin create mode 100644 tests/755.out create mode 100644 tests/756.bin create mode 100644 tests/756.out create mode 100644 tests/757.bin create mode 100644 tests/757.out create mode 100644 tests/758.bin create mode 100644 tests/758.out create mode 100644 tests/759.bin create mode 100644 tests/759.out create mode 100644 tests/76.bin create mode 100644 tests/76.out create mode 100644 tests/760.bin create mode 100644 tests/760.out create mode 100644 tests/761.bin create mode 100644 tests/761.out create mode 100644 tests/762.bin create mode 100644 tests/762.out create mode 100644 tests/763.bin create mode 100644 tests/763.out create mode 100644 tests/764.bin create mode 100644 tests/764.out create mode 100644 tests/765.bin create mode 100644 tests/765.out create mode 100644 tests/766.bin create mode 100644 tests/766.out create mode 100644 tests/767.bin create mode 100644 tests/767.out create mode 100644 tests/768.bin create mode 100644 tests/768.out create mode 100644 tests/769.bin create mode 100644 tests/769.out create mode 100644 tests/77.bin create mode 100644 tests/77.out create mode 100644 tests/770.bin create mode 100644 tests/770.out create mode 100644 tests/771.bin create mode 100644 tests/771.out create mode 100644 tests/772.bin create mode 100644 tests/772.out create mode 100644 tests/773.bin create mode 100644 tests/773.out create mode 100644 tests/774.bin create mode 100644 tests/774.out create mode 100644 tests/775.bin create mode 100644 tests/775.out create mode 100644 tests/776.bin create mode 100644 tests/776.out create mode 100644 tests/777.bin create mode 100644 tests/777.out create mode 100644 tests/778.bin create mode 100644 tests/778.out create mode 100644 tests/779.bin create mode 100644 tests/779.out create mode 100644 tests/78.bin create mode 100644 tests/78.out create mode 100644 tests/780.bin create mode 100644 tests/780.out create mode 100644 tests/781.bin create mode 100644 tests/781.out create mode 100644 tests/782.bin create mode 100644 tests/782.out create mode 100644 tests/783.bin create mode 100644 tests/783.out create mode 100644 tests/784.bin create mode 100644 tests/784.out create mode 100644 tests/785.bin create mode 100644 tests/785.out create mode 100644 tests/786.bin create mode 100644 tests/786.out create mode 100644 tests/787.bin create mode 100644 tests/787.out create mode 100644 tests/788.bin create mode 100644 tests/788.out create mode 100644 tests/789.bin create mode 100644 tests/789.out create mode 100644 tests/79.bin create mode 100644 tests/79.out create mode 100644 tests/790.bin create mode 100644 tests/790.out create mode 100644 tests/791.bin create mode 100644 tests/791.out create mode 100644 tests/792.bin create mode 100644 tests/792.out create mode 100644 tests/793.bin create mode 100644 tests/793.out create mode 100644 tests/794.bin create mode 100644 tests/794.out create mode 100644 tests/795.bin create mode 100644 tests/795.out create mode 100644 tests/796.bin create mode 100644 tests/796.out create mode 100644 tests/797.bin create mode 100644 tests/797.out create mode 100644 tests/798.bin create mode 100644 tests/798.out create mode 100644 tests/799.bin create mode 100644 tests/799.out create mode 100644 tests/8.bin create mode 100644 tests/8.out create mode 100644 tests/80.bin create mode 100644 tests/80.out create mode 100644 tests/800.bin create mode 100644 tests/800.out create mode 100644 tests/801.bin create mode 100644 tests/801.out create mode 100644 tests/802.bin create mode 100644 tests/802.out create mode 100644 tests/803.bin create mode 100644 tests/803.out create mode 100644 tests/804.bin create mode 100644 tests/804.out create mode 100644 tests/805.bin create mode 100644 tests/805.out create mode 100644 tests/806.bin create mode 100644 tests/806.out create mode 100644 tests/807.bin create mode 100644 tests/807.out create mode 100644 tests/808.bin create mode 100644 tests/808.out create mode 100644 tests/809.bin create mode 100644 tests/809.out create mode 100644 tests/81.bin create mode 100644 tests/81.out create mode 100644 tests/810.bin create mode 100644 tests/810.out create mode 100644 tests/811.bin create mode 100644 tests/811.out create mode 100644 tests/812.bin create mode 100644 tests/812.out create mode 100644 tests/813.bin create mode 100644 tests/813.out create mode 100644 tests/814.bin create mode 100644 tests/814.out create mode 100644 tests/815.bin create mode 100644 tests/815.out create mode 100644 tests/816.bin create mode 100644 tests/816.out create mode 100644 tests/817.bin create mode 100644 tests/817.out create mode 100644 tests/818.bin create mode 100644 tests/818.out create mode 100644 tests/819.bin create mode 100644 tests/819.out create mode 100644 tests/82.bin create mode 100644 tests/82.out create mode 100644 tests/820.bin create mode 100644 tests/820.out create mode 100644 tests/821.bin create mode 100644 tests/821.out create mode 100644 tests/822.bin create mode 100644 tests/822.out create mode 100644 tests/823.bin create mode 100644 tests/823.out create mode 100644 tests/824.bin create mode 100644 tests/824.out create mode 100644 tests/825.bin create mode 100644 tests/825.out create mode 100644 tests/826.bin create mode 100644 tests/826.out create mode 100644 tests/827.bin create mode 100644 tests/827.out create mode 100644 tests/828.bin create mode 100644 tests/828.out create mode 100644 tests/829.bin create mode 100644 tests/829.out create mode 100644 tests/83.bin create mode 100644 tests/83.out create mode 100644 tests/830.bin create mode 100644 tests/830.out create mode 100644 tests/831.bin create mode 100644 tests/831.out create mode 100644 tests/832.bin create mode 100644 tests/832.out create mode 100644 tests/833.bin create mode 100644 tests/833.out create mode 100644 tests/834.bin create mode 100644 tests/834.out create mode 100644 tests/835.bin create mode 100644 tests/835.out create mode 100644 tests/836.bin create mode 100644 tests/836.out create mode 100644 tests/837.bin create mode 100644 tests/837.out create mode 100644 tests/838.bin create mode 100644 tests/838.out create mode 100644 tests/839.bin create mode 100644 tests/839.out create mode 100644 tests/84.bin create mode 100644 tests/84.out create mode 100644 tests/840.bin create mode 100644 tests/840.out create mode 100644 tests/841.bin create mode 100644 tests/841.out create mode 100644 tests/842.bin create mode 100644 tests/842.out create mode 100644 tests/843.bin create mode 100644 tests/843.out create mode 100644 tests/844.bin create mode 100644 tests/844.out create mode 100644 tests/845.bin create mode 100644 tests/845.out create mode 100644 tests/846.bin create mode 100644 tests/846.out create mode 100644 tests/847.bin create mode 100644 tests/847.out create mode 100644 tests/848.bin create mode 100644 tests/848.out create mode 100644 tests/849.bin create mode 100644 tests/849.out create mode 100644 tests/85.bin create mode 100644 tests/85.out create mode 100644 tests/850.bin create mode 100644 tests/850.out create mode 100644 tests/851.bin create mode 100644 tests/851.out create mode 100644 tests/852.bin create mode 100644 tests/852.out create mode 100644 tests/853.bin create mode 100644 tests/853.out create mode 100644 tests/854.bin create mode 100644 tests/854.out create mode 100644 tests/855.bin create mode 100644 tests/855.out create mode 100644 tests/856.bin create mode 100644 tests/856.out create mode 100644 tests/857.bin create mode 100644 tests/857.out create mode 100644 tests/858.bin create mode 100644 tests/858.out create mode 100644 tests/859.bin create mode 100644 tests/859.out create mode 100644 tests/86.bin create mode 100644 tests/86.out create mode 100644 tests/860.bin create mode 100644 tests/860.out create mode 100644 tests/861.bin create mode 100644 tests/861.out create mode 100644 tests/862.bin create mode 100644 tests/862.out create mode 100644 tests/863.bin create mode 100644 tests/863.out create mode 100644 tests/864.bin create mode 100644 tests/864.out create mode 100644 tests/865.bin create mode 100644 tests/865.out create mode 100644 tests/866.bin create mode 100644 tests/866.out create mode 100644 tests/867.bin create mode 100644 tests/867.out create mode 100644 tests/868.bin create mode 100644 tests/868.out create mode 100644 tests/869.bin create mode 100644 tests/869.out create mode 100644 tests/87.bin create mode 100644 tests/87.out create mode 100644 tests/870.bin create mode 100644 tests/870.out create mode 100644 tests/871.bin create mode 100644 tests/871.out create mode 100644 tests/872.bin create mode 100644 tests/872.out create mode 100644 tests/873.bin create mode 100644 tests/873.out create mode 100644 tests/874.bin create mode 100644 tests/874.out create mode 100644 tests/875.bin create mode 100644 tests/875.out create mode 100644 tests/876.bin create mode 100644 tests/876.out create mode 100644 tests/877.bin create mode 100644 tests/877.out create mode 100644 tests/878.bin create mode 100644 tests/878.out create mode 100644 tests/879.bin create mode 100644 tests/879.out create mode 100644 tests/88.bin create mode 100644 tests/88.out create mode 100644 tests/880.bin create mode 100644 tests/880.out create mode 100644 tests/881.bin create mode 100644 tests/881.out create mode 100644 tests/882.bin create mode 100644 tests/882.out create mode 100644 tests/883.bin create mode 100644 tests/883.out create mode 100644 tests/884.bin create mode 100644 tests/884.out create mode 100644 tests/885.bin create mode 100644 tests/885.out create mode 100644 tests/886.bin create mode 100644 tests/886.out create mode 100644 tests/887.bin create mode 100644 tests/887.out create mode 100644 tests/888.bin create mode 100644 tests/888.out create mode 100644 tests/889.bin create mode 100644 tests/889.out create mode 100644 tests/89.bin create mode 100644 tests/89.out create mode 100644 tests/890.bin create mode 100644 tests/890.out create mode 100644 tests/891.bin create mode 100644 tests/891.out create mode 100644 tests/892.bin create mode 100644 tests/892.out create mode 100644 tests/893.bin create mode 100644 tests/893.out create mode 100644 tests/894.bin create mode 100644 tests/894.out create mode 100644 tests/895.bin create mode 100644 tests/895.out create mode 100644 tests/896.bin create mode 100644 tests/896.out create mode 100644 tests/897.bin create mode 100644 tests/897.out create mode 100644 tests/898.bin create mode 100644 tests/898.out create mode 100644 tests/899.bin create mode 100644 tests/899.out create mode 100644 tests/9.bin create mode 100644 tests/9.out create mode 100644 tests/90.bin create mode 100644 tests/90.out create mode 100644 tests/900.bin create mode 100644 tests/900.out create mode 100644 tests/901.bin create mode 100644 tests/901.out create mode 100644 tests/902.bin create mode 100644 tests/902.out create mode 100644 tests/903.bin create mode 100644 tests/903.out create mode 100644 tests/904.bin create mode 100644 tests/904.out create mode 100644 tests/905.bin create mode 100644 tests/905.out create mode 100644 tests/906.bin create mode 100644 tests/906.out create mode 100644 tests/907.bin create mode 100644 tests/907.out create mode 100644 tests/908.bin create mode 100644 tests/908.out create mode 100644 tests/909.bin create mode 100644 tests/909.out create mode 100644 tests/91.bin create mode 100644 tests/91.out create mode 100644 tests/910.bin create mode 100644 tests/910.out create mode 100644 tests/911.bin create mode 100644 tests/911.out create mode 100644 tests/912.bin create mode 100644 tests/912.out create mode 100644 tests/913.bin create mode 100644 tests/913.out create mode 100644 tests/914.bin create mode 100644 tests/914.out create mode 100644 tests/915.bin create mode 100644 tests/915.out create mode 100644 tests/916.bin create mode 100644 tests/916.out create mode 100644 tests/917.bin create mode 100644 tests/917.out create mode 100644 tests/918.bin create mode 100644 tests/918.out create mode 100644 tests/919.bin create mode 100644 tests/919.out create mode 100644 tests/92.bin create mode 100644 tests/92.out create mode 100644 tests/920.bin create mode 100644 tests/920.out create mode 100644 tests/921.bin create mode 100644 tests/921.out create mode 100644 tests/922.bin create mode 100644 tests/922.out create mode 100644 tests/923.bin create mode 100644 tests/923.out create mode 100644 tests/924.bin create mode 100644 tests/924.out create mode 100644 tests/925.bin create mode 100644 tests/925.out create mode 100644 tests/926.bin create mode 100644 tests/926.out create mode 100644 tests/927.bin create mode 100644 tests/927.out create mode 100644 tests/928.bin create mode 100644 tests/928.out create mode 100644 tests/929.bin create mode 100644 tests/929.out create mode 100644 tests/93.bin create mode 100644 tests/93.out create mode 100644 tests/930.bin create mode 100644 tests/930.out create mode 100644 tests/931.bin create mode 100644 tests/931.out create mode 100644 tests/932.bin create mode 100644 tests/932.out create mode 100644 tests/933.bin create mode 100644 tests/933.out create mode 100644 tests/934.bin create mode 100644 tests/934.out create mode 100644 tests/935.bin create mode 100644 tests/935.out create mode 100644 tests/936.bin create mode 100644 tests/936.out create mode 100644 tests/937.bin create mode 100644 tests/937.out create mode 100644 tests/938.bin create mode 100644 tests/938.out create mode 100644 tests/939.bin create mode 100644 tests/939.out create mode 100644 tests/94.bin create mode 100644 tests/94.out create mode 100644 tests/940.bin create mode 100644 tests/940.out create mode 100644 tests/941.bin create mode 100644 tests/941.out create mode 100644 tests/942.bin create mode 100644 tests/942.out create mode 100644 tests/943.bin create mode 100644 tests/943.out create mode 100644 tests/944.bin create mode 100644 tests/944.out create mode 100644 tests/945.bin create mode 100644 tests/945.out create mode 100644 tests/946.bin create mode 100644 tests/946.out create mode 100644 tests/947.bin create mode 100644 tests/947.out create mode 100644 tests/948.bin create mode 100644 tests/948.out create mode 100644 tests/949.bin create mode 100644 tests/949.out create mode 100644 tests/95.bin create mode 100644 tests/95.out create mode 100644 tests/950.bin create mode 100644 tests/950.out create mode 100644 tests/951.bin create mode 100644 tests/951.out create mode 100644 tests/952.bin create mode 100644 tests/952.out create mode 100644 tests/953.bin create mode 100644 tests/953.out create mode 100644 tests/954.bin create mode 100644 tests/954.out create mode 100644 tests/955.bin create mode 100644 tests/955.out create mode 100644 tests/956.bin create mode 100644 tests/956.out create mode 100644 tests/957.bin create mode 100644 tests/957.out create mode 100644 tests/958.bin create mode 100644 tests/958.out create mode 100644 tests/959.bin create mode 100644 tests/959.out create mode 100644 tests/96.bin create mode 100644 tests/96.out create mode 100644 tests/960.bin create mode 100644 tests/960.out create mode 100644 tests/961.bin create mode 100644 tests/961.out create mode 100644 tests/962.bin create mode 100644 tests/962.out create mode 100644 tests/963.bin create mode 100644 tests/963.out create mode 100644 tests/964.bin create mode 100644 tests/964.out create mode 100644 tests/965.bin create mode 100644 tests/965.out create mode 100644 tests/966.bin create mode 100644 tests/966.out create mode 100644 tests/967.bin create mode 100644 tests/967.out create mode 100644 tests/968.bin create mode 100644 tests/968.out create mode 100644 tests/969.bin create mode 100644 tests/969.out create mode 100644 tests/97.bin create mode 100644 tests/97.out create mode 100644 tests/970.bin create mode 100644 tests/970.out create mode 100644 tests/971.bin create mode 100644 tests/971.out create mode 100644 tests/972.bin create mode 100644 tests/972.out create mode 100644 tests/973.bin create mode 100644 tests/973.out create mode 100644 tests/974.bin create mode 100644 tests/974.out create mode 100644 tests/975.bin create mode 100644 tests/975.out create mode 100644 tests/976.bin create mode 100644 tests/976.out create mode 100644 tests/977.bin create mode 100644 tests/977.out create mode 100644 tests/978.bin create mode 100644 tests/978.out create mode 100644 tests/979.bin create mode 100644 tests/979.out create mode 100644 tests/98.bin create mode 100644 tests/98.out create mode 100644 tests/980.bin create mode 100644 tests/980.out create mode 100644 tests/981.bin create mode 100644 tests/981.out create mode 100644 tests/982.bin create mode 100644 tests/982.out create mode 100644 tests/983.bin create mode 100644 tests/983.out create mode 100644 tests/984.bin create mode 100644 tests/984.out create mode 100644 tests/985.bin create mode 100644 tests/985.out create mode 100644 tests/986.bin create mode 100644 tests/986.out create mode 100644 tests/987.bin create mode 100644 tests/987.out create mode 100644 tests/988.bin create mode 100644 tests/988.out create mode 100644 tests/989.bin create mode 100644 tests/989.out create mode 100644 tests/99.bin create mode 100644 tests/99.out create mode 100644 tests/990.bin create mode 100644 tests/990.out create mode 100644 tests/991.bin create mode 100644 tests/991.out create mode 100644 tests/992.bin create mode 100644 tests/992.out create mode 100644 tests/993.bin create mode 100644 tests/993.out create mode 100644 tests/994.bin create mode 100644 tests/994.out create mode 100644 tests/995.bin create mode 100644 tests/995.out create mode 100644 tests/996.bin create mode 100644 tests/996.out create mode 100644 tests/997.bin create mode 100644 tests/997.out create mode 100644 tests/998.bin create mode 100644 tests/998.out create mode 100644 tests/999.bin create mode 100644 tests/999.out create mode 100755 tests/micropython.bin create mode 100644 wishbone_arbiter.vhdl create mode 100644 wishbone_types.vhdl create mode 100644 writeback.vhdl diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..e8ba46f --- /dev/null +++ b/.gitignore @@ -0,0 +1,8 @@ +*.o +*~ +*.cf +*.s +core_tb +fetch_tb +loadstore_tb +simple_ram_behavioural_tb diff --git a/LICENSE b/LICENSE new file mode 100644 index 0000000..d7bf7c5 --- /dev/null +++ b/LICENSE @@ -0,0 +1,8 @@ +© IBM Corp. 2019 +This softcore is licensed under and subject to the terms of the CC-BY 4.0 +license (https://creativecommons.org/licenses/by/4.0/legalcode). +Additional rights, including the right to physically implement a softcore +that is compliant with the required sections of the Power ISA +Specification, will be available at no cost via the OpenPOWER Foundation. +This README will be updated with additional information when OpenPOWER's +license is available. diff --git a/Makefile b/Makefile new file mode 100644 index 0000000..6daa193 --- /dev/null +++ b/Makefile @@ -0,0 +1,74 @@ +GHDL=ghdl +GHDLFLAGS=--std=08 +CFLAGS=-O2 + +all = core_tb simple_ram_behavioural_tb +# XXX +# loadstore_tb fetch_tb + +all: $(all) + +%.o : %.vhdl + $(GHDL) -a $(GHDLFLAGS) $< + +common.o: decode_types.o +core_tb.o: common.o wishbone_types.o core.o simple_ram_behavioural.o +core.o: common.o wishbone_types.o fetch1.o fetch2.o decode1.o decode2.o register_file.o cr_file.o execute1.o execute2.o loadstore1.o loadstore2.o multiply.o writeback.o wishbone_arbiter.o +cr_file.o: common.o +crhelpers.o: common.o +decode1.o: common.o decode_types.o +decode2.o: decode_types.o common.o helpers.o +decode_types.o: +execute1.o: decode_types.o common.o helpers.o crhelpers.o ppc_fx_insns.o sim_console.o +execute2.o: common.o crhelpers.o ppc_fx_insns.o +fetch1.o: common.o +fetch2.o: common.o wishbone_types.o +fetch_tb.o: common.o wishbone_types.o fetch.o +glibc_random_helpers.o: +glibc_random.o: glibc_random_helpers.o +helpers.o: +loadstore1.o: common.o +loadstore2.o: common.o helpers.o wishbone_types.o +loadstore_tb.o: common.o simple_ram_types.o simple_ram.o loadstore1.o loadstore2.o +multiply_tb.o: common.o glibc_random.o ppc_fx_insns.o multiply.o +multiply.o: common.o decode_types.o ppc_fx_insns.o crhelpers.o +ppc_fx_insns.o: helpers.o +register_file.o: common.o +sim_console.o: +simple_ram_behavioural_helpers.o: +simple_ram_behavioural_tb.o: wishbone_types.o simple_ram_behavioural.o +simple_ram_behavioural.o: wishbone_types.o simple_ram_behavioural_helpers.o +wishbone_arbiter.o: wishbone_types.o +wishbone_types.o: +writeback.o: common.o + +core_tb: core_tb.o simple_ram_behavioural_helpers_c.o sim_console_c.o + $(GHDL) -e $(GHDLFLAGS) -Wl,simple_ram_behavioural_helpers_c.o -Wl,sim_console_c.o $@ + +fetch_tb: fetch_tb.o + $(GHDL) -e $(GHDLFLAGS) $@ + +loadstore_tb: loadstore_tb.o + $(GHDL) -e $(GHDLFLAGS) $@ + +simple_ram_tb: simple_ram_tb.o + $(GHDL) -e $(GHDLFLAGS) $@ + +simple_ram_behavioural_tb: simple_ram_behavioural_helpers_c.o simple_ram_behavioural_tb.o + $(GHDL) -e $(GHDLFLAGS) -Wl,simple_ram_behavioural_helpers_c.o $@ + +tests = $(sort $(patsubst tests/%.out,%,$(wildcard tests/*.out))) + +check: $(tests) test_micropython test_micropython_long + +$(tests): core_tb + @./scripts/run_test.sh $@ + +test_micropython: + @./scripts/test_micropython.py + +test_micropython_long: + @./scripts/test_micropython_long.py + +clean: + rm -f *.o work-*cf $(all) diff --git a/README.md b/README.md new file mode 100644 index 0000000..04be118 --- /dev/null +++ b/README.md @@ -0,0 +1,58 @@ +# Microwatt + +A tiny Open POWER ISA softcore written in VHDL 2008. It aims to be simple and easy +to understand. + +## Simulation + +- Build micropython. If you aren't building on a ppc64le box you + will need a cross compiler. If it isn't available on your distro + grab the powerpc64le-power8 toolchain from https://toolchains.bootlin.com + +``` +git clone https://github.com/mikey/micropython +cd micropython +git checkout powerpc +cd ports/powerpc +make -j$(nproc) +cd ../../../ +``` + +- Microwatt uses ghdl for simulation. Either install this from your + distro or build it. Next build microwatt: + +``` +git clone https://github.com/antonblanchard/microwatt +cd microwatt +make +``` + +- Link in the micropython image: + +``` +ln -s ../micropython/ports/powerpc/build/firmware.bin simple_ram_behavioural.bin +``` + +- Now run microwatt, sending debug output to /dev/null: + +``` +./core_tb > /dev/null +``` + +## Testing + +- A simple test suite containing random execution test cases and a couple of + micropython test cases can be run with: + +``` +make -j$(nproc) check +``` + +## Issues + +This is functional, but very simple. We still have quite a lot to do: + +- Need to implement a simple non pipelined divide +- There are a few instructions still to be implemented +- Need to add caches and bypassing (in progress) +- Need to add supervisor state (in progress) diff --git a/common.vhdl b/common.vhdl new file mode 100644 index 0000000..a1f3f7a --- /dev/null +++ b/common.vhdl @@ -0,0 +1,196 @@ +library ieee; +use ieee.std_logic_1164.all; + +library work; +use work.decode_types.all; + +package common is + type ctrl_t is record + lr: std_ulogic_vector(63 downto 0); + ctr: std_ulogic_vector(63 downto 0); + tb: std_ulogic_vector(63 downto 0); + carry: std_ulogic; + end record; + + type Fetch1ToFetch2Type is record + valid: std_ulogic; + nia: std_ulogic_vector(63 downto 0); + end record; + + type Fetch2ToDecode1Type is record + valid: std_ulogic; + nia: std_ulogic_vector(63 downto 0); + insn: std_ulogic_vector(31 downto 0); + end record; + constant Fetch2ToDecode1Init : Fetch2ToDecode1Type := (valid => '0', others => (others => '0')); + + type Decode1ToDecode2Type is record + valid: std_ulogic; + nia: std_ulogic_vector(63 downto 0); + insn: std_ulogic_vector(31 downto 0); + decode: decode_rom_t; + end record; + constant Decode1ToDecode2Init : Decode1ToDecode2Type := (valid => '0', decode => decode_rom_init, others => (others => '0')); + + type Decode2ToExecute1Type is record + valid: std_ulogic; + insn_type: insn_type_t; + nia: std_ulogic_vector(63 downto 0); + write_reg: std_ulogic_vector(4 downto 0); + read_reg1: std_ulogic_vector(4 downto 0); + read_reg2: std_ulogic_vector(4 downto 0); + read_data1: std_ulogic_vector(63 downto 0); + read_data2: std_ulogic_vector(63 downto 0); + const1: std_ulogic_vector(23 downto 0); + const2: std_ulogic_vector(6 downto 0); + const3: std_ulogic_vector(6 downto 0); + cr: std_ulogic_vector(31 downto 0); + lr: std_ulogic; + rc: std_ulogic; + input_carry: std_ulogic; + output_carry: std_ulogic; + input_cr: std_ulogic; + output_cr: std_ulogic; + input_cr_data: std_ulogic_vector(31 downto 0); + end record; + constant Decode2ToExecute1Init : Decode2ToExecute1Type := (valid => '0', insn_type => OP_ILLEGAL, lr => '0', rc => '0', input_carry => '0', output_carry => '0', input_cr => '0', output_cr => '0', others => (others => '0')); + + type Decode2ToMultiplyType is record + valid: std_ulogic; + insn_type: insn_type_t; + nia: std_ulogic_vector(63 downto 0); + write_reg: std_ulogic_vector(4 downto 0); + data1: std_ulogic_vector(64 downto 0); + data2: std_ulogic_vector(64 downto 0); + rc: std_ulogic; + end record; + constant Decode2ToMultiplyInit : Decode2ToMultiplyType := (valid => '0', insn_type => OP_ILLEGAL, rc => '0', others => (others => '0')); + + type Decode2ToRegisterFileType is record + read1_reg : std_ulogic_vector(4 downto 0); + read2_reg : std_ulogic_vector(4 downto 0); + read3_reg : std_ulogic_vector(4 downto 0); + end record; + + type RegisterFileToDecode2Type is record + read1_data : std_ulogic_vector(63 downto 0); + read2_data : std_ulogic_vector(63 downto 0); + read3_data : std_ulogic_vector(63 downto 0); + end record; + + type Decode2ToCrFileType is record + read_cr_nr_1 : integer; + read_cr_nr_2 : integer; + end record; + + type CrFileToDecode2Type is record + read_cr_data : std_ulogic_vector(31 downto 0); + read_cr_data_1 : std_ulogic_vector(3 downto 0); + read_cr_data_2 : std_ulogic_vector(3 downto 0); + end record; + + type Execute1ToFetch1Type is record + redirect: std_ulogic; + redirect_nia: std_ulogic_vector(63 downto 0); + end record; + constant Execute1ToFetch1TypeInit : Execute1ToFetch1Type := (redirect => '0', others => (others => '0')); + + type Decode2ToLoadstore1Type is record + valid : std_ulogic; + nia: std_ulogic_vector(63 downto 0); + load : std_ulogic; -- is this a load or store + addr1 : std_ulogic_vector(63 downto 0); + addr2 : std_ulogic_vector(63 downto 0); + data : std_ulogic_vector(63 downto 0); -- data to write, unused for read + write_reg : std_ulogic_vector(4 downto 0); -- read data goes to this register + length : std_ulogic_vector(3 downto 0); + byte_reverse : std_ulogic; + sign_extend : std_ulogic; -- do we need to sign extend? + update : std_ulogic; -- is this an update instruction? + update_reg : std_ulogic_vector(4 downto 0); -- if so, the register to update + end record; + constant Decode2ToLoadstore1Init : Decode2ToLoadstore1Type := (valid => '0', load => '0', byte_reverse => '0', sign_extend => '0', update => '0', others => (others => '0')); + + type Loadstore1ToLoadstore2Type is record + valid : std_ulogic; + load : std_ulogic; + addr : std_ulogic_vector(63 downto 0); + data : std_ulogic_vector(63 downto 0); + write_reg : std_ulogic_vector(4 downto 0); + length : std_ulogic_vector(3 downto 0); + byte_reverse : std_ulogic; + sign_extend : std_ulogic; + update : std_ulogic; + update_reg : std_ulogic_vector(4 downto 0); + end record; + + type Loadstore2ToWritebackType is record + valid : std_ulogic; + write_enable: std_ulogic; + write_reg : std_ulogic_vector(4 downto 0); + write_data : std_ulogic_vector(63 downto 0); + write_enable2: std_ulogic; + write_reg2 : std_ulogic_vector(4 downto 0); + write_data2 : std_ulogic_vector(63 downto 0); + end record; + constant Loadstore2ToWritebackInit : Loadstore2ToWritebackType := (valid => '0', write_enable => '0', write_enable2 => '0', others => (others => '0')); + + type Execute1ToExecute2Type is record + valid: std_ulogic; + write_enable : std_ulogic; + write_reg: std_ulogic_vector(4 downto 0); + write_data: std_ulogic_vector(63 downto 0); + write_cr_enable : std_ulogic; + write_cr_mask : std_ulogic_vector(7 downto 0); + write_cr_data : std_ulogic_vector(31 downto 0); + rc : std_ulogic; + end record; + constant Execute1ToExecute2Init : Execute1ToExecute2Type := (valid => '0', write_enable => '0', write_cr_enable => '0', rc => '0', others => (others => '0')); + + type Execute2ToWritebackType is record + valid: std_ulogic; + write_enable : std_ulogic; + write_reg: std_ulogic_vector(4 downto 0); + write_data: std_ulogic_vector(63 downto 0); + write_cr_enable : std_ulogic; + write_cr_mask : std_ulogic_vector(7 downto 0); + write_cr_data : std_ulogic_vector(31 downto 0); + end record; + constant Execute2ToWritebackInit : Execute2ToWritebackType := (valid => '0', write_enable => '0', write_cr_enable => '0', others => (others => '0')); + + type MultiplyToWritebackType is record + valid: std_ulogic; + + write_reg_enable : std_ulogic; + write_reg_nr: std_ulogic_vector(4 downto 0); + write_reg_data: std_ulogic_vector(63 downto 0); + write_cr_enable: std_ulogic; + write_cr_mask: std_ulogic_vector(7 downto 0); + write_cr_data: std_ulogic_vector(31 downto 0); + end record; + constant MultiplyToWritebackInit : MultiplyToWritebackType := (valid => '0', write_reg_enable => '0', write_cr_enable => '0', others => (others => '0')); + + type WritebackToRegisterFileType is record + write_reg : std_ulogic_vector(4 downto 0); + write_data : std_ulogic_vector(63 downto 0); + write_enable : std_ulogic; + write_reg2 : std_ulogic_vector(4 downto 0); + write_data2 : std_ulogic_vector(63 downto 0); + write_enable2 : std_ulogic; + end record; + constant WritebackToRegisterFileInit : WritebackToRegisterFileType := (write_enable => '0', write_enable2 => '0', others => (others => '0')); + + type WritebackToCrFileType is record + write_cr_enable : std_ulogic; + write_cr_mask : std_ulogic_vector(7 downto 0); + write_cr_data : std_ulogic_vector(31 downto 0); + end record; + constant WritebackToCrFileInit : WritebackToCrFileType := (write_cr_enable => '0', others => (others => '0')); + + -- Would prefer not to expose this outside the register file, but ghdl + -- doesn't support external names + type regfile is array(0 to 32) of std_ulogic_vector(63 downto 0); +end common; + +package body common is +end common; diff --git a/core.vhdl b/core.vhdl new file mode 100644 index 0000000..e183046 --- /dev/null +++ b/core.vhdl @@ -0,0 +1,149 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; +use work.wishbone_types.all; + +entity core is + generic ( + SIM : boolean := false + ); + port ( + clk : in std_logic; + rst : in std_logic; + + wishbone_in : in wishbone_slave_out; + wishbone_out : out wishbone_master_out; + + -- Added for debug, ghdl doesn't support external names unfortunately + registers : out regfile; + terminate_out : out std_ulogic + ); +end core; + +architecture behave of core is + -- fetch signals + signal fetch1_to_fetch2: Fetch1ToFetch2Type; + signal fetch2_to_decode1: Fetch2ToDecode1Type; + + -- decode signals + signal decode1_to_decode2: Decode1ToDecode2Type; + signal decode2_to_execute1: Decode2ToExecute1Type; + + -- register file signals + signal register_file_to_decode2: RegisterFileToDecode2Type; + signal decode2_to_register_file: Decode2ToRegisterFileType; + signal writeback_to_register_file: WritebackToRegisterFileType; + + -- CR file signals + signal decode2_to_cr_file: Decode2ToCrFileType; + signal cr_file_to_decode2: CrFileToDecode2Type; + signal writeback_to_cr_file: WritebackToCrFileType; + + -- execute signals + signal execute1_to_execute2: Execute1ToExecute2Type; + signal execute2_to_writeback: Execute2ToWritebackType; + signal execute1_to_fetch1: Execute1ToFetch1Type; + + -- load store signals + signal decode2_to_loadstore1: Decode2ToLoadstore1Type; + signal loadstore1_to_loadstore2: Loadstore1ToLoadstore2Type; + signal loadstore2_to_writeback: Loadstore2ToWritebackType; + + -- multiply signals + signal decode2_to_multiply: Decode2ToMultiplyType; + signal multiply_to_writeback: MultiplyToWritebackType; + + -- wishbone signals + signal wishbone_data_in : wishbone_slave_out; + signal wishbone_data_out : wishbone_master_out; + signal wishbone_insn_in : wishbone_slave_out; + signal wishbone_insn_out : wishbone_master_out; + + -- local signals + signal fetch_enable: std_ulogic := '0'; + signal complete: std_ulogic; + signal first_fetch: std_ulogic := '0'; + + signal terminate: std_ulogic; +begin + + terminate_out <= terminate; + + fetch1_0: entity work.fetch1 + generic map (RESET_ADDRESS => (others => '0')) + port map (clk => clk, rst => rst, fetch_one_in => fetch_enable, + e_in => execute1_to_fetch1, f_out => fetch1_to_fetch2); + + fetch2_0: entity work.fetch2 + port map (clk => clk, wishbone_in => wishbone_insn_in, + wishbone_out => wishbone_insn_out, f_in => fetch1_to_fetch2, + f_out => fetch2_to_decode1); + + decode1_0: entity work.decode1 + port map (clk => clk, f_in => fetch2_to_decode1, d_out => decode1_to_decode2); + + decode2_0: entity work.decode2 + port map (clk => clk, d_in => decode1_to_decode2, e_out => decode2_to_execute1, + l_out => decode2_to_loadstore1, m_out => decode2_to_multiply, + r_in => register_file_to_decode2, r_out => decode2_to_register_file, + c_in => cr_file_to_decode2, c_out => decode2_to_cr_file); + + register_file_0: entity work.register_file + port map (clk => clk, d_in => decode2_to_register_file, + d_out => register_file_to_decode2, w_in => writeback_to_register_file, + registers_out => registers); + + cr_file_0: entity work.cr_file + port map (clk => clk, d_in => decode2_to_cr_file, d_out => cr_file_to_decode2, + w_in => writeback_to_cr_file); + + execute1_0: entity work.execute1 + generic map (SIM => SIM) + port map (clk => clk, e_in => decode2_to_execute1, f_out => execute1_to_fetch1, + e_out => execute1_to_execute2, terminate_out => terminate); + + execute2_0: entity work.execute2 + port map (clk => clk, e_in => execute1_to_execute2, e_out => execute2_to_writeback); + + loadstore1_0: entity work.loadstore1 + port map (clk => clk, l_in => decode2_to_loadstore1, l_out => loadstore1_to_loadstore2); + + loadstore2_0: entity work.loadstore2 + port map (clk => clk, l_in => loadstore1_to_loadstore2, + w_out => loadstore2_to_writeback, m_in => wishbone_data_in, + m_out => wishbone_data_out); + + multiply_0: entity work.multiply + port map (clk => clk, m_in => decode2_to_multiply, m_out => multiply_to_writeback); + + writeback_0: entity work.writeback + port map (clk => clk, w_in => execute2_to_writeback, l_in => loadstore2_to_writeback, + m_in => multiply_to_writeback, w_out => writeback_to_register_file, + c_out => writeback_to_cr_file, complete_out => complete); + + wishbone_arbiter_0: entity work.wishbone_arbiter + port map (clk => clk, rst => rst, wb1_in => wishbone_data_out, wb1_out => wishbone_data_in, + wb2_in => wishbone_insn_out, wb2_out => wishbone_insn_in, wb_out => wishbone_out, + wb_in => wishbone_in); + + -- Only single issue until we add bypass support + single_issue_0: process(clk) + begin + if (rising_edge(clk)) then + if rst = '1' then + first_fetch <= '1'; + else + if first_fetch = '1' then + fetch_enable <= '1'; + first_fetch <= '0'; + else + fetch_enable <= complete; + end if; + end if; + end if; + end process single_issue_0; + +end behave; diff --git a/core_tb.vhdl b/core_tb.vhdl new file mode 100644 index 0000000..1c463d7 --- /dev/null +++ b/core_tb.vhdl @@ -0,0 +1,57 @@ +library ieee; +use ieee.std_logic_1164.all; + +library work; +use work.common.all; +use work.wishbone_types.all; + +entity core_tb is +end core_tb; + +architecture behave of core_tb is + signal clk, rst: std_logic; + + signal wishbone_in : wishbone_slave_out; + signal wishbone_out : wishbone_master_out; + + signal registers : regfile; + signal terminate : std_ulogic; + + -- testbench signals + constant clk_period : time := 10 ns; +begin + core_0: entity work.core + generic map (SIM => true) + port map (clk => clk, rst => rst, wishbone_in => wishbone_in, + wishbone_out => wishbone_out, registers => registers, terminate_out => terminate); + + simple_ram_0: entity work.simple_ram_behavioural + generic map ( filename => "simple_ram_behavioural.bin", size => 1048576) + port map (clk => clk, rst => rst, wishbone_in => wishbone_out, wishbone_out => wishbone_in); + + clk_process: process + begin + clk <= '0'; + wait for clk_period/2; + clk <= '1'; + wait for clk_period/2; + end process; + + rst_process: process + begin + rst <= '1'; + wait for 10*clk_period; + rst <= '0'; + wait; + end process; + + dump_registers: process(all) + begin + if terminate = '1' then + loop_0: for i in 0 to 31 loop + report "REG " & to_hstring(registers(i)); + end loop loop_0; + assert false report "end of test" severity failure; + end if; + end process; +end; diff --git a/cr_file.vhdl b/cr_file.vhdl new file mode 100644 index 0000000..31c66e2 --- /dev/null +++ b/cr_file.vhdl @@ -0,0 +1,65 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; + +entity cr_file is + port( + clk : in std_logic; + + d_in : in Decode2ToCrFileType; + d_out : out CrFileToDecode2Type; + + w_in : in WritebackToCrFileType + ); +end entity cr_file; + +architecture behaviour of cr_file is + signal crs : std_ulogic_vector(31 downto 0) := (others => '0'); +begin + -- synchronous writes + cr_write_0: process(clk) + variable hi, lo : integer := 0; + begin + if rising_edge(clk) then + if w_in.write_cr_enable = '1' then + report "Writing " & to_hstring(w_in.write_cr_data) & " to CR mask " & to_hstring(w_in.write_cr_mask); + + for i in 0 to 7 loop + if w_in.write_cr_mask(i) = '1' then + lo := i*4; + hi := lo + 3; + crs(hi downto lo) <= w_in.write_cr_data(hi downto lo); + end if; + end loop; + end if; + end if; + end process cr_write_0; + + -- asynchronous reads + cr_read_0: process(all) + variable hi, lo : integer := 0; + begin + --lo := (7-d_in.read_cr_nr_1)*4; + --hi := lo + 3; + + --report "read " & integer'image(d_in.read_cr_nr_1) & " from CR " & to_hstring(crs(hi downto lo)); + --d_out.read_cr_data_1 <= crs(hi downto lo); + + -- Also return the entire CR to make mfcrf easier for now + report "read CR " & to_hstring(crs); + d_out.read_cr_data <= crs; + +-- -- Forward any written data +-- if w_in.write_cr_enable = '1' then +-- if d_in.read_cr_nr_1 = w_in.write_cr_nr then +-- d_out.read_cr_data_1 <= w_in.write_cr_data; +-- end if; +-- if d_in.read_cr_nr_2 = w_in.write_cr_nr then +-- d_out.read_cr_data_2 <= w_in.write_cr_data; +-- end if; +-- end if; + end process cr_read_0; +end architecture behaviour; diff --git a/crhelpers.vhdl b/crhelpers.vhdl new file mode 100644 index 0000000..350fd13 --- /dev/null +++ b/crhelpers.vhdl @@ -0,0 +1,134 @@ +library ieee; +use ieee.std_logic_1164.all; + +library work; +use work.common.all; + +package crhelpers is + function fxm_to_num(fxm: std_ulogic_vector(7 downto 0)) return integer; + function num_to_fxm(num: integer) return std_ulogic_vector; + --function from_crfile(cr: crfile) return std_ulogic_vector; + --function extract_one_crfield(cr: crfile; fxm: std_ulogic_vector(7 downto 0)) return std_ulogic_vector; + --function insert_multiple_crfields(cr_in: crfile; rs: std_ulogic_vector(63 downto 0); fxm: std_ulogic_vector(7 downto 0)) return crfile; + --function insert_one_crfield(cr_in: crfile; rs: std_ulogic_vector(63 downto 0); fxm: std_ulogic_vector(7 downto 0)) return crfile; +end package crhelpers; + +package body crhelpers is + + function fxm_to_num(fxm: std_ulogic_vector(7 downto 0)) return integer is + begin + -- If multiple fields are set (undefined), match existing + -- hardware by returning the first one. + for i in 0 to 7 loop + -- Big endian bit numbering + if fxm(7-i) = '1' then + return i; + end if; + end loop; + + -- If no fields are set (undefined), also match existing + -- hardware by returning cr7. + return 7; + end; + + function num_to_fxm(num: integer) return std_ulogic_vector is + begin + case num is + when 0 => + return "10000000"; + when 1 => + return "01000000"; + when 2 => + return "00100000"; + when 3 => + return "00010000"; + when 4 => + return "00001000"; + when 5 => + return "00000100"; + when 6 => + return "00000010"; + when 7 => + return "00000001"; + when others => + return "00000000"; + end case; + end; + +-- function from_crfile(cr: crfile) return std_ulogic_vector is +-- variable combined_cr : std_ulogic_vector(31 downto 0) := (others => '0'); +-- variable high, low: integer range 0 to 31 := 0; +-- begin +-- for i in 0 to cr'length-1 loop +-- low := 4*(7-i); +-- high := low+3; +-- combined_cr(high downto low) := cr(i); +-- end loop; +-- +-- return combined_cr; +-- end function; +-- +-- function extract_one_crfield(cr: crfile; fxm: std_ulogic_vector(7 downto 0)) return std_ulogic_vector is +-- variable combined_cr : std_ulogic_vector(63 downto 0) := (others => '0'); +-- variable crnum: integer range 0 to 7 := 0; +-- begin +-- crnum := fxm_to_num(fxm); +-- +-- -- Vivado doesn't support non constant vector slice +-- -- low := 4*(7-crnum); +-- -- high := low+3; +-- -- combined_cr(high downto low) := cr(crnum); +-- case_0: case crnum is +-- when 0 => +-- combined_cr(31 downto 28) := cr(0); +-- when 1 => +-- combined_cr(27 downto 24) := cr(1); +-- when 2 => +-- combined_cr(23 downto 20) := cr(2); +-- when 3 => +-- combined_cr(19 downto 16) := cr(3); +-- when 4 => +-- combined_cr(15 downto 12) := cr(4); +-- when 5 => +-- combined_cr(11 downto 8) := cr(5); +-- when 6 => +-- combined_cr(7 downto 4) := cr(6); +-- when 7 => +-- combined_cr(3 downto 0) := cr(7); +-- end case; +-- +-- return combined_cr; +-- end; +-- +-- function insert_multiple_crfields(cr_in: crfile; rs: std_ulogic_vector(63 downto 0); fxm: std_ulogic_vector(7 downto 0)) return crfile is +-- variable cr : crfile; +-- variable combined_cr : std_ulogic_vector(63 downto 0) := (others => '0'); +-- variable high, low: integer range 0 to 31 := 0; +-- begin +-- cr := cr_in; +-- +-- for i in 0 to 7 loop +-- -- BE bit numbering +-- if fxm(7-i) = '1' then +-- low := 4*(7-i); +-- high := low+3; +-- cr(i) := rs(high downto low); +-- end if; +-- end loop; +-- +-- return cr; +-- end; +-- +-- function insert_one_crfield(cr_in: crfile; rs: std_ulogic_vector(63 downto 0); fxm: std_ulogic_vector(7 downto 0)) return crfile is +-- variable cr : crfile; +-- variable crnum: integer range 0 to 7 := 0; +-- variable high, low: integer range 0 to 31 := 0; +-- begin +-- cr := cr_in; +-- crnum := fxm_to_num(fxm); +-- low := 4*(7-crnum); +-- high := low+3; +-- cr(crnum) := rs(high downto low); +-- return cr; +-- end; +end package body crhelpers; diff --git a/decode1.vhdl b/decode1.vhdl new file mode 100644 index 0000000..b3c5a0c --- /dev/null +++ b/decode1.vhdl @@ -0,0 +1,847 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; +use work.decode_types.all; + +entity decode1 is + port ( + clk : in std_ulogic; + + f_in : in Fetch2ToDecode1Type; + d_out : out Decode1ToDecode2Type + ); +end entity decode1; + +architecture behaviour of decode1 is + signal f : Fetch2ToDecode1Type := Fetch2ToDecode1Init; + + type decode_rom_array_t is array(ppc_insn_t) of decode_rom_t; + + -- Note: reformat with column -t -o ' ' + constant decode_rom_array : decode_rom_array_t := ( + -- unit internal in1 in2 in3 out const const const CR CR cry cry ldst ld BR sgn upd mul mul rc lk + -- op 1 2 3 in out in out len ext 32 sgn + PPC_ILLEGAL => (ALU, OP_ILLEGAL, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_ADD => (ALU, OP_ADD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_ADDC => (ALU, OP_ADDC, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_ADDE => (ALU, OP_ADDC, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '1', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + --PPC_ADDEX + PPC_ADDI => (ALU, OP_ADD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_ADDIC => (ALU, OP_ADDC, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_ADDIC_RC => (ALU, OP_ADDC, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', ONE, '0'), + PPC_ADDIS => (ALU, OP_ADD, RA_OR_ZERO, CONST_SI_HI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_ADDME + --PPC_ADDPCIS + PPC_ADDZE => (ALU, OP_ADDC, RA, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '1', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_AND => (ALU, OP_AND, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_ANDC => (ALU, OP_ANDC, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_ANDI_RC => (ALU, OP_AND, RS, CONST_UI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', ONE, '0'), + PPC_ANDIS_RC => (ALU, OP_AND, RS, CONST_UI_HI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', ONE, '0'), + PPC_ATTN => (ALU, OP_ILLEGAL, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_B => (ALU, OP_B, NONE, CONST_LI, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '1'), + --PPC_BA + PPC_BC => (ALU, OP_BC, NONE, CONST_BD, NONE, NONE, BO, BI, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '1'), + --PPC_BCA + PPC_BCCTR => (ALU, OP_BCCTR, NONE, NONE, NONE, NONE, BO, BI, BH, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '1'), + --PPC_BCLA + PPC_BCLR => (ALU, OP_BCLR, NONE, NONE, NONE, NONE, BO, BI, BH, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '1'), + --PPC_BCTAR + --PPC_BPERM + PPC_CMP => (ALU, OP_CMP, RA, RB, NONE, NONE, BF, L, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_CMPB => (ALU, OP_CMPB, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_CMPEQB + PPC_CMPI => (ALU, OP_CMP, RA, CONST_SI, NONE, NONE, BF, L, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_CMPL => (ALU, OP_CMPL, RA, RB, NONE, NONE, BF, L, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_CMPLI => (ALU, OP_CMPL, RA, CONST_UI, NONE, NONE, BF, L, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_CMPRB + PPC_CNTLZD => (ALU, OP_CNTLZD, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_CNTLZW => (ALU, OP_CNTLZW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_CNTTZD => (ALU, OP_CNTTZD, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_CNTTZW => (ALU, OP_CNTTZW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + --PPC_CRAND + --PPC_CRANDC + --PPC_CREQV + --PPC_CRNAND + --PPC_CRNOR + --PPC_CROR + --PPC_CRORC + --PPC_CRXOR + --PPC_DARN + PPC_DCBF => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_DCBST => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_DCBT => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_DCBTST => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_DCBZ + PPC_DIVD => (ALU, OP_DIVD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + --PPC_DIVDE + --PPC_DIVDEU + PPC_DIVDU => (ALU, OP_DIVDU, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_DIVW => (ALU, OP_DIVW, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + --PPC_DIVWE + --PPC_DIVWEU + PPC_DIVWU => (ALU, OP_DIVWU, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_EQV => (ALU, OP_EQV, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_EXTSB => (ALU, OP_EXTSB, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_EXTSH => (ALU, OP_EXTSH, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_EXTSW => (ALU, OP_EXTSW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + --PPC_EXTSWSLI + --PPC_ICBI + PPC_ICBT => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_ISEL => (ALU, OP_ISEL, RA_OR_ZERO, RB, NONE, RT, BC, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_ISYNC => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LBARX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '1', '0', '0', NONE, '0'), + --CONST_LI matches CONST_SI, so reuse it + PPC_LBZ => (LDST, OP_LOAD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LBZU => (LDST, OP_LOAD, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LBZUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LBZX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LD => (LDST, OP_LOAD, RA_OR_ZERO, CONST_DS, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LDARX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '1', '0', '0', NONE, '0'), + PPC_LDBRX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '1', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LDU => (LDST, OP_LOAD, RA, CONST_DS, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LDUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LDX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LHA => (LDST, OP_LOAD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '1', '0', '0', '0', '0', NONE, '0'), + PPC_LHARX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '1', '0', '0', NONE, '0'), + PPC_LHAU => (LDST, OP_LOAD, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '1', '1', '0', '0', '0', NONE, '0'), + PPC_LHAUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '1', '1', '0', '0', '0', NONE, '0'), + PPC_LHAX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '1', '0', '0', '0', '0', NONE, '0'), + PPC_LHBRX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '1', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LHZ => (LDST, OP_LOAD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LHZU => (LDST, OP_LOAD, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LHZUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LHZX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LWA => (LDST, OP_LOAD, RA_OR_ZERO, CONST_DS, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '1', '0', '0', '0', '0', NONE, '0'), + PPC_LWARX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '1', '0', '0', NONE, '0'), + PPC_LWAUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '1', '1', '0', '0', '0', NONE, '0'), + PPC_LWAX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '1', '0', '0', '0', '0', NONE, '0'), + PPC_LWBRX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '1', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LWZ => (LDST, OP_LOAD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LWZU => (LDST, OP_LOAD, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LWZUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LWZX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_MADDHD + --PPC_MADDHDU + --PPC_MADDLD + --PPC_MCRF + --PPC_MCRXR + --PPC_MCRXRX + PPC_MFCR => (ALU, OP_MFCR, NONE, NONE, NONE, RT, NONE, NONE, NONE, '1', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_MFOCRF => (ALU, OP_MFOCRF, NONE, NONE, NONE, RT, FXM, NONE, NONE, '1', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_MFCTR => (ALU, OP_MFCTR, NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_MFLR => (ALU, OP_MFLR, NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_MFTB => (ALU, OP_MFTB, NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_MTCTR => (ALU, OP_MTCTR, RS, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_MTLR => (ALU, OP_MTLR, RS, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_MFSPR + --PPC_MODSD + --PPC_MODSW + --PPC_MODUD + --PPC_MODUW + PPC_MTCRF => (ALU, OP_MTCRF, RS, NONE, NONE, NONE, FXM, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_MTOCRF => (ALU, OP_MTOCRF, RS, NONE, NONE, NONE, FXM, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_MTSPR + PPC_MULHD => (MUL, OP_MUL_H64, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '1', RC, '0'), + PPC_MULHDU => (MUL, OP_MUL_H64, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_MULHW => (MUL, OP_MUL_H32, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '1', '1', RC, '0'), + PPC_MULHWU => (MUL, OP_MUL_H32, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '1', '0', RC, '0'), + PPC_MULLD => (MUL, OP_MUL_L64, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '1', RC, '0'), + PPC_MULLI => (MUL, OP_MUL_L64, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '1', NONE, '0'), + PPC_MULLW => (MUL, OP_MUL_L64, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '1', '1', RC, '0'), + PPC_NAND => (ALU, OP_NAND, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_NEG => (ALU, OP_NEG, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_NOR => (ALU, OP_NOR, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_OR => (ALU, OP_OR, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_ORC => (ALU, OP_ORC, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_ORI => (ALU, OP_OR, RS, CONST_UI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_ORIS => (ALU, OP_OR, RS, CONST_UI_HI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_POPCNTB => (ALU, OP_POPCNTB, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_POPCNTD => (ALU, OP_POPCNTD, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_POPCNTW => (ALU, OP_POPCNTW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_PRTYD => (ALU, OP_PRTYD, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_PRTYW => (ALU, OP_PRTYW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_RLDCL => (ALU, OP_RLDCL, RS, RB, NONE, RA, NONE, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLDCR => (ALU, OP_RLDCR, RS, RB, NONE, RA, NONE, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLDIC => (ALU, OP_RLDIC, RS, NONE, NONE, RA, SH, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLDICL => (ALU, OP_RLDICL, RS, NONE, NONE, RA, SH, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLDICR => (ALU, OP_RLDICR, RS, NONE, NONE, RA, SH, ME, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLDIMI => (ALU, OP_RLDIMI, RA, RS, NONE, RA, SH, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLWIMI => (ALU, OP_RLWIMI, RA, RS, NONE, RA, SH32, MB32, ME32, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLWINM => (ALU, OP_RLWINM, RS, NONE, NONE, RA, SH32, MB32, ME32, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLWNM => (ALU, OP_RLWNM, RS, RB, NONE, RA, NONE, MB32, ME32, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + --PPC_SETB + PPC_SLD => (ALU, OP_SLD, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SLW => (ALU, OP_SLW, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SRAD => (ALU, OP_SRAD, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SRADI => (ALU, OP_SRADI, RS, NONE, NONE, RA, SH, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SRAW => (ALU, OP_SRAW, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SRAWI => (ALU, OP_SRAWI, RS, NONE, NONE, RA, SH, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SRD => (ALU, OP_SRD, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SRW => (ALU, OP_SRW, RS, RB, RS, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_STB => (LDST, OP_STORE, RA_OR_ZERO, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_STBCX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '1', '0', '0', RC, '0'), + PPC_STBU => (LDST, OP_STORE, RA, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '1', '0', '0', '0', RC, '0'), + PPC_STBUX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '1', '0', '0', '0', RC, '0'), + PPC_STBX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_STD => (LDST, OP_STORE, RA_OR_ZERO, CONST_DS, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STDBRX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '1', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STDCX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '1', '0', '0', NONE, '0'), + PPC_STDU => (LDST, OP_STORE, RA, CONST_DS, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_STDUX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_STDX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STH => (LDST, OP_STORE, RA, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STHBRX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '1', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STHCX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '1', '0', '0', NONE, '0'), + PPC_STHU => (LDST, OP_STORE, RA, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_STHUX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_STHX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STW => (LDST, OP_STORE, RA_OR_ZERO, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STWBRX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '1', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STWCX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '1', '0', '0', NONE, '0'), + PPC_STWU => (LDST, OP_STORE, RA, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_STWUX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_STWX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_SUBF => (ALU, OP_SUBF, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SUBFC => (ALU, OP_SUBFC, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SUBFE => (ALU, OP_SUBFC, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '1', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SUBFIC => (ALU, OP_SUBFC, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_SUBFME + PPC_SUBFZE => (ALU, OP_SUBFC, RA, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '1', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SYNC => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_TD + PPC_TDI => (ALU, OP_TDI, RA, CONST_SI, NONE, NONE, TOO, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_TW + --PPC_TWI + PPC_XOR => (ALU, OP_XOR, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_XORI => (ALU, OP_XOR, RS, CONST_UI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_XORIS => (ALU, OP_XOR, RS, CONST_UI_HI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_SIM_READ => (ALU, OP_SIM_READ, NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_SIM_POLL => (ALU, OP_SIM_POLL, NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_SIM_WRITE => (ALU, OP_SIM_WRITE, RS, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_SIM_CONFIG => (ALU, OP_SIM_CONFIG,NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + + others => decode_rom_init + ); + +begin + decode1_0: process(clk) + begin + if rising_edge(clk) then + f <= f_in; + end if; + end process; + + decode1_1: process(all) + variable ppc_insn: ppc_insn_t; + begin + d_out <= Decode1ToDecode2Init; + ppc_insn := PPC_ILLEGAL; + + d_out.valid <= f.valid; + + if f.valid then + d_out.nia <= f.nia; + d_out.insn <= f.insn; + + report "Decode insn " & to_hstring(f.insn); + if std_match(f.insn, "011111---------------0100001010-") then + report "PPC_add"; + ppc_insn := PPC_ADD; + elsif std_match(f.insn, "011111---------------0000001010-") then + report "PPC_addc"; + ppc_insn := PPC_ADDC; + elsif std_match(f.insn, "011111---------------0010001010-") then + report "PPC_adde"; + ppc_insn := PPC_ADDE; + elsif std_match(f.insn, "011111---------------0010101010-") then + report "PPC_addex"; + ppc_insn := PPC_ADDEX; + elsif std_match(f.insn, "001110--------------------------") then + report "PPC_addi"; + ppc_insn := PPC_ADDI; + elsif std_match(f.insn, "001100--------------------------") then + report "PPC_addic"; + ppc_insn := PPC_ADDIC; + elsif std_match(f.insn, "001101--------------------------") then + report "PPC_addic."; + ppc_insn := PPC_ADDIC_RC; + elsif std_match(f.insn, "001111--------------------------") then + report "PPC_addis"; + ppc_insn := PPC_ADDIS; + elsif std_match(f.insn, "011111---------------0011101010-") then + report "PPC_addme"; + ppc_insn := PPC_ADDME; + elsif std_match(f.insn, "010011--------------------00010-") then + report "PPC_addpcis"; + ppc_insn := PPC_ADDPCIS; + elsif std_match(f.insn, "011111---------------0011001010-") then + report "PPC_addze"; + ppc_insn := PPC_ADDZE; + elsif std_match(f.insn, "011111---------------0000011100-") then + report "PPC_and"; + ppc_insn := PPC_AND; + elsif std_match(f.insn, "011111---------------0000111100-") then + report "PPC_andc"; + ppc_insn := PPC_ANDC; + elsif std_match(f.insn, "011100--------------------------") then + report "PPC_andi."; + ppc_insn := PPC_ANDI_RC; + elsif std_match(f.insn, "011101--------------------------") then + report "PPC_andis."; + ppc_insn := PPC_ANDIS_RC; + elsif std_match(f.insn, "000000---------------0100000000-") then + report "PPC_attn"; + ppc_insn := PPC_ATTN; + elsif std_match(f.insn, "010010------------------------0-") then + report "PPC_b"; + ppc_insn := PPC_B; + elsif std_match(f.insn, "010010------------------------1-") then + report "PPC_ba"; + ppc_insn := PPC_BA; + elsif std_match(f.insn, "010000------------------------0-") then + report "PPC_bc"; + ppc_insn := PPC_BC; + elsif std_match(f.insn, "010000------------------------10") then + report "PPC_bca"; + ppc_insn := PPC_BCA; + elsif std_match(f.insn, "010011---------------1000010000-") then + report "PPC_bcctr"; + ppc_insn := PPC_BCCTR; + elsif std_match(f.insn, "010000------------------------11") then + report "PPC_bcla"; + ppc_insn := PPC_BCLA; + elsif std_match(f.insn, "010011---------------0000010000-") then + report "PPC_bclr"; + ppc_insn := PPC_BCLR; + elsif std_match(f.insn, "010011---------------1000110000-") then + report "PPC_bctar"; + ppc_insn := PPC_BCTAR; + elsif std_match(f.insn, "011111---------------0011111100-") then + report "PPC_bperm"; + ppc_insn := PPC_BPERM; + elsif std_match(f.insn, "011111---------------0000000000-") then + report "PPC_cmp"; + ppc_insn := PPC_CMP; + elsif std_match(f.insn, "011111---------------0111111100-") then + report "PPC_cmpb"; + ppc_insn := PPC_CMPB; + elsif std_match(f.insn, "011111---------------0011100000-") then + report "PPC_cmpeqb"; + ppc_insn := PPC_CMPEQB; + elsif std_match(f.insn, "001011--------------------------") then + report "PPC_cmpi"; + ppc_insn := PPC_CMPI; + elsif std_match(f.insn, "011111---------------0000100000-") then + report "PPC_cmpl"; + ppc_insn := PPC_CMPL; + elsif std_match(f.insn, "001010--------------------------") then + report "PPC_cmpli"; + ppc_insn := PPC_CMPLI; + elsif std_match(f.insn, "011111---------------0011000000-") then + report "PPC_cmprb"; + ppc_insn := PPC_CMPRB; + elsif std_match(f.insn, "011111---------------0000111010-") then + report "PPC_cntlzd"; + ppc_insn := PPC_CNTLZD; + elsif std_match(f.insn, "011111---------------0000011010-") then + report "PPC_cntlzw"; + ppc_insn := PPC_CNTLZW; + elsif std_match(f.insn, "011111---------------1000111010-") then + report "PPC_cnttzd"; + ppc_insn := PPC_CNTTZD; + elsif std_match(f.insn, "011111---------------1000011010-") then + report "PPC_cnttzw"; + ppc_insn := PPC_CNTTZW; + elsif std_match(f.insn, "010011---------------0100000001-") then + report "PPC_crand"; + ppc_insn := PPC_CRAND; + elsif std_match(f.insn, "010011---------------0010000001-") then + report "PPC_crandc"; + ppc_insn := PPC_CRANDC; + elsif std_match(f.insn, "010011---------------0100100001-") then + report "PPC_creqv"; + ppc_insn := PPC_CREQV; + elsif std_match(f.insn, "010011---------------0011100001-") then + report "PPC_crnand"; + ppc_insn := PPC_CRNAND; + elsif std_match(f.insn, "010011---------------0000100001-") then + report "PPC_crnor"; + ppc_insn := PPC_CRNOR; + elsif std_match(f.insn, "010011---------------0111000001-") then + report "PPC_cror"; + ppc_insn := PPC_CROR; + elsif std_match(f.insn, "010011---------------0110100001-") then + report "PPC_crorc"; + ppc_insn := PPC_CRORC; + elsif std_match(f.insn, "010011---------------0011000001-") then + report "PPC_crxor"; + ppc_insn := PPC_CRXOR; + elsif std_match(f.insn, "011111---------------1011110011-") then + report "PPC_darn"; + ppc_insn := PPC_DARN; + elsif std_match(f.insn, "011111---------------0001010110-") then + report "PPC_dcbf"; + ppc_insn := PPC_DCBF; + elsif std_match(f.insn, "011111---------------0000110110-") then + report "PPC_dcbst"; + ppc_insn := PPC_DCBST; + elsif std_match(f.insn, "011111---------------0100010110-") then + report "PPC_dcbt"; + ppc_insn := PPC_DCBT; + elsif std_match(f.insn, "011111---------------0011110110-") then + report "PPC_dcbtst"; + ppc_insn := PPC_DCBTST; + elsif std_match(f.insn, "011111---------------1111110110-") then + report "PPC_dcbz"; + ppc_insn := PPC_DCBZ; + elsif std_match(f.insn, "011111---------------0111101001-") then + report "PPC_divd"; + ppc_insn := PPC_DIVD; + elsif std_match(f.insn, "011111---------------0110101001-") then + report "PPC_divde"; + ppc_insn := PPC_DIVDE; + elsif std_match(f.insn, "011111---------------0110001001-") then + report "PPC_divdeu"; + ppc_insn := PPC_DIVDEU; + elsif std_match(f.insn, "011111---------------0111001001-") then + report "PPC_divdu"; + ppc_insn := PPC_DIVDU; + elsif std_match(f.insn, "011111---------------0111101011-") then + report "PPC_divw"; + ppc_insn := PPC_DIVW; + elsif std_match(f.insn, "011111---------------0110101011-") then + report "PPC_divwe"; + ppc_insn := PPC_DIVWE; + elsif std_match(f.insn, "011111---------------0110001011-") then + report "PPC_divweu"; + ppc_insn := PPC_DIVWEU; + elsif std_match(f.insn, "011111---------------0111001011-") then + report "PPC_divwu"; + ppc_insn := PPC_DIVWU; + elsif std_match(f.insn, "011111---------------0100011100-") then + report "PPC_eqv"; + ppc_insn := PPC_EQV; + elsif std_match(f.insn, "011111---------------1110111010-") then + report "PPC_extsb"; + ppc_insn := PPC_EXTSB; + elsif std_match(f.insn, "011111---------------1110011010-") then + report "PPC_extsh"; + ppc_insn := PPC_EXTSH; + elsif std_match(f.insn, "011111---------------1111011010-") then + report "PPC_extsw"; + ppc_insn := PPC_EXTSW; + elsif std_match(f.insn, "011111---------------110111101--") then + report "PPC_extswsli"; + ppc_insn := PPC_EXTSWSLI; + elsif std_match(f.insn, "011111---------------1111010110-") then + report "PPC_icbi"; + ppc_insn := PPC_ICBI; + elsif std_match(f.insn, "011111---------------0000010110-") then + report "PPC_icbt"; + ppc_insn := PPC_ICBT; + elsif std_match(f.insn, "011111--------------------01111-") then + report "PPC_isel"; + ppc_insn := PPC_ISEL; + elsif std_match(f.insn, "010011---------------0010010110-") then + report "PPC_isync"; + ppc_insn := PPC_ISYNC; + elsif std_match(f.insn, "011111---------------0000110100-") then + report "PPC_lbarx"; + ppc_insn := PPC_LBARX; + elsif std_match(f.insn, "100010--------------------------") then + report "PPC_lbz"; + ppc_insn := PPC_LBZ; + elsif std_match(f.insn, "100011--------------------------") then + report "PPC_lbzu"; + ppc_insn := PPC_LBZU; + elsif std_match(f.insn, "011111---------------0001110111-") then + report "PPC_lbzux"; + ppc_insn := PPC_LBZUX; + elsif std_match(f.insn, "011111---------------0001010111-") then + report "PPC_lbzx"; + ppc_insn := PPC_LBZX; + elsif std_match(f.insn, "111010------------------------00") then + report "PPC_ld"; + ppc_insn := PPC_LD; + elsif std_match(f.insn, "011111---------------0001010100-") then + report "PPC_ldarx"; + ppc_insn := PPC_LDARX; + elsif std_match(f.insn, "011111---------------1000010100-") then + report "PPC_ldbrx"; + ppc_insn := PPC_LDBRX; + elsif std_match(f.insn, "111010------------------------01") then + report "PPC_ldu"; + ppc_insn := PPC_LDU; + elsif std_match(f.insn, "011111---------------0000110101-") then + report "PPC_ldux"; + ppc_insn := PPC_LDUX; + elsif std_match(f.insn, "011111---------------0000010101-") then + report "PPC_ldx"; + ppc_insn := PPC_LDX; + elsif std_match(f.insn, "101010--------------------------") then + report "PPC_lha"; + ppc_insn := PPC_LHA; + elsif std_match(f.insn, "011111---------------0001110100-") then + report "PPC_lharx"; + ppc_insn := PPC_LHARX; + elsif std_match(f.insn, "101011--------------------------") then + report "PPC_lhau"; + ppc_insn := PPC_LHAU; + elsif std_match(f.insn, "011111---------------0101110111-") then + report "PPC_lhaux"; + ppc_insn := PPC_LHAUX; + elsif std_match(f.insn, "011111---------------0101010111-") then + report "PPC_lhax"; + ppc_insn := PPC_LHAX; + elsif std_match(f.insn, "011111---------------1100010110-") then + report "PPC_lhbrx"; + ppc_insn := PPC_LHBRX; + elsif std_match(f.insn, "101000--------------------------") then + report "PPC_lhz"; + ppc_insn := PPC_LHZ; + elsif std_match(f.insn, "101001--------------------------") then + report "PPC_lhzu"; + ppc_insn := PPC_LHZU; + elsif std_match(f.insn, "011111---------------0100110111-") then + report "PPC_lhzux"; + ppc_insn := PPC_LHZUX; + elsif std_match(f.insn, "011111---------------0100010111-") then + report "PPC_lhzx"; + ppc_insn := PPC_LHZX; + elsif std_match(f.insn, "111010------------------------10") then + report "PPC_lwa"; + ppc_insn := PPC_LWA; + elsif std_match(f.insn, "011111---------------0000010100-") then + report "PPC_lwarx"; + ppc_insn := PPC_LWARX; + elsif std_match(f.insn, "011111---------------0101110101-") then + report "PPC_lwaux"; + ppc_insn := PPC_LWAUX; + elsif std_match(f.insn, "011111---------------0101010101-") then + report "PPC_lwax"; + ppc_insn := PPC_LWAX; + elsif std_match(f.insn, "011111---------------1000010110-") then + report "PPC_lwbrx"; + ppc_insn := PPC_LWBRX; + elsif std_match(f.insn, "100000--------------------------") then + report "PPC_lwz"; + ppc_insn := PPC_LWZ; + elsif std_match(f.insn, "100001--------------------------") then + report "PPC_lwzu"; + ppc_insn := PPC_LWZU; + elsif std_match(f.insn, "011111---------------0000110111-") then + report "PPC_lwzux"; + ppc_insn := PPC_LWZUX; + elsif std_match(f.insn, "011111---------------0000010111-") then + report "PPC_lwzx"; + ppc_insn := PPC_LWZX; + elsif std_match(f.insn, "000100--------------------110000") then + report "PPC_maddhd"; + ppc_insn := PPC_MADDHD; + elsif std_match(f.insn, "000100--------------------110001") then + report "PPC_maddhdu"; + ppc_insn := PPC_MADDHDU; + elsif std_match(f.insn, "000100--------------------110011") then + report "PPC_maddld"; + ppc_insn := PPC_MADDLD; + elsif std_match(f.insn, "010011---------------0000000000-") then + report "PPC_mcrf"; + ppc_insn := PPC_MCRF; + elsif std_match(f.insn, "011111---------------1000000000-") then + report "PPC_mcrxr"; + ppc_insn := PPC_MCRXR; + elsif std_match(f.insn, "011111---------------1001000000-") then + report "PPC_mcrxrx"; + ppc_insn := PPC_MCRXRX; + elsif std_match(f.insn, "011111-----0---------0000010011-") then + report "PPC_mfcr"; + ppc_insn := PPC_MFCR; + elsif std_match(f.insn, "011111-----1---------0000010011-") then + report "PPC_mfocrf"; + ppc_insn := PPC_MFOCRF; + -- Specific MF/MT SPR encodings first + elsif std_match(f.insn, "011111-----01001000000101010011-") then + report "PPC_mfctr"; + ppc_insn := PPC_MFCTR; + elsif std_match(f.insn, "011111-----01000000000101010011-") then + report "PPC_mflr"; + ppc_insn := PPC_MFLR; + elsif std_match(f.insn, "011111-----01100010000101010011-") then + report "PPC_mftb"; + ppc_insn := PPC_MFTB; + elsif std_match(f.insn, "011111-----01001000000111010011-") then + report "PPC_mtctr"; + ppc_insn := PPC_MTCTR; + elsif std_match(f.insn, "011111-----01000000000111010011-") then + report "PPC_mtlr"; + ppc_insn := PPC_MTLR; + elsif std_match(f.insn, "011111---------------0101010011-") then + report "PPC_mfspr"; + ppc_insn := PPC_MFSPR; + elsif std_match(f.insn, "011111---------------1100001001-") then + report "PPC_modsd"; + ppc_insn := PPC_MODSD; + elsif std_match(f.insn, "011111---------------1100001011-") then + report "PPC_modsw"; + ppc_insn := PPC_MODSW; + elsif std_match(f.insn, "011111---------------0100001001-") then + report "PPC_modud"; + ppc_insn := PPC_MODUD; + elsif std_match(f.insn, "011111---------------0100001011-") then + report "PPC_moduw"; + ppc_insn := PPC_MODUW; + elsif std_match(f.insn, "011111-----0---------0010010000-") then + report "PPC_mtcrf"; + ppc_insn := PPC_MTCRF; + elsif std_match(f.insn, "011111-----1---------0010010000-") then + report "PPC_mtocrf"; + ppc_insn := PPC_MTOCRF; + elsif std_match(f.insn, "011111---------------0111010011-") then + report "PPC_mtspr"; + ppc_insn := PPC_MTSPR; + elsif std_match(f.insn, "011111----------------001001001-") then + report "PPC_mulhd"; + ppc_insn := PPC_MULHD; + elsif std_match(f.insn, "011111----------------000001001-") then + report "PPC_mulhdu"; + ppc_insn := PPC_MULHDU; + elsif std_match(f.insn, "011111----------------001001011-") then + report "PPC_mulhw"; + ppc_insn := PPC_MULHW; + elsif std_match(f.insn, "011111----------------000001011-") then + report "PPC_mulhwu"; + ppc_insn := PPC_MULHWU; + elsif std_match(f.insn, "011111---------------0011101001-") then + report "PPC_mulld"; + ppc_insn := PPC_MULLD; + elsif std_match(f.insn, "000111--------------------------") then + report "PPC_mulli"; + ppc_insn := PPC_MULLI; + elsif std_match(f.insn, "011111---------------0011101011-") then + report "PPC_mullw"; + ppc_insn := PPC_MULLW; + elsif std_match(f.insn, "011111---------------0111011100-") then + report "PPC_nand"; + ppc_insn := PPC_NAND; + elsif std_match(f.insn, "011111---------------0001101000-") then + report "PPC_neg"; + ppc_insn := PPC_NEG; + elsif std_match(f.insn, "011111---------------0001111100-") then + report "PPC_nor"; + ppc_insn := PPC_NOR; + elsif std_match(f.insn, "011111---------------0110111100-") then + report "PPC_or"; + ppc_insn := PPC_OR; + elsif std_match(f.insn, "011111---------------0110011100-") then + report "PPC_orc"; + ppc_insn := PPC_ORC; + elsif std_match(f.insn, "011000--------------------------") then + report "PPC_ori"; + ppc_insn := PPC_ORI; + elsif std_match(f.insn, "011001--------------------------") then + report "PPC_oris"; + ppc_insn := PPC_ORIS; + elsif std_match(f.insn, "011111---------------0001111010-") then + report "PPC_popcntb"; + ppc_insn := PPC_POPCNTB; + elsif std_match(f.insn, "011111---------------0111111010-") then + report "PPC_popcntd"; + ppc_insn := PPC_POPCNTD; + elsif std_match(f.insn, "011111---------------0101111010-") then + report "PPC_popcntw"; + ppc_insn := PPC_POPCNTW; + elsif std_match(f.insn, "011111---------------0010111010-") then + report "PPC_prtyd"; + ppc_insn := PPC_PRTYD; + elsif std_match(f.insn, "011111---------------0010011010-") then + report "PPC_prtyw"; + ppc_insn := PPC_PRTYW; + elsif std_match(f.insn, "011110---------------------1000-") then + report "PPC_rldcl"; + ppc_insn := PPC_RLDCL; + elsif std_match(f.insn, "011110---------------------1001-") then + report "PPC_rldcr"; + ppc_insn := PPC_RLDCR; + elsif std_match(f.insn, "011110---------------------010--") then + report "PPC_rldic"; + ppc_insn := PPC_RLDIC; + elsif std_match(f.insn, "011110---------------------000--") then + report "PPC_rldicl"; + ppc_insn := PPC_RLDICL; + elsif std_match(f.insn, "011110---------------------001--") then + report "PPC_rldicr"; + ppc_insn := PPC_RLDICR; + elsif std_match(f.insn, "011110---------------------011--") then + report "PPC_rldimi"; + ppc_insn := PPC_RLDIMI; + elsif std_match(f.insn, "010100--------------------------") then + report "PPC_rlwimi"; + ppc_insn := PPC_RLWIMI; + elsif std_match(f.insn, "010101--------------------------") then + report "PPC_rlwinm"; + ppc_insn := PPC_RLWINM; + elsif std_match(f.insn, "010111--------------------------") then + report "PPC_rlwnm"; + ppc_insn := PPC_RLWNM; + elsif std_match(f.insn, "011111---------------0010000000-") then + report "PPC_setb"; + ppc_insn := PPC_SETB; + elsif std_match(f.insn, "011111---------------0000011011-") then + report "PPC_sld"; + ppc_insn := PPC_SLD; + elsif std_match(f.insn, "011111---------------0000011000-") then + report "PPC_slw"; + ppc_insn := PPC_SLW; + elsif std_match(f.insn, "011111---------------1100011010-") then + report "PPC_srad"; + ppc_insn := PPC_SRAD; + elsif std_match(f.insn, "011111---------------110011101--") then + report "PPC_sradi"; + ppc_insn := PPC_SRADI; + elsif std_match(f.insn, "011111---------------1100011000-") then + report "PPC_sraw"; + ppc_insn := PPC_SRAW; + elsif std_match(f.insn, "011111---------------1100111000-") then + report "PPC_srawi"; + ppc_insn := PPC_SRAWI; + elsif std_match(f.insn, "011111---------------1000011011-") then + report "PPC_srd"; + ppc_insn := PPC_SRD; + elsif std_match(f.insn, "011111---------------1000011000-") then + report "PPC_srw"; + ppc_insn := PPC_SRW; + elsif std_match(f.insn, "100110--------------------------") then + report "PPC_stb"; + ppc_insn := PPC_STB; + elsif std_match(f.insn, "011111---------------1010110110-") then + report "PPC_stbcx"; + ppc_insn := PPC_STBCX; + elsif std_match(f.insn, "100111--------------------------") then + report "PPC_stbu"; + ppc_insn := PPC_STBU; + elsif std_match(f.insn, "011111---------------0011110111-") then + report "PPC_stbux"; + ppc_insn := PPC_STBUX; + elsif std_match(f.insn, "011111---------------0011010111-") then + report "PPC_stbx"; + ppc_insn := PPC_STBX; + elsif std_match(f.insn, "111110------------------------00") then + report "PPC_std"; + ppc_insn := PPC_STD; + elsif std_match(f.insn, "011111---------------1010010100-") then + report "PPC_stdbrx"; + ppc_insn := PPC_STDBRX; + elsif std_match(f.insn, "011111---------------0011010110-") then + report "PPC_stdcx"; + ppc_insn := PPC_STDCX; + elsif std_match(f.insn, "111110------------------------01") then + report "PPC_stdu"; + ppc_insn := PPC_STDU; + elsif std_match(f.insn, "011111---------------0010110101-") then + report "PPC_stdux"; + ppc_insn := PPC_STDUX; + elsif std_match(f.insn, "011111---------------0010010101-") then + report "PPC_stdx"; + ppc_insn := PPC_STDX; + elsif std_match(f.insn, "101100--------------------------") then + report "PPC_sth"; + ppc_insn := PPC_STH; + elsif std_match(f.insn, "011111---------------1110010110-") then + report "PPC_sthbrx"; + ppc_insn := PPC_STHBRX; + elsif std_match(f.insn, "011111---------------1011010110-") then + report "PPC_sthcx"; + ppc_insn := PPC_STHCX; + elsif std_match(f.insn, "101101--------------------------") then + report "PPC_sthu"; + ppc_insn := PPC_STHU; + elsif std_match(f.insn, "011111---------------0110110111-") then + report "PPC_sthux"; + ppc_insn := PPC_STHUX; + elsif std_match(f.insn, "011111---------------0110010111-") then + report "PPC_sthx"; + ppc_insn := PPC_STHX; + elsif std_match(f.insn, "100100--------------------------") then + report "PPC_stw"; + ppc_insn := PPC_STW; + elsif std_match(f.insn, "011111---------------1010010110-") then + report "PPC_stwbrx"; + ppc_insn := PPC_STWBRX; + elsif std_match(f.insn, "011111---------------0010010110-") then + report "PPC_stwcx"; + ppc_insn := PPC_STWCX; + elsif std_match(f.insn, "100101--------------------------") then + report "PPC_stwu"; + ppc_insn := PPC_STWU; + elsif std_match(f.insn, "011111---------------0010110111-") then + report "PPC_stwux"; + ppc_insn := PPC_STWUX; + elsif std_match(f.insn, "011111---------------0010010111-") then + report "PPC_stwx"; + ppc_insn := PPC_STWX; + elsif std_match(f.insn, "011111---------------0000101000-") then + report "PPC_subf"; + ppc_insn := PPC_SUBF; + elsif std_match(f.insn, "011111---------------0000001000-") then + report "PPC_subfc"; + ppc_insn := PPC_SUBFC; + elsif std_match(f.insn, "011111---------------0010001000-") then + report "PPC_subfe"; + ppc_insn := PPC_SUBFE; + elsif std_match(f.insn, "001000--------------------------") then + report "PPC_subfic"; + ppc_insn := PPC_SUBFIC; + elsif std_match(f.insn, "011111---------------0011101000-") then + report "PPC_subfme"; + ppc_insn := PPC_SUBFME; + elsif std_match(f.insn, "011111---------------0011001000-") then + report "PPC_subfze"; + ppc_insn := PPC_SUBFZE; + elsif std_match(f.insn, "011111---------------1001010110-") then + report "PPC_sync"; + ppc_insn := PPC_SYNC; + elsif std_match(f.insn, "011111---------------0001000100-") then + report "PPC_td"; + ppc_insn := PPC_TD; + elsif std_match(f.insn, "000010--------------------------") then + report "PPC_tdi"; + ppc_insn := PPC_TDI; + elsif std_match(f.insn, "011111---------------0000000100-") then + report "PPC_tw"; + ppc_insn := PPC_TW; + elsif std_match(f.insn, "000011--------------------------") then + report "PPC_twi"; + ppc_insn := PPC_TWI; + elsif std_match(f.insn, "011111---------------0100111100-") then + report "PPC_xor"; + ppc_insn := PPC_XOR; + elsif std_match(f.insn, "011010--------------------------") then + report "PPC_xori"; + ppc_insn := PPC_XORI; + elsif std_match(f.insn, "011011--------------------------") then + report "PPC_xoris"; + ppc_insn := PPC_XORIS; + elsif std_match(f.insn, "000001---------------0000000000-") then + report "PPC_SIM_READ"; + ppc_insn := PPC_SIM_READ; + elsif std_match(f.insn, "000001---------------0000000001-") then + report "PPC_SIM_POLL"; + ppc_insn := PPC_SIM_POLL; + elsif std_match(f.insn, "000001---------------0000000010-") then + report "PPC_SIM_WRITE"; + ppc_insn := PPC_SIM_WRITE; + elsif std_match(f.insn, "000001---------------0000000011-") then + report "PPC_SIM_CONFIG"; + ppc_insn := PPC_SIM_CONFIG; + else + report "PPC_illegal"; + ppc_insn := PPC_ILLEGAL; + end if; + + d_out.decode <= decode_rom_array(ppc_insn); + end if; + end process; +end architecture behaviour; diff --git a/decode2.vhdl b/decode2.vhdl new file mode 100644 index 0000000..1205f76 --- /dev/null +++ b/decode2.vhdl @@ -0,0 +1,324 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.decode_types.all; +use work.common.all; +use work.helpers.all; + +entity decode2 is + port ( + clk : in std_ulogic; + + d_in : in Decode1ToDecode2Type; + + e_out : out Decode2ToExecute1Type; + m_out : out Decode2ToMultiplyType; + l_out : out Decode2ToLoadstore1Type; + + r_in : in RegisterFileToDecode2Type; + r_out : out Decode2ToRegisterFileType; + + c_in : in CrFileToDecode2Type; + c_out : out Decode2ToCrFileType + ); +end entity decode2; + +architecture behaviour of decode2 is + signal d : Decode1ToDecode2Type; + + alias insn_rs : std_ulogic_vector(4 downto 0) is d.insn(25 downto 21); + alias insn_rt : std_ulogic_vector(4 downto 0) is d.insn(25 downto 21); + alias insn_ra : std_ulogic_vector(4 downto 0) is d.insn(20 downto 16); + alias insn_rb : std_ulogic_vector(4 downto 0) is d.insn(15 downto 11); + alias insn_si : std_ulogic_vector(15 downto 0) is d.insn(15 downto 0); + alias insn_ui : std_ulogic_vector(15 downto 0) is d.insn(15 downto 0); + alias insn_l : std_ulogic is d.insn(21); + alias insn_sh32 : std_ulogic_vector(4 downto 0) is d.insn(15 downto 11); + alias insn_mb32 : std_ulogic_vector(4 downto 0) is d.insn(10 downto 6); + alias insn_me32 : std_ulogic_vector(4 downto 0) is d.insn(5 downto 1); + alias insn_li : std_ulogic_vector(23 downto 0) is d.insn(25 downto 2); + alias insn_lk : std_ulogic is d.insn(0); + alias insn_rc : std_ulogic is d.insn(0); + alias insn_bd : std_ulogic_vector(13 downto 0) is d.insn(15 downto 2); + alias insn_bf : std_ulogic_vector(2 downto 0) is d.insn(25 downto 23); + alias insn_fxm : std_ulogic_vector(7 downto 0) is d.insn(19 downto 12); + alias insn_bo : std_ulogic_vector(4 downto 0) is d.insn(25 downto 21); + alias insn_bi : std_ulogic_vector(4 downto 0) is d.insn(20 downto 16); + alias insn_bh : std_ulogic_vector(1 downto 0) is d.insn(12 downto 11); + alias insn_d : std_ulogic_vector(15 downto 0) is d.insn(15 downto 0); + alias insn_ds : std_ulogic_vector(13 downto 0) is d.insn(15 downto 2); + alias insn_to : std_ulogic_vector(4 downto 0) is d.insn(25 downto 21); + alias insn_bc : std_ulogic_vector(4 downto 0) is d.insn(10 downto 6); + + -- can't use an alias for these + signal insn_sh : std_ulogic_vector(5 downto 0); + signal insn_me : std_ulogic_vector(5 downto 0); + signal insn_mb : std_ulogic_vector(5 downto 0); +begin + insn_sh <= d.insn(1) & d.insn(15 downto 11); + insn_me <= d.insn(5) & d.insn(10 downto 6); + insn_mb <= d.insn(5) & d.insn(10 downto 6); + + decode2_0: process(clk) + begin + if rising_edge(clk) then + d <= d_in; + end if; + end process; + + r_out.read1_reg <= insn_ra when (d.decode.input_reg_a = RA) else + insn_ra when d.decode.input_reg_a = RA_OR_ZERO else + insn_rs when d.decode.input_reg_a = RS else + (others => '0'); + + r_out.read2_reg <= insn_rb when d.decode.input_reg_b = RB else + insn_rs when d.decode.input_reg_b = RS else + (others => '0'); + + r_out.read3_reg <= insn_rs when d.decode.input_reg_c = RS else + (others => '0'); + + decode2_1: process(all) + variable mul_a : std_ulogic_vector(63 downto 0); + variable mul_b : std_ulogic_vector(63 downto 0); + begin + e_out <= Decode2ToExecute1Init; + l_out <= Decode2ToLoadStore1Init; + m_out <= Decode2ToMultiplyInit; + + mul_a := (others => '0'); + mul_b := (others => '0'); + + e_out.nia <= d.nia; + l_out.nia <= d.nia; + m_out.nia <= d.nia; + + --e_out.input_cr <= d.decode.input_cr; + --m_out.input_cr <= d.decode.input_cr; + --e_out.output_cr <= d.decode.output_cr; + + e_out.cr <= c_in.read_cr_data; + + e_out.input_carry <= d.decode.input_carry; + e_out.output_carry <= d.decode.output_carry; + + if d.decode.lr then + e_out.lr <= insn_lk; + end if; + + -- XXX This is getting too complicated. Use variables and assign to each unit later + + case d.decode.unit is + when ALU => + e_out.insn_type <= d.decode.insn_type; + e_out.valid <= d.valid; + when LDST => + l_out.valid <= d.valid; + when MUL => + m_out.insn_type <= d.decode.insn_type; + m_out.valid <= d.valid; + when NONE => + e_out.insn_type <= OP_ILLEGAL; + e_out.valid <= d.valid; + end case; + + -- required for bypassing + case d.decode.input_reg_a is + when RA => + e_out.read_reg1 <= insn_ra; + l_out.update_reg <= insn_ra; + when RA_OR_ZERO => + e_out.read_reg1 <= insn_ra; + l_out.update_reg <= insn_ra; + when RS => + e_out.read_reg1 <= insn_rs; + when NONE => + e_out.read_reg1 <= (others => '0'); + l_out.update_reg <= (others => '0'); + end case; + + -- required for bypassing + case d.decode.input_reg_b is + when RB => + e_out.read_reg2 <= insn_rb; + when RS => + e_out.read_reg2 <= insn_rs; + when others => + e_out.read_reg2 <= (others => '0'); + end case; + + -- required for bypassing + --case d.decode.input_reg_c is + --when RS => + --e_out.read_reg3 <= insn_rs; + --when NONE => + --e_out.read_reg3 <= (others => '0'); + --end case; + + case d.decode.input_reg_a is + when RA => + e_out.read_data1 <= r_in.read1_data; + mul_a := r_in.read1_data; + l_out.addr1 <= r_in.read1_data; + when RA_OR_ZERO => + e_out.read_data1 <= ra_or_zero(r_in.read1_data, insn_ra); + l_out.addr1 <= ra_or_zero(r_in.read1_data, insn_ra); + when RS => + e_out.read_data1 <= r_in.read1_data; + when NONE => + e_out.read_data1 <= (others => '0'); + mul_a := (others => '0'); + end case; + + case d.decode.input_reg_b is + when RB => + e_out.read_data2 <= r_in.read2_data; + mul_b := r_in.read2_data; + l_out.addr2 <= r_in.read2_data; + when RS => + e_out.read_data2 <= r_in.read2_data; + when CONST_UI => + e_out.read_data2 <= std_ulogic_vector(resize(unsigned(insn_ui), 64)); + when CONST_SI => + e_out.read_data2 <= std_ulogic_vector(resize(signed(insn_si), 64)); + l_out.addr2 <= std_ulogic_vector(resize(signed(insn_si), 64)); + mul_b := std_ulogic_vector(resize(signed(insn_si), 64)); + when CONST_SI_HI => + e_out.read_data2 <= std_ulogic_vector(resize(signed(insn_si) & x"0000", 64)); + when CONST_UI_HI => + e_out.read_data2 <= std_ulogic_vector(resize(unsigned(insn_si) & x"0000", 64)); + when CONST_LI => + e_out.read_data2 <= std_ulogic_vector(resize(signed(insn_li) & "00", 64)); + when CONST_BD => + e_out.read_data2 <= std_ulogic_vector(resize(signed(insn_bd) & "00", 64)); + when CONST_DS => + l_out.addr2 <= std_ulogic_vector(resize(signed(insn_ds) & "00", 64)); + when NONE => + e_out.read_data2 <= (others => '0'); + l_out.addr2 <= (others => '0'); + mul_b := (others => '0'); + end case; + + case d.decode.input_reg_c is + when RS => + l_out.data <= r_in.read3_data; + when NONE => + l_out.data <= (others => '0'); + end case; + + if d.decode.mul_32bit = '1' then + if d.decode.mul_signed = '1' then + m_out.data1 <= (others => mul_a(31)); + m_out.data1(31 downto 0) <= mul_a(31 downto 0); + m_out.data2 <= (others => mul_b(31)); + m_out.data2(31 downto 0) <= mul_b(31 downto 0); + else + m_out.data1 <= '0' & x"00000000" & mul_a(31 downto 0); + m_out.data2 <= '0' & x"00000000" & mul_b(31 downto 0); + end if; + else + if d.decode.mul_signed = '1' then + m_out.data1 <= mul_a(63) & mul_a; + m_out.data2 <= mul_b(63) & mul_b; + else + m_out.data1 <= '0' & mul_a; + m_out.data2 <= '0' & mul_b; + end if; + end if; + + case d.decode.const_a is + when SH => + e_out.const1(insn_sh'range) <= insn_sh; + when SH32 => + e_out.const1(insn_sh32'range) <= insn_sh32; + when FXM => + e_out.const1(insn_fxm'range) <= insn_fxm; + when BO => + e_out.const1(insn_bo'range)<= insn_bo; + when BF => + e_out.const1(insn_bf'range)<= insn_bf; + when TOO => + e_out.const1(insn_to'range)<= insn_to; + when BC => + e_out.const1(insn_bc'range)<= insn_bc; + when NONE => + e_out.const1 <= (others => '0'); + end case; + + case d.decode.const_b is + when MB => + e_out.const2(insn_mb'range) <= insn_mb; + when ME => + e_out.const2(insn_me'range) <= insn_me; + when MB32 => + e_out.const2(insn_mb32'range) <= insn_mb32; + when BI => + e_out.const2(insn_bi'range) <= insn_bi; + when L => + e_out.const2(0) <= insn_l; + when NONE => + e_out.const2 <= (others => '0'); + end case; + + case d.decode.const_c is + when ME32 => + e_out.const3(insn_me32'range) <= insn_me32; + when BH => + e_out.const3(insn_bh'range) <= insn_bh; + when NONE => + e_out.const3 <= (others => '0'); + end case; + + case d.decode.output_reg_a is + when RT => + e_out.write_reg <= insn_rt; + l_out.write_reg <= insn_rt; + m_out.write_reg <= insn_rt; + when RA => + e_out.write_reg <= insn_ra; + l_out.write_reg <= insn_ra; + when NONE => + e_out.write_reg <= (others => '0'); + l_out.write_reg <= (others => '0'); + m_out.write_reg <= (others => '0'); + end case; + + case d.decode.rc is + when RC => + e_out.rc <= insn_rc; + m_out.rc <= insn_rc; + when ONE => + e_out.rc <= '1'; + m_out.rc <= '1'; + when NONE => + e_out.rc <= '0'; + m_out.rc <= '0'; + end case; + + -- load/store specific signals + if d.decode.insn_type = OP_LOAD then + l_out.load <= '1'; + else + l_out.load <= '0'; + end if; + + case d.decode.length is + when is1B => + l_out.length <= "0001"; + when is2B => + l_out.length <= "0010"; + when is4B => + l_out.length <= "0100"; + when is8B => + l_out.length <= "1000"; + when NONE => + l_out.length <= "0000"; + end case; + + l_out.byte_reverse <= d.decode.byte_reverse; + l_out.sign_extend <= d.decode.sign_extend; + l_out.update <= d.decode.update; + end process; +end architecture behaviour; diff --git a/decode_types.vhdl b/decode_types.vhdl new file mode 100644 index 0000000..4017e9a --- /dev/null +++ b/decode_types.vhdl @@ -0,0 +1,140 @@ +library ieee; +use ieee.std_logic_1164.all; + +package decode_types is + type ppc_insn_t is (PPC_ILLEGAL, PPC_ADD, PPC_ADDC, PPC_ADDE, + PPC_ADDEX, PPC_ADDI, PPC_ADDIC, PPC_ADDIC_RC, PPC_ADDIS, + PPC_ADDME, PPC_ADDPCIS, PPC_ADDZE, PPC_AND, PPC_ANDC, + PPC_ANDI_RC, PPC_ANDIS_RC, PPC_ATTN, PPC_B, PPC_BA, PPC_BC, + PPC_BCA, PPC_BCCTR, PPC_BCLA, PPC_BCLR, PPC_BCTAR, PPC_BPERM, + PPC_CMP, PPC_CMPB, PPC_CMPEQB, PPC_CMPI, PPC_CMPL, PPC_CMPLI, + PPC_CMPRB, PPC_CNTLZD, PPC_CNTLZW, PPC_CNTTZD, PPC_CNTTZW, + PPC_CRAND, PPC_CRANDC, PPC_CREQV, PPC_CRNAND, PPC_CRNOR, + PPC_CROR, PPC_CRORC, PPC_CRXOR, PPC_DARN, PPC_DCBF, PPC_DCBST, + PPC_DCBT, PPC_DCBTST, PPC_DCBZ, PPC_DIVD, PPC_DIVDE, + PPC_DIVDEU, PPC_DIVDU, PPC_DIVW, PPC_DIVWE, PPC_DIVWEU, + PPC_DIVWU, PPC_EQV, PPC_EXTSB, PPC_EXTSH, PPC_EXTSW, + PPC_EXTSWSLI, PPC_ICBI, PPC_ICBT, PPC_ISEL, PPC_ISYNC, + PPC_LBARX, PPC_LBZ, PPC_LBZU, PPC_LBZUX, PPC_LBZX, PPC_LD, + PPC_LDARX, PPC_LDBRX, PPC_LDU, PPC_LDUX, PPC_LDX, PPC_LHA, + PPC_LHARX, PPC_LHAU, PPC_LHAUX, PPC_LHAX, PPC_LHBRX, PPC_LHZ, + PPC_LHZU, PPC_LHZUX, PPC_LHZX, PPC_LWA, PPC_LWARX, PPC_LWAUX, + PPC_LWAX, PPC_LWBRX, PPC_LWZ, PPC_LWZU, PPC_LWZUX, PPC_LWZX, + PPC_MADDHD, PPC_MADDHDU, PPC_MADDLD, PPC_MCRF, PPC_MCRXR, + PPC_MCRXRX, PPC_MFCR, PPC_MFOCRF, PPC_MFSPR, PPC_MFTB, + PPC_MODSD, PPC_MODSW, PPC_MODUD, PPC_MODUW, PPC_MTCRF, + PPC_MFCTR, PPC_MTCTR, PPC_MFLR, PPC_MTLR, PPC_MTOCRF, + PPC_MTSPR, PPC_MULHD, PPC_MULHDU, PPC_MULHW, PPC_MULHWU, + PPC_MULLD, PPC_MULLI, PPC_MULLW, PPC_NAND, PPC_NEG, PPC_NOR, + PPC_OR, PPC_ORC, PPC_ORI, PPC_ORIS, PPC_POPCNTB, PPC_POPCNTD, + PPC_POPCNTW, PPC_PRTYD, PPC_PRTYW, PPC_RLDCL, PPC_RLDCR, + PPC_RLDIC, PPC_RLDICL, PPC_RLDICR, PPC_RLDIMI, PPC_RLWIMI, + PPC_RLWINM, PPC_RLWNM, PPC_SETB, PPC_SLD, PPC_SLW, PPC_SRAD, + PPC_SRADI, PPC_SRAW, PPC_SRAWI, PPC_SRD, PPC_SRW, PPC_STB, + PPC_STBCX, PPC_STBU, PPC_STBUX, PPC_STBX, PPC_STD, PPC_STDBRX, + PPC_STDCX, PPC_STDU, PPC_STDUX, PPC_STDX, PPC_STH, PPC_STHBRX, + PPC_STHCX, PPC_STHU, PPC_STHUX, PPC_STHX, PPC_STW, PPC_STWBRX, + PPC_STWCX, PPC_STWU, PPC_STWUX, PPC_STWX, PPC_SUBF, PPC_SUBFC, + PPC_SUBFE, PPC_SUBFIC, PPC_SUBFME, PPC_SUBFZE, PPC_SYNC, PPC_TD, + PPC_TDI, PPC_TW, PPC_TWI, PPC_XOR, PPC_XORI, PPC_XORIS, + PPC_SIM_READ, PPC_SIM_POLL, PPC_SIM_WRITE, PPC_SIM_CONFIG); + + type insn_type_t is (OP_ILLEGAL, OP_NOP, OP_ADD, OP_ADDC, OP_ADDEX, OP_ADDME, + OP_ADDPCIS, OP_AND, OP_ANDC, OP_ATTN, OP_B, OP_BA, OP_BC, + OP_BCA, OP_BCCTR, OP_BCLA, OP_BCLR, OP_BCTAR, OP_BPERM, OP_CMP, + OP_CMPB, OP_CMPEQB, OP_CMPL, OP_CMPRB, + OP_CNTLZD, OP_CNTLZW, OP_CNTTZD, OP_CNTTZW, OP_CRAND, + OP_CRANDC, OP_CREQV, OP_CRNAND, OP_CRNOR, OP_CROR, OP_CRORC, + OP_CRXOR, OP_DARN, OP_DCBF, OP_DCBST, OP_DCBT, OP_DCBTST, + OP_DCBZ, OP_DIVD, OP_DIVDE, OP_DIVDEU, OP_DIVDU, OP_DIVW, + OP_DIVWE, OP_DIVWEU, OP_DIVWU, OP_EQV, OP_EXTSB, OP_EXTSH, + OP_EXTSW, OP_EXTSWSLI, OP_ICBI, OP_ICBT, OP_ISEL, OP_ISYNC, + OP_LOAD, OP_STORE, OP_MADDHD, OP_MADDHDU, OP_MADDLD, OP_MCRF, + OP_MCRXR, OP_MCRXRX, OP_MFCR, OP_MFOCRF, OP_MFCTR, OP_MFLR, + OP_MFTB, OP_MFSPR, OP_MODSD, OP_MODSW, OP_MODUD, OP_MODUW, + OP_MTCRF, OP_MTOCRF, OP_MTCTR, OP_MTLR, OP_MTSPR, OP_MUL_L64, + OP_MUL_H64, OP_MUL_H32, OP_NAND, OP_NEG, OP_NOR, OP_OR, + OP_ORC, OP_POPCNTB, OP_POPCNTD, OP_POPCNTW, OP_PRTYD, + OP_PRTYW, OP_RLDCL, OP_RLDCR, OP_RLDIC, OP_RLDICL, OP_RLDICR, + OP_RLDIMI, OP_RLWIMI, OP_RLWINM, OP_RLWNM, OP_SETB, OP_SLD, + OP_SLW, OP_SRAD, OP_SRADI, OP_SRAW, OP_SRAWI, OP_SRD, OP_SRW, + OP_SUBF, OP_SUBFC, OP_SUBFME, OP_SYNC, OP_TD, OP_TDI, OP_TW, + OP_TWI, OP_XOR, OP_SIM_READ, OP_SIM_POLL, OP_SIM_WRITE, + OP_SIM_CONFIG); + + type input_reg_a_t is (NONE, RA, RA_OR_ZERO, RS); + type input_reg_b_t is (NONE, RB, RS, CONST_UI, CONST_SI, CONST_SI_HI, CONST_UI_HI, CONST_LI, CONST_BD, CONST_DS); + type input_reg_c_t is (NONE, RS); + type output_reg_a_t is (NONE, RT, RA); + type constant_a_t is (NONE, SH, SH32, FXM, BO, BF, TOO, BC); + type constant_b_t is (NONE, MB, ME, MB32, BI, L); + type constant_c_t is (NONE, ME32, BH); + type rc_t is (NONE, ONE, RC); + + constant SH_OFFSET : integer := 0; + constant MB_OFFSET : integer := 1; + constant ME_OFFSET : integer := 1; + constant SH32_OFFSET : integer := 0; + constant MB32_OFFSET : integer := 1; + constant ME32_OFFSET : integer := 2; + + constant FXM_OFFSET : integer := 0; + + constant BO_OFFSET : integer := 0; + constant BI_OFFSET : integer := 1; + constant BH_OFFSET : integer := 2; + + constant BF_OFFSET : integer := 0; + constant L_OFFSET : integer := 1; + + constant TOO_OFFSET : integer := 0; + + type unit_t is (NONE, ALU, LDST, MUL); + type length_t is (NONE, is1B, is2B, is4B, is8B); + + type decode_rom_t is record + unit : unit_t; + insn_type : insn_type_t; + input_reg_a : input_reg_a_t; + input_reg_b : input_reg_b_t; + input_reg_c : input_reg_c_t; + output_reg_a : output_reg_a_t; + + const_a : constant_a_t; + const_b : constant_b_t; + const_c : constant_c_t; + + input_cr : std_ulogic; + output_cr : std_ulogic; + + input_carry : std_ulogic; + output_carry : std_ulogic; + + -- load/store signals + length : length_t; + byte_reverse : std_ulogic; + sign_extend : std_ulogic; + update : std_ulogic; + reserve : std_ulogic; + + -- multiplier signals + mul_32bit : std_ulogic; + mul_signed : std_ulogic; + + rc : rc_t; + lr : std_ulogic; + end record; + constant decode_rom_init : decode_rom_t := (unit => NONE, + insn_type => OP_ILLEGAL, input_reg_a => NONE, + input_reg_b => NONE, input_reg_c => NONE, + output_reg_a => NONE, const_a => NONE, const_b => NONE, + const_c => NONE, input_cr => '0', output_cr => '0', + input_carry => '0', output_carry => '0', + length => NONE, byte_reverse => '0', sign_extend => '0', + update => '0', reserve => '0', mul_32bit => '0', + mul_signed => '0', rc => NONE, lr => '0'); + +end decode_types; + +package body decode_types is +end decode_types; diff --git a/execute1.vhdl b/execute1.vhdl new file mode 100644 index 0000000..f24ccfb --- /dev/null +++ b/execute1.vhdl @@ -0,0 +1,360 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.decode_types.all; +use work.common.all; +use work.helpers.all; +use work.crhelpers.all; +use work.ppc_fx_insns.all; +use work.sim_console.all; + +entity execute1 is + generic ( + SIM : boolean := false + ); + port ( + clk : in std_logic; + + e_in : in Decode2ToExecute1Type; + f_out : out Execute1ToFetch1Type; + e_out : out Execute1ToExecute2Type; + + terminate_out : out std_ulogic + ); +end entity execute1; + +architecture behaviour of execute1 is + signal e: Decode2ToExecute1Type := Decode2ToExecute1Init; + signal ctrl: ctrl_t := (carry => '0', others => (others => '0')); + signal ctrl_tmp: ctrl_t := (carry => '0', others => (others => '0')); +begin + execute1_0: process(clk) + begin + if rising_edge(clk) then + e <= e_in; + ctrl <= ctrl_tmp; + end if; + end process; + + execute1_1: process(all) + variable result : std_ulogic_vector(63 downto 0); + variable result_with_carry : std_ulogic_vector(64 downto 0); + variable result_en : integer; + variable crnum : integer; + begin + result := (others => '0'); + result_with_carry := (others => '0'); + result_en := 0; + + e_out <= Execute1ToExecute2Init; + f_out <= Execute1ToFetch1TypeInit; + ctrl_tmp <= ctrl; + -- FIXME: run at 512MHz not core freq + ctrl_tmp.tb <= std_ulogic_vector(unsigned(ctrl.tb) + 1); + + terminate_out <= '0'; + + if e.valid = '1' then + e_out.valid <= '1'; + e_out.write_reg <= e.write_reg; + + report "execute " & to_hstring(e.nia); + + case_0: case e.insn_type is + + when OP_ILLEGAL => + terminate_out <= '1'; + report "illegal"; + when OP_NOP => + -- Do nothing + when OP_ADD => + result := ppc_add(e.read_data1, e.read_data2); + result_en := 1; + when OP_ADDC => + result_with_carry := ppc_adde(e.read_data1, e.read_data2, ctrl.carry and e.input_carry); + result := result_with_carry(63 downto 0); + ctrl_tmp.carry <= result_with_carry(64) and e.output_carry; + result_en := 1; + when OP_AND => + result := ppc_and(e.read_data1, e.read_data2); + result_en := 1; + when OP_ANDC => + result := ppc_andc(e.read_data1, e.read_data2); + result_en := 1; + when OP_B => + f_out.redirect <= '1'; + f_out.redirect_nia <= std_ulogic_vector(signed(e.nia) + signed(e.read_data2)); + when OP_BC => + if e.const1(4-2) = '0' then + ctrl_tmp.ctr <= std_ulogic_vector(unsigned(ctrl.ctr) - 1); + end if; + if ppc_bc_taken(e.const1(4 downto 0), e.const2(4 downto 0), e.cr, ctrl.ctr) = 1 then + f_out.redirect <= '1'; + f_out.redirect_nia <= std_ulogic_vector(signed(e.nia) + signed(e.read_data2)); + end if; + when OP_BCLR => + if e.const1(4-2) = '0' then + ctrl_tmp.ctr <= std_ulogic_vector(unsigned(ctrl.ctr) - 1); + end if; + if ppc_bc_taken(e.const1(4 downto 0), e.const2(4 downto 0), e.cr, ctrl.ctr) = 1 then + f_out.redirect <= '1'; + f_out.redirect_nia <= ctrl.lr(63 downto 2) & "00"; + end if; + when OP_BCCTR => + if ppc_bcctr_taken(e.const1(4 downto 0), e.const2(4 downto 0), e.cr) = 1 then + f_out.redirect <= '1'; + f_out.redirect_nia <= ctrl.ctr(63 downto 2) & "00"; + end if; + when OP_CMPB => + result := ppc_cmpb(e.read_data1, e.read_data2); + result_en := 1; + when OP_CMP => + e_out.write_cr_enable <= '1'; + crnum := to_integer(unsigned(e.const1(2 downto 0))); + e_out.write_cr_mask <= num_to_fxm(crnum); + e_out.write_cr_data <= (others => '0'); + e_out.write_cr_data((4*(7-crnum)+3) downto (4*(7-crnum))) <= ppc_cmp(e.const2(0), e.read_data1, e.read_data2); + when OP_CMPL => + e_out.write_cr_enable <= '1'; + crnum := to_integer(unsigned(e.const1(2 downto 0))); + e_out.write_cr_mask <= num_to_fxm(crnum); + e_out.write_cr_data <= (others => '0'); + e_out.write_cr_data((4*(7-crnum)+3) downto (4*(7-crnum))) <= ppc_cmpl(e.const2(0), e.read_data1, e.read_data2); + when OP_CNTLZW => + result := ppc_cntlzw(e.read_data1); + result_en := 1; + when OP_CNTTZW => + result := ppc_cnttzw(e.read_data1); + result_en := 1; + when OP_CNTLZD => + result := ppc_cntlzd(e.read_data1); + result_en := 1; + when OP_CNTTZD => + result := ppc_cnttzd(e.read_data1); + result_en := 1; + when OP_EXTSB => + result := ppc_extsb(e.read_data1); + result_en := 1; + when OP_EXTSH => + result := ppc_extsh(e.read_data1); + result_en := 1; + when OP_EXTSW => + result := ppc_extsw(e.read_data1); + result_en := 1; + when OP_EQV => + result := ppc_eqv(e.read_data1, e.read_data2); + result_en := 1; + when OP_ISEL => + crnum := to_integer(unsigned(e.const1)); + if e.cr(31-crnum) = '1' then + result := e.read_data1; + else + result := e.read_data2; + end if; + result_en := 1; + when OP_MFCTR => + result := ctrl.ctr; + result_en := 1; + when OP_MFLR => + result := ctrl.lr; + result_en := 1; + when OP_MFTB => + result := ctrl.tb; + result_en := 1; + when OP_MTCTR => + ctrl_tmp.ctr <= e.read_data1; + when OP_MTLR => + ctrl_tmp.lr <= e.read_data1; + when OP_MFCR => + result := x"00000000" & e.cr; + result_en := 1; + when OP_MFOCRF => + crnum := fxm_to_num(e.const1(7 downto 0)); + result := (others => '0'); + result((4*(7-crnum)+3) downto (4*(7-crnum))) := e.cr((4*(7-crnum)+3) downto (4*(7-crnum))); + result_en := 1; + when OP_MTCRF => + e_out.write_cr_enable <= '1'; + e_out.write_cr_mask <= e.const1(7 downto 0); + e_out.write_cr_data <= e.read_data1(31 downto 0); + when OP_MTOCRF => + e_out.write_cr_enable <= '1'; + -- We require one hot priority encoding here + crnum := fxm_to_num(e.const1(7 downto 0)); + e_out.write_cr_mask <= num_to_fxm(crnum); + e_out.write_cr_data <= e.read_data1(31 downto 0); + when OP_NAND => + result := ppc_nand(e.read_data1, e.read_data2); + result_en := 1; + when OP_NEG => + result := ppc_neg(e.read_data1); + result_en := 1; + when OP_NOR => + result := ppc_nor(e.read_data1, e.read_data2); + result_en := 1; + when OP_OR => + result := ppc_or(e.read_data1, e.read_data2); + result_en := 1; + when OP_ORC => + result := ppc_orc(e.read_data1, e.read_data2); + result_en := 1; + when OP_POPCNTB => + result := ppc_popcntb(e.read_data1); + result_en := 1; + when OP_POPCNTW => + result := ppc_popcntw(e.read_data1); + result_en := 1; + when OP_POPCNTD => + result := ppc_popcntd(e.read_data1); + result_en := 1; + when OP_PRTYD => + result := ppc_prtyd(e.read_data1); + result_en := 1; + when OP_PRTYW => + result := ppc_prtyw(e.read_data1); + result_en := 1; + when OP_RLDCL => + result := ppc_rldcl(e.read_data1, e.read_data2, e.const2(5 downto 0)); + result_en := 1; + when OP_RLDCR => + result := ppc_rldcr(e.read_data1, e.read_data2, e.const2(5 downto 0)); + result_en := 1; + when OP_RLDICL => + result := ppc_rldicl(e.read_data1, e.const1(5 downto 0), e.const2(5 downto 0)); + result_en := 1; + when OP_RLDICR => + result := ppc_rldicr(e.read_data1, e.const1(5 downto 0), e.const2(5 downto 0)); + result_en := 1; + when OP_RLWNM => + result := ppc_rlwnm(e.read_data1, e.read_data2, e.const2(4 downto 0), e.const3(4 downto 0)); + result_en := 1; + when OP_RLWINM => + result := ppc_rlwinm(e.read_data1, e.const1(4 downto 0), e.const2(4 downto 0), e.const3(4 downto 0)); + result_en := 1; + when OP_RLDIC => + result := ppc_rldic(e.read_data1, e.const1(5 downto 0), e.const2(5 downto 0)); + result_en := 1; + when OP_RLDIMI => + result := ppc_rldimi(e.read_data1, e.read_data2, e.const1(5 downto 0), e.const2(5 downto 0)); + result_en := 1; + when OP_RLWIMI => + result := ppc_rlwimi(e.read_data1, e.read_data2, e.const1(4 downto 0), e.const2(4 downto 0), e.const3(4 downto 0)); + result_en := 1; + when OP_SLD => + result := ppc_sld(e.read_data1, e.read_data2); + result_en := 1; + when OP_SLW => + result := ppc_slw(e.read_data1, e.read_data2); + result_en := 1; + when OP_SRAW => + result_with_carry := ppc_sraw(e.read_data1, e.read_data2); + result := result_with_carry(63 downto 0); + ctrl_tmp.carry <= result_with_carry(64); + result_en := 1; + when OP_SRAWI => + result_with_carry := ppc_srawi(e.read_data1, e.const1(5 downto 0)); + result := result_with_carry(63 downto 0); + ctrl_tmp.carry <= result_with_carry(64); + result_en := 1; + when OP_SRAD => + result_with_carry := ppc_srad(e.read_data1, e.read_data2); + result := result_with_carry(63 downto 0); + ctrl_tmp.carry <= result_with_carry(64); + result_en := 1; + when OP_SRADI => + result_with_carry := ppc_sradi(e.read_data1, e.const1(5 downto 0)); + result := result_with_carry(63 downto 0); + ctrl_tmp.carry <= result_with_carry(64); + result_en := 1; + when OP_SUBF => + result := ppc_subf(e.read_data1, e.read_data2); + result_en := 1; + when OP_SUBFC => + result_with_carry := ppc_subfe(e.read_data1, e.read_data2, ctrl.carry or not(e.input_carry)); + result := result_with_carry(63 downto 0); + ctrl_tmp.carry <= result_with_carry(64) and e.output_carry; + result_en := 1; + when OP_XOR => + result := ppc_xor(e.read_data1, e.read_data2); + result_en := 1; + + -- sim console + when OP_SIM_READ => + if SIM = true then + sim_console_read(result); + result_en := 1; + else + terminate_out <= '1'; + report "illegal"; + end if; + when OP_SIM_POLL => + if SIM = true then + sim_console_poll(result); + result_en := 1; + else + terminate_out <= '1'; + report "illegal"; + end if; + when OP_SIM_WRITE => + if SIM = true then + sim_console_write(e.read_data1); + else + terminate_out <= '1'; + report "illegal"; + end if; + when OP_SIM_CONFIG => + if SIM = true then + result := x"0000000000000001"; + else + result := x"0000000000000000"; + end if; + result_en := 1; + + when OP_TDI => + -- Keep our test cases happy for now, ignore trap instructions + report "OP_TDI FIXME"; + + when OP_DIVDU => + if SIM = true then + result := ppc_divdu(e.read_data1, e.read_data2); + result_en := 1; + else + terminate_out <= '1'; + report "illegal"; + end if; + when OP_DIVD => + if SIM = true then + result := ppc_divd(e.read_data1, e.read_data2); + result_en := 1; + else + terminate_out <= '1'; + report "illegal"; + end if; + when OP_DIVWU => + if SIM = true then + result := ppc_divwu(e.read_data1, e.read_data2); + result_en := 1; + + else + terminate_out <= '1'; + report "illegal"; + end if; + when others => + terminate_out <= '1'; + report "illegal"; + end case; + + if e.lr = '1' then + ctrl_tmp.lr <= std_ulogic_vector(unsigned(e.nia) + 4); + end if; + + if result_en = 1 then + e_out.write_data <= result; + e_out.write_enable <= '1'; + e_out.rc <= e.rc; + end if; + end if; + end process; +end architecture behaviour; diff --git a/execute2.vhdl b/execute2.vhdl new file mode 100644 index 0000000..851e58f --- /dev/null +++ b/execute2.vhdl @@ -0,0 +1,48 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; +use work.crhelpers.all; +use work.ppc_fx_insns.all; + +-- 2 cycle ALU +-- We handle rc form instructions here + +entity execute2 is + port ( + clk : in std_ulogic; + + e_in : in Execute1ToExecute2Type; + e_out : out Execute2ToWritebackType + ); +end execute2; + +architecture behave of execute2 is + signal e: Execute1ToExecute2Type; +begin + execute2_0: process(clk) + begin + if (rising_edge(clk)) then + e <= e_in; + end if; + end process; + + execute2_1: process(all) + begin + e_out.valid <= e.valid; + e_out.write_enable <= e.write_enable; + e_out.write_reg <= e.write_reg; + e_out.write_data <= e.write_data; + e_out.write_cr_enable <= e.write_cr_enable; + e_out.write_cr_mask <= e.write_cr_mask; + e_out.write_cr_data <= e.write_cr_data; + + if e.valid = '1' and e.rc = '1' then + e_out.write_cr_enable <= '1'; + e_out.write_cr_mask <= num_to_fxm(0); + e_out.write_cr_data <= ppc_cmpi('1', e.write_data, x"0000") & x"0000000"; + end if; + end process; +end; diff --git a/fetch1.vhdl b/fetch1.vhdl new file mode 100644 index 0000000..458805e --- /dev/null +++ b/fetch1.vhdl @@ -0,0 +1,78 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; + +entity fetch1 is + generic( + RESET_ADDRESS : std_logic_vector(63 downto 0) + ); + port( + clk : in std_ulogic; + rst : in std_ulogic; + + -- Control inputs: + fetch_one_in : in std_ulogic; + + -- redirect from execution unit + e_in : in Execute1ToFetch1Type; + + -- fetch data out + f_out : out Fetch1ToFetch2Type + ); +end entity fetch1; + +architecture behaviour of fetch1 is + type reg_type is record + pc : std_ulogic_vector(63 downto 0); + fetch_one : std_ulogic; + end record; + + signal r : reg_type; + signal rin : reg_type; +begin + regs : process(clk) + begin + if rising_edge(clk) then + r <= rin; + end if; + end process; + + comb : process(all) + variable v : reg_type; + variable fetch_valid : std_ulogic; + variable fetch_nia : std_ulogic_vector(63 downto 0); + begin + v := r; + + fetch_valid := '0'; + fetch_nia := (others => '0'); + + v.fetch_one := v.fetch_one or fetch_one_in; + + if e_in.redirect = '1' then + v.pc := e_in.redirect_nia; + end if; + + if v.fetch_one = '1' then + fetch_nia := v.pc; + fetch_valid := '1'; + v.pc := std_logic_vector(unsigned(v.pc) + 4); + + v.fetch_one := '0'; + end if; + + if rst = '1' then + v.pc := RESET_ADDRESS; + v.fetch_one := '0'; + end if; + + rin <= v; + + f_out.valid <= fetch_valid; + f_out.nia <= fetch_nia; + end process; + +end architecture behaviour; diff --git a/fetch2.vhdl b/fetch2.vhdl new file mode 100644 index 0000000..6f252c8 --- /dev/null +++ b/fetch2.vhdl @@ -0,0 +1,70 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; +use work.wishbone_types.all; + +entity fetch2 is + port( + clk : in std_ulogic; + + -- instruction memory interface + wishbone_in : in wishbone_slave_out; + wishbone_out : out wishbone_master_out; + + f_in : in Fetch1ToFetch2Type; + + f_out : out Fetch2ToDecode1Type + ); +end entity fetch2; + +architecture behaviour of fetch2 is + type reg_type is record + valid : std_ulogic; + nia : std_ulogic_vector(63 downto 0); + end record; + + signal f : Fetch1ToFetch2Type; + signal wishbone: wishbone_slave_out; + signal r : reg_type := (valid => '0', nia => (others => '0')); + signal rin : reg_type := (valid => '0', nia => (others => '0')); +begin + regs : process(clk) + begin + if rising_edge(clk) then + wishbone <= wishbone_in; + f <= f_in; + r <= rin; + end if; + end process; + + comb : process(all) + variable v : reg_type; + begin + v := r; + + if f.valid = '1' then + v.valid := '1'; + v.nia := f.nia; + end if; + + if v.valid = '1' and wishbone.ack = '1' then + v.valid := '0'; + end if; + + rin <= v; + + wishbone_out.adr <= v.nia(63 downto 3) & "000"; + wishbone_out.dat <= (others => '0'); + wishbone_out.cyc <= v.valid; + wishbone_out.stb <= v.valid; + wishbone_out.sel <= "00001111" when v.nia(2) = '0' else "11110000"; + wishbone_out.we <= '0'; + + f_out.valid <= wishbone.ack; + f_out.nia <= v.nia; + f_out.insn <= wishbone.dat(31 downto 0) when v.nia(2) = '0' else wishbone.dat(63 downto 32); + end process; +end architecture behaviour; diff --git a/fpga/LICENSE b/fpga/LICENSE new file mode 100644 index 0000000..33048f9 --- /dev/null +++ b/fpga/LICENSE @@ -0,0 +1,12 @@ +Copyright (c) Kristian Klomsten Skordal +All rights reserved. + +Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: + +1. Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. + +2. Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. + +3. Neither the name of the copyright holder nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. diff --git a/fpga/nexys-video.xdc b/fpga/nexys-video.xdc new file mode 100644 index 0000000..2b71cc5 --- /dev/null +++ b/fpga/nexys-video.xdc @@ -0,0 +1,10 @@ +set_property -dict {PACKAGE_PIN R4 IOSTANDARD LVCMOS33} [get_ports clk] +create_clock -period 10.000 -name sys_clk_pin -waveform {0.000 5.000} -add [get_ports clk] + +set_property -dict {PACKAGE_PIN G4 IOSTANDARD LVCMOS15} [get_ports reset_n] + +set_property -dict {PACKAGE_PIN AA19 IOSTANDARD LVCMOS33} [get_ports uart0_txd] +set_property -dict {PACKAGE_PIN V18 IOSTANDARD LVCMOS33} [get_ports uart0_rxd] + +set_property CONFIG_VOLTAGE 3.3 [current_design] +set_property CFGBVS VCCO [current_design] diff --git a/fpga/pp_fifo.vhd b/fpga/pp_fifo.vhd new file mode 100644 index 0000000..909c969 --- /dev/null +++ b/fpga/pp_fifo.vhd @@ -0,0 +1,91 @@ +-- The Potato Processor - A simple processor for FPGAs +-- (c) Kristian Klomsten Skordal 2014 - 2015 + +library ieee; +use ieee.std_logic_1164.all; + +--! @brief A generic FIFO module. +--! Adopted from the FIFO module in . +entity pp_fifo is + generic( + DEPTH : natural := 64; + WIDTH : natural := 32 + ); + port( + -- Control lines: + clk : in std_logic; + reset : in std_logic; + + -- Status lines: + full : out std_logic; + empty : out std_logic; + + -- Data in: + data_in : in std_logic_vector(WIDTH - 1 downto 0); + data_out : out std_logic_vector(WIDTH - 1 downto 0); + push, pop : in std_logic + ); +end entity pp_fifo; + +architecture behaviour of pp_fifo is + + type memory_array is array(0 to DEPTH - 1) of std_logic_vector(WIDTH - 1 downto 0); + shared variable memory : memory_array := (others => (others => '0')); + + subtype index_type is integer range 0 to DEPTH - 1; + signal top, bottom : index_type; + + type fifo_op is (FIFO_POP, FIFO_PUSH); + signal prev_op : fifo_op := FIFO_POP; + +begin + + empty <= '1' when top = bottom and prev_op = FIFO_POP else '0'; + full <= '1' when top = bottom and prev_op = FIFO_PUSH else '0'; + + read: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + bottom <= 0; + else + if pop = '1' then + data_out <= memory(bottom); + bottom <= (bottom + 1) mod DEPTH; + end if; + end if; + end if; + end process read; + + write: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + top <= 0; + else + if push = '1' then + memory(top) := data_in; + top <= (top + 1) mod DEPTH; + end if; + end if; + end if; + end process write; + + set_prev_op: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + prev_op <= FIFO_POP; + else + if push = '1' and pop = '1' then + prev_op <= FIFO_POP; + elsif push = '1' then + prev_op <= FIFO_PUSH; + elsif pop = '1' then + prev_op <= FIFO_POP; + end if; + end if; + end if; + end process set_prev_op; + +end architecture behaviour; diff --git a/fpga/pp_soc_memory.vhd b/fpga/pp_soc_memory.vhd new file mode 100644 index 0000000..1a5ca81 --- /dev/null +++ b/fpga/pp_soc_memory.vhd @@ -0,0 +1,106 @@ +-- The Potato Processor - A simple processor for FPGAs +-- (c) Kristian Klomsten Skordal 2014 - 2015 + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use std.textio.all; + +use work.pp_utilities.all; + +--! @brief Simple memory module for use in Wishbone-based systems. +entity pp_soc_memory is + generic( + MEMORY_SIZE : natural := 4096 --! Memory size in bytes. + ); + port( + clk : in std_logic; + reset : in std_logic; + + -- Wishbone interface: + wb_adr_in : in std_logic_vector(log2(MEMORY_SIZE) - 1 downto 0); + wb_dat_in : in std_logic_vector(63 downto 0); + wb_dat_out : out std_logic_vector(63 downto 0); + wb_cyc_in : in std_logic; + wb_stb_in : in std_logic; + wb_sel_in : in std_logic_vector( 7 downto 0); + wb_we_in : in std_logic; + wb_ack_out : out std_logic + ); +end entity pp_soc_memory; + +architecture behaviour of pp_soc_memory is + type ram_t is array(0 to (MEMORY_SIZE / 8) - 1) of std_logic_vector(63 downto 0); + + impure function init_ram(name : STRING) return ram_t is + file ram_file : text open read_mode is name; + variable ram_line : line; + variable temp_word : std_logic_vector(63 downto 0); + variable temp_ram : ram_t := (others => (others => '0')); + begin + for i in 0 to (MEMORY_SIZE/8)-1 loop + exit when endfile(ram_file); + readline(ram_file, ram_line); + hread(ram_line, temp_word); + temp_ram(i) := temp_word; + end loop; + + return temp_ram; + end function; + + signal memory : ram_t := init_ram("firmware.hex"); + + attribute ram_style : string; + attribute ram_style of memory : signal is "block"; + + attribute ram_decomp : string; + attribute ram_decomp of memory : signal is "power"; + + type state_type is (IDLE, ACK); + signal state : state_type; + + signal read_ack : std_logic; + +begin + + wb_ack_out <= read_ack and wb_stb_in; + + process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + read_ack <= '0'; + state <= IDLE; + else + if wb_cyc_in = '1' then + case state is + when IDLE => + if wb_stb_in = '1' and wb_we_in = '1' then + for i in 0 to 7 loop + if wb_sel_in(i) = '1' then + memory(to_integer(unsigned(wb_adr_in(wb_adr_in'left downto 3))))(((i + 1) * 8) - 1 downto i * 8) + <= wb_dat_in(((i + 1) * 8) - 1 downto i * 8); + end if; + end loop; + read_ack <= '1'; + state <= ACK; + elsif wb_stb_in = '1' then + wb_dat_out <= memory(to_integer(unsigned(wb_adr_in(wb_adr_in'left downto 3)))); + read_ack <= '1'; + state <= ACK; + end if; + when ACK => + if wb_stb_in = '0' then + read_ack <= '0'; + state <= IDLE; + end if; + end case; + else + state <= IDLE; + read_ack <= '0'; + end if; + end if; + end if; + end process clk; + +end architecture behaviour; diff --git a/fpga/pp_soc_reset.vhd b/fpga/pp_soc_reset.vhd new file mode 100644 index 0000000..c53d85a --- /dev/null +++ b/fpga/pp_soc_reset.vhd @@ -0,0 +1,69 @@ +-- The Potato Processor - A simple processor for FPGAs +-- (c) Kristian Klomsten Skordal 2018 + +library ieee; +use ieee.std_logic_1164.all; +use work.pp_utilities.all; + +--! @brief System reset unit. +--! Because most resets in the processor core are synchronous, at least one +--! clock pulse has to be given to the processor while the reset signal is +--! asserted. However, if the clock generator is being reset at the same time, +--! the system clock might not run during reset, preventing the processor from +--! properly resetting. +entity pp_soc_reset is + generic( + RESET_CYCLE_COUNT : natural := 20000000 + ); + port( + clk : in std_logic; + + reset_n : in std_logic; + reset_out : out std_logic; + + system_clk : in std_logic; + system_clk_locked : in std_logic + ); +end entity pp_soc_reset; + +architecture behaviour of pp_soc_reset is + + subtype counter_type is natural range 0 to RESET_CYCLE_COUNT; + signal counter : counter_type; + + signal fast_reset : std_logic := '0'; + signal slow_reset : std_logic := '1'; +begin + + reset_out <= slow_reset; + +-- process(clk) +-- begin +-- if rising_edge(clk) then +-- if reset_n = '0' then +-- fast_reset <= '1'; +-- elsif system_clk_locked = '1' then +-- if fast_reset = '1' and slow_reset = '1' then +-- fast_reset <= '0'; +-- end if; +-- end if; +-- end if; +-- end process; + + process(system_clk) + begin + if rising_edge(system_clk) then + if reset_n = '0' then + slow_reset <= '1'; + counter <= RESET_CYCLE_COUNT; + else + if counter = 0 then + slow_reset <= '0'; + else + counter <= counter - 1; + end if; + end if; + end if; + end process; + +end architecture behaviour; diff --git a/fpga/pp_soc_uart.vhd b/fpga/pp_soc_uart.vhd new file mode 100644 index 0000000..1d5c629 --- /dev/null +++ b/fpga/pp_soc_uart.vhd @@ -0,0 +1,384 @@ +-- The Potato Processor - A simple processor for FPGAs +-- (c) Kristian Klomsten Skordal 2014 - 2016 + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +--! @brief Simple UART module. +--! The following registers are defined: +--! |--------------------|--------------------------------------------| +--! | Address | Description | +--! |--------------------|--------------------------------------------| +--! | 0x00 | Transmit register (write-only) | +--! | 0x08 | Receive register (read-only) | +--! | 0x10 | Status register (read-only) | +--! | 0x18 | Sample clock divisor register (read/write) | +--! | 0x20 | Interrupt enable register (read/write) | +--! |--------------------|--------------------------------------------| +--! +--! The status register contains the following bits: +--! - Bit 0: receive buffer empty +--! - Bit 1: transmit buffer empty +--! - Bit 2: receive buffer full +--! - Bit 3: transmit buffer full +--! +--! The sample clock divisor should be set according to the formula: +--! sample_clk = (f_clk / (baudrate * 16)) - 1 +--! +--! If the sample clock divisor register is set to 0, the sample clock +--! is stopped. +--! +--! Interrupts are enabled by setting the corresponding bit in the interrupt +--! enable register. The following bits are available: +--! - Bit 0: data received (receive buffer not empty) +--! - Bit 1: ready to send data (transmit buffer empty) +entity pp_soc_uart is + generic( + FIFO_DEPTH : natural := 64 --! Depth of the input and output FIFOs. + ); + port( + clk : in std_logic; + reset : in std_logic; + + -- UART ports: + txd : out std_logic; + rxd : in std_logic; + + -- Interrupt signal: + irq : out std_logic; + + -- Wishbone ports: + wb_adr_in : in std_logic_vector(11 downto 0); + wb_dat_in : in std_logic_vector( 7 downto 0); + wb_dat_out : out std_logic_vector( 7 downto 0); + wb_we_in : in std_logic; + wb_cyc_in : in std_logic; + wb_stb_in : in std_logic; + wb_ack_out : out std_logic + ); +end entity pp_soc_uart; + +architecture behaviour of pp_soc_uart is + + subtype bitnumber is natural range 0 to 7; --! Type representing the index of a bit. + + -- UART sample clock signals: + signal sample_clk : std_logic; + signal sample_clk_divisor : std_logic_vector(7 downto 0); + signal sample_clk_counter : std_logic_vector(sample_clk_divisor'range); + + -- UART receive process signals: + type rx_state_type is (IDLE, RECEIVE, STARTBIT, STOPBIT); + signal rx_state : rx_state_type; + signal rx_byte : std_logic_vector(7 downto 0); + signal rx_current_bit : bitnumber; + + subtype rx_sample_counter_type is natural range 0 to 15; + signal rx_sample_counter : rx_sample_counter_type; + signal rx_sample_value : rx_sample_counter_type; + + subtype rx_sample_delay_type is natural range 0 to 7; + signal rx_sample_delay : rx_sample_delay_type; + + -- UART transmit process signals: + type tx_state_type is (IDLE, TRANSMIT, STOPBIT); + signal tx_state : tx_state_type; + signal tx_byte : std_logic_vector(7 downto 0); + signal tx_current_bit : bitnumber; + + -- UART transmit clock: + subtype uart_tx_counter_type is natural range 0 to 15; + signal uart_tx_counter : uart_tx_counter_type := 0; + signal uart_tx_clk : std_logic; + + -- Buffer signals: + signal send_buffer_full, send_buffer_empty : std_logic; + signal recv_buffer_full, recv_buffer_empty : std_logic; + signal send_buffer_input, send_buffer_output : std_logic_vector(7 downto 0); + signal recv_buffer_input, recv_buffer_output : std_logic_vector(7 downto 0); + signal send_buffer_push, send_buffer_pop : std_logic := '0'; + signal recv_buffer_push, recv_buffer_pop : std_logic := '0'; + + -- IRQ enable signals: + signal irq_recv_enable, irq_tx_ready_enable : std_logic := '0'; + + -- Wishbone signals: + type wb_state_type is (IDLE, WRITE_ACK, READ_ACK); + signal wb_state : wb_state_type; + + signal wb_ack : std_logic; --! Wishbone acknowledge signal + +begin + + irq <= (irq_recv_enable and (not recv_buffer_empty)) + or (irq_tx_ready_enable and send_buffer_empty); + + ---------- UART receive ---------- + + recv_buffer_input <= rx_byte; + + uart_receive: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + rx_state <= IDLE; + recv_buffer_push <= '0'; + else + case rx_state is + when IDLE => + if recv_buffer_push = '1' then + recv_buffer_push <= '0'; + end if; + + if sample_clk = '1' and rxd = '0' then + rx_sample_value <= rx_sample_counter; + rx_sample_delay <= 0; + rx_current_bit <= 0; + rx_state <= STARTBIT; + end if; + when STARTBIT => + if sample_clk = '1' then + if rx_sample_delay = 7 then + rx_state <= RECEIVE; + rx_sample_value <= rx_sample_counter; + rx_sample_delay <= 0; + else + rx_sample_delay <= rx_sample_delay + 1; + end if; + end if; + when RECEIVE => + if sample_clk = '1' and rx_sample_counter = rx_sample_value then + if rx_current_bit /= 7 then + rx_byte(rx_current_bit) <= rxd; + rx_current_bit <= rx_current_bit + 1; + else + rx_byte(rx_current_bit) <= rxd; + rx_state <= STOPBIT; + end if; + end if; + when STOPBIT => + if sample_clk = '1' and rx_sample_counter = rx_sample_value then + rx_state <= IDLE; + + if recv_buffer_full = '0' then + recv_buffer_push <= '1'; + end if; + end if; + end case; + end if; + end if; + end process uart_receive; + + sample_counter: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + rx_sample_counter <= 0; + elsif sample_clk = '1' then + if rx_sample_counter = 15 then + rx_sample_counter <= 0; + else + rx_sample_counter <= rx_sample_counter + 1; + end if; + end if; + end if; + end process sample_counter; + + ---------- UART transmit ---------- + + tx_byte <= send_buffer_output; + + uart_transmit: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + txd <= '1'; + tx_state <= IDLE; + send_buffer_pop <= '0'; + tx_current_bit <= 0; + else + case tx_state is + when IDLE => + if send_buffer_empty = '0' and uart_tx_clk = '1' then + txd <= '0'; + send_buffer_pop <= '1'; + tx_current_bit <= 0; + tx_state <= TRANSMIT; + elsif uart_tx_clk = '1' then + txd <= '1'; + end if; + when TRANSMIT => + if send_buffer_pop = '1' then + send_buffer_pop <= '0'; + elsif uart_tx_clk = '1' and tx_current_bit = 7 then + txd <= tx_byte(tx_current_bit); + tx_state <= STOPBIT; + elsif uart_tx_clk = '1' then + txd <= tx_byte(tx_current_bit); + tx_current_bit <= tx_current_bit + 1; + end if; + when STOPBIT => + if uart_tx_clk = '1' then + txd <= '1'; + tx_state <= IDLE; + end if; + end case; + end if; + end if; + end process uart_transmit; + + uart_tx_clock_generator: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + uart_tx_counter <= 0; + uart_tx_clk <= '0'; + else + if sample_clk = '1' then + if uart_tx_counter = 15 then + uart_tx_counter <= 0; + uart_tx_clk <= '1'; + else + uart_tx_counter <= uart_tx_counter + 1; + uart_tx_clk <= '0'; + end if; + else + uart_tx_clk <= '0'; + end if; + end if; + end if; + end process uart_tx_clock_generator; + + ---------- Sample clock generator ---------- + + sample_clock_generator: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + sample_clk_counter <= (others => '0'); + sample_clk <= '0'; + else + if sample_clk_divisor /= x"00" then + if sample_clk_counter = sample_clk_divisor then + sample_clk_counter <= (others => '0'); + sample_clk <= '1'; + else + sample_clk_counter <= std_logic_vector(unsigned(sample_clk_counter) + 1); + sample_clk <= '0'; + end if; + end if; + end if; + end if; + end process sample_clock_generator; + + ---------- Data Buffers ---------- + + send_buffer: entity work.pp_fifo + generic map( + DEPTH => FIFO_DEPTH, + WIDTH => 8 + ) port map( + clk => clk, + reset => reset, + full => send_buffer_full, + empty => send_buffer_empty, + data_in => send_buffer_input, + data_out => send_buffer_output, + push => send_buffer_push, + pop => send_buffer_pop + ); + + recv_buffer: entity work.pp_fifo + generic map( + DEPTH => FIFO_DEPTH, + WIDTH => 8 + ) port map( + clk => clk, + reset => reset, + full => recv_buffer_full, + empty => recv_buffer_empty, + data_in => recv_buffer_input, + data_out => recv_buffer_output, + push => recv_buffer_push, + pop => recv_buffer_pop + ); + + ---------- Wishbone Interface ---------- + + wb_ack_out <= wb_ack and wb_cyc_in and wb_stb_in; + + wishbone: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + wb_ack <= '0'; + wb_state <= IDLE; + send_buffer_push <= '0'; + recv_buffer_pop <= '0'; + sample_clk_divisor <= (others => '0'); + irq_recv_enable <= '0'; + irq_tx_ready_enable <= '0'; + else + case wb_state is + when IDLE => + if wb_cyc_in = '1' and wb_stb_in = '1' then + if wb_we_in = '1' then -- Write to register + if wb_adr_in = x"000" then + send_buffer_input <= wb_dat_in; + send_buffer_push <= '1'; + elsif wb_adr_in = x"018" then + sample_clk_divisor <= wb_dat_in; + elsif wb_adr_in = x"020" then + irq_recv_enable <= wb_dat_in(0); + irq_tx_ready_enable <= wb_dat_in(1); + end if; + + -- Invalid writes are acked and ignored. + + wb_ack <= '1'; + wb_state <= WRITE_ACK; + else -- Read from register + if wb_adr_in = x"008" then + recv_buffer_pop <= '1'; + elsif wb_adr_in = x"010" then + wb_dat_out <= x"0" & send_buffer_full & recv_buffer_full & send_buffer_empty & recv_buffer_empty; + wb_ack <= '1'; + elsif wb_adr_in = x"018" then + wb_dat_out <= sample_clk_divisor; + wb_ack <= '1'; + elsif wb_adr_in = x"020" then + wb_dat_out <= (0 => irq_recv_enable, 1 => irq_tx_ready_enable, others => '0'); + wb_ack <= '1'; + else + wb_dat_out <= (others => '0'); + wb_ack <= '1'; + end if; + wb_state <= READ_ACK; + end if; + end if; + when WRITE_ACK => + send_buffer_push <= '0'; + + if wb_stb_in = '0' then + wb_ack <= '0'; + wb_state <= IDLE; + end if; + when READ_ACK => + if recv_buffer_pop = '1' then + recv_buffer_pop <= '0'; + else + wb_dat_out <= recv_buffer_output; + wb_ack <= '1'; + end if; + + if wb_stb_in = '0' then + wb_ack <= '0'; + wb_state <= IDLE; + end if; + end case; + end if; + end if; + end process wishbone; + +end architecture behaviour; diff --git a/fpga/pp_utilities.vhd b/fpga/pp_utilities.vhd new file mode 100644 index 0000000..959b7a4 --- /dev/null +++ b/fpga/pp_utilities.vhd @@ -0,0 +1,90 @@ +-- The Potato Processor - A simple processor for FPGAs +-- (c) Kristian Klomsten Skordal 2014 + +library ieee; +use ieee.std_logic_1164.all; + +package pp_utilities is + + --! Converts a boolean to an std_logic. + function to_std_logic(input : in boolean) return std_logic; + + -- Checks if a number is 2^n: + function is_pow2(input : in natural) return boolean; + + --! Calculates log2 with integers. + function log2(input : in natural) return natural; + + -- Gets the value of the sel signals to the wishbone interconnect for the specified + -- operand size and address. + function wb_get_data_sel(size : in std_logic_vector(1 downto 0); address : in std_logic_vector) + return std_logic_vector; + +end package pp_utilities; + +package body pp_utilities is + + function to_std_logic(input : in boolean) return std_logic is + begin + if input then + return '1'; + else + return '0'; + end if; + end function to_std_logic; + + function is_pow2(input : in natural) return boolean is + variable c : natural := 1; + begin + for i in 0 to 31 loop + if input = c then + return true; + end if; + + c := c * 2; + end loop; + + return false; + end function is_pow2; + + function log2(input : in natural) return natural is + variable retval : natural := 0; + variable temp : natural := input; + begin + while temp > 1 loop + retval := retval + 1; + temp := temp / 2; + end loop; + + return retval; + end function log2; + + function wb_get_data_sel(size : in std_logic_vector(1 downto 0); address : in std_logic_vector) + return std_logic_vector is + begin + case size is + when b"01" => + case address(1 downto 0) is + when b"00" => + return b"0001"; + when b"01" => + return b"0010"; + when b"10" => + return b"0100"; + when b"11" => + return b"1000"; + when others => + return b"0001"; + end case; + when b"10" => + if address(1) = '0' then + return b"0011"; + else + return b"1100"; + end if; + when others => + return b"1111"; + end case; + end function wb_get_data_sel; + +end package body pp_utilities; diff --git a/fpga/toplevel.vhd b/fpga/toplevel.vhd new file mode 100644 index 0000000..4a124fa --- /dev/null +++ b/fpga/toplevel.vhd @@ -0,0 +1,213 @@ +-- The Potato Processor - SoC design for the Arty FPGA board +-- (c) Kristian Klomsten Skordal 2016 + +library ieee; +use ieee.std_logic_1164.all; + +library work; +use work.wishbone_types.all; + +-- 0x00000000: Main memory (1 MB) +-- 0xc0002000: UART0 (for host communication) +entity toplevel is + port( + clk : in std_logic; + reset_n : in std_logic; + + -- UART0 signals: + uart0_txd : out std_logic; + uart0_rxd : in std_logic + ); +end entity toplevel; + +architecture behaviour of toplevel is + + -- Reset signals: + signal reset : std_logic; + + -- Internal clock signals: + signal system_clk : std_logic; + signal timer_clk : std_logic; + signal system_clk_locked : std_logic; + + -- wishbone signals: + signal wishbone_proc_out: wishbone_master_out; + signal wishbone_proc_in: wishbone_slave_out; + + -- Processor signals: + signal processor_adr_out : std_logic_vector(63 downto 0); + signal processor_sel_out : std_logic_vector(7 downto 0); + signal processor_cyc_out : std_logic; + signal processor_stb_out : std_logic; + signal processor_we_out : std_logic; + signal processor_dat_out : std_logic_vector(63 downto 0); + signal processor_dat_in : std_logic_vector(63 downto 0); + signal processor_ack_in : std_logic; + + -- UART0 signals: + signal uart0_adr_in : std_logic_vector(11 downto 0); + signal uart0_dat_in : std_logic_vector( 7 downto 0); + signal uart0_dat_out : std_logic_vector( 7 downto 0); + signal uart0_cyc_in : std_logic; + signal uart0_stb_in : std_logic; + signal uart0_we_in : std_logic; + signal uart0_ack_out : std_logic; + + -- Main memory signals: + signal main_memory_adr_in : std_logic_vector(19 downto 0); + signal main_memory_dat_in : std_logic_vector(63 downto 0); + signal main_memory_dat_out : std_logic_vector(63 downto 0); + signal main_memory_cyc_in : std_logic; + signal main_memory_stb_in : std_logic; + signal main_memory_sel_in : std_logic_vector(7 downto 0); + signal main_memory_we_in : std_logic; + signal main_memory_ack_out : std_logic; + + -- Selected peripheral on the interconnect: + type intercon_peripheral_type is ( + PERIPHERAL_UART0, PERIPHERAL_MAIN_MEMORY, PERIPHERAL_ERROR, + PERIPHERAL_NONE); + signal intercon_peripheral : intercon_peripheral_type := PERIPHERAL_NONE; + + -- Interconnect address decoder state: + signal intercon_busy : boolean := false; + + -- disable for now + signal gpio_pins : std_logic_vector(11 downto 0); + signal uart1_txd : std_logic; + signal uart1_rxd : std_logic; +begin + + address_decoder: process(system_clk) + begin + if rising_edge(system_clk) then + if reset = '1' then + intercon_peripheral <= PERIPHERAL_NONE; + intercon_busy <= false; + else + if not intercon_busy then + if processor_cyc_out = '1' then + intercon_busy <= true; + + if processor_adr_out(31 downto 24) = x"00" then -- Main memory space + intercon_peripheral <= PERIPHERAL_MAIN_MEMORY; + elsif processor_adr_out(31 downto 24) = x"c0" then -- Peripheral memory space + case processor_adr_out(15 downto 12) is + when x"2" => + intercon_peripheral <= PERIPHERAL_UART0; + when others => -- Invalid address - delegated to the error peripheral + intercon_peripheral <= PERIPHERAL_ERROR; + end case; + else + intercon_peripheral <= PERIPHERAL_ERROR; + end if; + else + intercon_peripheral <= PERIPHERAL_NONE; + end if; + else + if processor_cyc_out = '0' then + intercon_busy <= false; + intercon_peripheral <= PERIPHERAL_NONE; + end if; + end if; + end if; + end if; + end process address_decoder; + + processor_intercon: process(all) + begin + case intercon_peripheral is + when PERIPHERAL_UART0 => + processor_ack_in <= uart0_ack_out; + processor_dat_in <= x"00000000000000" & uart0_dat_out; + when PERIPHERAL_MAIN_MEMORY => + processor_ack_in <= main_memory_ack_out; + processor_dat_in <= main_memory_dat_out; + when PERIPHERAL_NONE => + processor_ack_in <= '0'; + processor_dat_in <= (others => '0'); + when others => + processor_ack_in <= '0'; + processor_dat_in <= (others => '0'); + end case; + end process processor_intercon; + + reset_controller: entity work.pp_soc_reset + port map( + clk => system_clk, + reset_n => reset_n, + reset_out => reset, + system_clk => system_clk, + system_clk_locked => system_clk_locked + ); + + clkgen: entity work.clock_generator + port map( + clk => clk, + resetn => reset_n, + system_clk => system_clk, + locked => system_clk_locked + ); + + processor: entity work.core + port map( + clk => system_clk, + rst => reset, + + wishbone_out => wishbone_proc_out, + wishbone_in => wishbone_proc_in + ); + processor_adr_out <= wishbone_proc_out.adr; + processor_dat_out <= wishbone_proc_out.dat; + processor_sel_out <= wishbone_proc_out.sel; + processor_cyc_out <= wishbone_proc_out.cyc; + processor_stb_out <= wishbone_proc_out.stb; + processor_we_out <= wishbone_proc_out.we; + wishbone_proc_in.dat <= processor_dat_in; + wishbone_proc_in.ack <= processor_ack_in; + + uart0: entity work.pp_soc_uart + generic map( + FIFO_DEPTH => 32 + ) port map( + clk => system_clk, + reset => reset, + txd => uart0_txd, + rxd => uart0_rxd, + wb_adr_in => uart0_adr_in, + wb_dat_in => uart0_dat_in, + wb_dat_out => uart0_dat_out, + wb_cyc_in => uart0_cyc_in, + wb_stb_in => uart0_stb_in, + wb_we_in => uart0_we_in, + wb_ack_out => uart0_ack_out + ); + uart0_adr_in <= processor_adr_out(uart0_adr_in'range); + uart0_dat_in <= processor_dat_out(7 downto 0); + uart0_we_in <= processor_we_out; + uart0_cyc_in <= processor_cyc_out when intercon_peripheral = PERIPHERAL_UART0 else '0'; + uart0_stb_in <= processor_stb_out when intercon_peripheral = PERIPHERAL_UART0 else '0'; + + main_memory: entity work.pp_soc_memory + generic map( + MEMORY_SIZE => 1048576 + ) port map( + clk => system_clk, + reset => reset, + wb_adr_in => main_memory_adr_in, + wb_dat_in => main_memory_dat_in, + wb_dat_out => main_memory_dat_out, + wb_cyc_in => main_memory_cyc_in, + wb_stb_in => main_memory_stb_in, + wb_sel_in => main_memory_sel_in, + wb_we_in => main_memory_we_in, + wb_ack_out => main_memory_ack_out + ); + main_memory_adr_in <= processor_adr_out(main_memory_adr_in'range); + main_memory_dat_in <= processor_dat_out; + main_memory_we_in <= processor_we_out; + main_memory_sel_in <= processor_sel_out; + main_memory_cyc_in <= processor_cyc_out when intercon_peripheral = PERIPHERAL_MAIN_MEMORY else '0'; + main_memory_stb_in <= processor_stb_out when intercon_peripheral = PERIPHERAL_MAIN_MEMORY else '0'; + +end architecture behaviour; diff --git a/glibc_random.vhdl b/glibc_random.vhdl new file mode 100644 index 0000000..2284e04 --- /dev/null +++ b/glibc_random.vhdl @@ -0,0 +1,38 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.glibc_random_helpers.all; + +package glibc_random is + function pseudorand(a: integer) return std_ulogic_vector; + function pseudorand1 return std_ulogic; +end package glibc_random; + +package body glibc_random is + function pseudorand(a: integer) return std_ulogic_vector is + variable tmp1, tmp2, tmp3, tmp4: std_ulogic_vector(31 downto 0); + variable ret: std_ulogic_vector(63 downto 0); + begin + tmp1 := std_ulogic_vector(to_unsigned(random, 32)); + tmp2 := std_ulogic_vector(to_unsigned(random, 32)); + if a <= 32 then + ret := tmp1 & tmp2; + else + tmp3 := std_ulogic_vector(to_unsigned(random, 32)); + tmp4 := std_ulogic_vector(to_unsigned(random, 32)); + + ret := tmp1(15 downto 0) & tmp2(15 downto 0) & tmp3(15 downto 0) & tmp4(15 downto 0); + end if; + + return ret((a-1) downto 0); + end; + + function pseudorand1 return std_ulogic is + variable tmp: std_ulogic_vector(31 downto 0); + begin + tmp := std_ulogic_vector(to_unsigned(random, 32)); + return tmp(0); + end; +end package body glibc_random; diff --git a/glibc_random_helpers.vhdl b/glibc_random_helpers.vhdl new file mode 100644 index 0000000..ee89645 --- /dev/null +++ b/glibc_random_helpers.vhdl @@ -0,0 +1,19 @@ +package glibc_random_helpers is + procedure srand (v : integer); + attribute foreign of srand : procedure is "VHPIDIRECT srand"; + + function random return integer; + attribute foreign of random : function is "VHPIDIRECT random"; +end glibc_random_helpers; + +package body glibc_random_helpers is + procedure srand (v : integer) is + begin + assert false severity failure; + end srand; + + function random return integer is + begin + assert false severity failure; + end random; +end glibc_random_helpers; diff --git a/helpers.vhdl b/helpers.vhdl new file mode 100644 index 0000000..94cb9fd --- /dev/null +++ b/helpers.vhdl @@ -0,0 +1,209 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; + +package helpers is + function fls_32 (val: std_ulogic_vector(31 downto 0)) return integer; + function ffs_32 (val: std_ulogic_vector(31 downto 0)) return integer; + + function fls_64 (val: std_ulogic_vector(63 downto 0)) return integer; + function ffs_64 (val: std_ulogic_vector(63 downto 0)) return integer; + + function popcnt8(val: std_ulogic_vector(7 downto 0)) return std_ulogic_vector; + function popcnt32(val: std_ulogic_vector(31 downto 0)) return std_ulogic_vector; + function popcnt64(val: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function cmp_one_byte(a, b: std_ulogic_vector(7 downto 0)) return std_ulogic_vector; + + function ppc_signed_compare(a, b: signed(63 downto 0)) return std_ulogic_vector; + function ppc_unsigned_compare(a, b: unsigned(63 downto 0)) return std_ulogic_vector; + + function ra_or_zero(ra: std_ulogic_vector(63 downto 0); reg: std_ulogic_vector(4 downto 0)) return std_ulogic_vector; + + function byte_reverse(val: std_ulogic_vector(63 downto 0); size: integer) return std_ulogic_vector; + + function sign_extend(val: std_ulogic_vector(63 downto 0); size: natural) return std_ulogic_vector; +end package helpers; + +package body helpers is + function fls_32 (val: std_ulogic_vector(31 downto 0)) return integer is + variable ret: integer; + begin + ret := 32; + for i in val'range loop + if val(i) = '1' then + ret := 31 - i; + exit; + end if; + end loop; + + return ret; + end; + + function ffs_32 (val: std_ulogic_vector(31 downto 0)) return integer is + variable ret: integer; + begin + ret := 32; + for i in val'reverse_range loop + if val(i) = '1' then + ret := i; + exit; + end if; + end loop; + + return ret; + end; + + function fls_64 (val: std_ulogic_vector(63 downto 0)) return integer is + variable ret: integer; + begin + ret := 64; + for i in val'range loop + if val(i) = '1' then + ret := 63 - i; + exit; + end if; + end loop; + + return ret; + end; + + function ffs_64 (val: std_ulogic_vector(63 downto 0)) return integer is + variable ret: integer; + begin + ret := 64; + for i in val'reverse_range loop + if val(i) = '1' then + ret := i; + exit; + end if; + end loop; + + return ret; + end; + + function popcnt8(val: std_ulogic_vector(7 downto 0)) return std_ulogic_vector is + variable ret: unsigned(3 downto 0) := (others => '0'); + begin + for i in val'range loop + ret := ret + ("000" & val(i)); + end loop; + + return std_ulogic_vector(resize(ret, val'length)); + end; + + function popcnt32(val: std_ulogic_vector(31 downto 0)) return std_ulogic_vector is + variable ret: unsigned(5 downto 0) := (others => '0'); + begin + for i in val'range loop + ret := ret + ("00000" & val(i)); + end loop; + + return std_ulogic_vector(resize(ret, val'length)); + end; + + function popcnt64(val: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable ret: unsigned(6 downto 0) := (others => '0'); + begin + for i in val'range loop + ret := ret + ("000000" & val(i)); + end loop; + + return std_ulogic_vector(resize(ret, val'length)); + end; + + function cmp_one_byte(a, b: std_ulogic_vector(7 downto 0)) return std_ulogic_vector is + variable ret: std_ulogic_vector(7 downto 0); + begin + if a = b then + ret := x"ff"; + else + ret := x"00"; + end if; + + return ret; + end; + + function ppc_signed_compare(a, b: signed(63 downto 0)) return std_ulogic_vector is + variable ret: std_ulogic_vector(3 downto 0); + begin + if a < b then + ret := "1000"; + elsif a > b then + ret := "0100"; + else + ret := "0010"; + end if; + + return ret; + end; + + function ppc_unsigned_compare(a, b: unsigned(63 downto 0)) return std_ulogic_vector is + variable ret: std_ulogic_vector(3 downto 0); + begin + if a < b then + ret := "1000"; + elsif a > b then + ret := "0100"; + else + ret := "0010"; + end if; + + return ret; + end; + + function ra_or_zero(ra: std_ulogic_vector(63 downto 0); reg: std_ulogic_vector(4 downto 0)) return std_ulogic_vector is + begin + if to_integer(unsigned(reg)) = 0 then + return x"0000000000000000"; + else + return ra; + end if; + end; + + function byte_reverse(val: std_ulogic_vector(63 downto 0); size: integer) return std_ulogic_vector is + variable ret : std_ulogic_vector(63 downto 0) := (others => '0'); + begin + -- Vivado doesn't support non constant vector slices, so we have to code + -- each of these. + case_0: case size is + when 2 => + for_2 : for k in 0 to 1 loop + ret(((8*k)+7) downto (8*k)) := val((8*(1-k)+7) downto (8*(1-k))); + end loop; + when 4 => + for_4 : for k in 0 to 3 loop + ret(((8*k)+7) downto (8*k)) := val((8*(3-k)+7) downto (8*(3-k))); + end loop; + when 8 => + for_8 : for k in 0 to 7 loop + ret(((8*k)+7) downto (8*k)) := val((8*(7-k)+7) downto (8*(7-k))); + end loop; + when others => + report "bad byte reverse length " & integer'image(size) severity failure; + end case; + + return ret; + end; + + function sign_extend(val: std_ulogic_vector(63 downto 0); size: natural) return std_ulogic_vector is + variable ret : signed(63 downto 0) := (others => '0'); + variable upper : integer := 0; + begin + case_0: case size is + when 2 => + upper := 15; + when 4 => + upper := 31; + when 8 => + upper := 63; + when others => + report "bad byte reverse length " & integer'image(size) severity failure; + end case; + + ret := resize(signed(val(upper downto 0)), 64); + return std_ulogic_vector(ret); + end; +end package body helpers; diff --git a/loadstore1.vhdl b/loadstore1.vhdl new file mode 100644 index 0000000..3956c94 --- /dev/null +++ b/loadstore1.vhdl @@ -0,0 +1,55 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; + +-- 2 cycle LSU +-- We calculate the address in the first cycle + +entity loadstore1 is + port ( + clk : in std_ulogic; + + l_in : in Decode2ToLoadstore1Type; + l_out : out Loadstore1ToLoadstore2Type + ); +end loadstore1; + +architecture behave of loadstore1 is + signal l : Decode2ToLoadstore1Type; + signal lsu_sum : std_ulogic_vector(63 downto 0); +begin + -- Calculate the address in the first cycle + lsu_sum <= std_ulogic_vector(unsigned(l.addr1) + unsigned(l.addr2)) when l.valid = '1' else (others => '0'); + + loadstore1_0: process(clk) + begin + if rising_edge(clk) then + l <= l_in; + + if l_in.valid = '1' then + report "execute " & to_hstring(l_in.nia); + report "loadstore1 " & to_hstring(l_in.addr1) & " " & to_hstring(l_in.addr2); + + end if; + + end if; + end process; + + loadstore1_1: process(all) + begin + l_out.valid <= l.valid; + l_out.load <= l.load; + l_out.data <= l.data; + l_out.write_reg <= l.write_reg; + l_out.length <= l.length; + l_out.byte_reverse <= l.byte_reverse; + l_out.sign_extend <= l.sign_extend; + l_out.update <= l.update; + l_out.update_reg <= l.update_reg; + + l_out.addr <= lsu_sum; + end process; +end; diff --git a/loadstore2.vhdl b/loadstore2.vhdl new file mode 100644 index 0000000..7aede4a --- /dev/null +++ b/loadstore2.vhdl @@ -0,0 +1,151 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; +use work.helpers.all; +use work.wishbone_types.all; + +-- 2 cycle LSU +-- In this cycle we read or write any data and do sign extension and update if required. + +entity loadstore2 is + port ( + clk : in std_ulogic; + + l_in : in Loadstore1ToLoadstore2Type; + w_out : out Loadstore2ToWritebackType; + + m_in : in wishbone_slave_out; + m_out : out wishbone_master_out + ); +end loadstore2; + +architecture behave of loadstore2 is + signal l_saved : Loadstore1ToLoadstore2Type; + signal w_tmp : Loadstore2ToWritebackType; + signal m_tmp : wishbone_master_out; + + type state_t is (IDLE, WAITING_FOR_READ_ACK, WAITING_FOR_WRITE_ACK); + signal state : state_t := IDLE; + + function length_to_sel(length : in std_logic_vector(3 downto 0)) return std_ulogic_vector is + begin + case length is + when "0001" => + return "00000001"; + when "0010" => + return "00000011"; + when "0100" => + return "00001111"; + when "1000" => + return "11111111"; + when others => + return "00000000"; + end case; + end function length_to_sel; + + function wishbone_data_shift(address : in std_ulogic_vector(63 downto 0)) return natural is + begin + return to_integer(unsigned(address(2 downto 0))) * 8; + end function wishbone_data_shift; + + function wishbone_data_sel(size : in std_logic_vector(3 downto 0); address : in std_logic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(shift_left(unsigned(length_to_sel(size)), to_integer(unsigned(address(2 downto 0))))); + end function wishbone_data_sel; +begin + w_out <= w_tmp; + m_out <= m_tmp; + + loadstore2_0: process(clk) + variable tmp : std_ulogic_vector(63 downto 0); + variable data : std_ulogic_vector(63 downto 0); + begin + if rising_edge(clk) then + tmp := (others => '0'); + data := (others => '0'); + + w_tmp <= Loadstore2ToWritebackInit; + + l_saved <= l_saved; + + case_0: case state is + when IDLE => + if l_in.valid = '1' then + m_tmp <= wishbone_master_out_init; + + m_tmp.sel <= wishbone_data_sel(l_in.length, l_in.addr); + m_tmp.adr <= l_in.addr(63 downto 3) & "000"; + m_tmp.cyc <= '1'; + m_tmp.stb <= '1'; + + if l_in.load = '1' then + m_tmp.we <= '0'; + + l_saved <= l_in; + state <= WAITING_FOR_READ_ACK; + else + m_tmp.we <= '1'; + + w_tmp.valid <= '1'; + + data := l_in.data; + if l_in.byte_reverse = '1' then + data := byte_reverse(data, to_integer(unsigned(l_in.length))); + end if; + + m_tmp.dat <= std_logic_vector(shift_left(unsigned(data), wishbone_data_shift(l_in.addr))); + + assert l_in.sign_extend = '0' report "sign extension doesn't make sense for stores" severity failure; + + if l_in.update = '1' then + w_tmp.write_enable <= '1'; + w_tmp.write_reg <= l_in.update_reg; + w_tmp.write_data <= l_in.addr; + end if; + + state <= WAITING_FOR_WRITE_ACK; + end if; + end if; + + when WAITING_FOR_READ_ACK => + if m_in.ack = '1' then + tmp := std_logic_vector(shift_right(unsigned(m_in.dat), wishbone_data_shift(l_saved.addr))); + data((to_integer(unsigned(l_saved.length))*8-1) downto 0) := tmp((to_integer(unsigned(l_saved.length))*8-1) downto 0); + + if l_saved.sign_extend = '1' then + data := sign_extend(data, to_integer(unsigned(l_saved.length))); + end if; + + if l_saved.byte_reverse = '1' then + data := byte_reverse(data, to_integer(unsigned(l_saved.length))); + end if; + + w_tmp.write_data <= data; + + -- write data to register file + w_tmp.valid <= '1'; + w_tmp.write_enable <= '1'; + w_tmp.write_reg <= l_saved.write_reg; + + if l_saved.update = '1' then + w_tmp.write_enable2 <= '1'; + w_tmp.write_reg2 <= l_saved.update_reg; + w_tmp.write_data2 <= l_saved.addr; + end if; + + m_tmp <= wishbone_master_out_init; + state <= IDLE; + end if; + + when WAITING_FOR_WRITE_ACK => + if m_in.ack = '1' then + m_tmp <= wishbone_master_out_init; + state <= IDLE; + end if; + end case; + end if; + end process; +end; diff --git a/multiply.vhdl b/multiply.vhdl new file mode 100644 index 0000000..9e3339e --- /dev/null +++ b/multiply.vhdl @@ -0,0 +1,104 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; +use work.decode_types.all; +use work.ppc_fx_insns.all; +use work.crhelpers.all; + +entity multiply is + generic ( + PIPELINE_DEPTH : integer := 6 + ); + port ( + clk : in std_logic; + + m_in : in Decode2ToMultiplyType; + m_out : out MultiplyToWritebackType + ); +end entity multiply; + +architecture behaviour of multiply is + signal m: Decode2ToMultiplyType; + + type multiply_pipeline_stage is record + valid : std_ulogic; + insn_type : insn_type_t; + data : signed(129 downto 0); + write_reg : std_ulogic_vector(4 downto 0); + rc : std_ulogic; + end record; + constant MultiplyPipelineStageInit : multiply_pipeline_stage := (valid => '0', insn_type => OP_ILLEGAL, rc => '0', data => (others => '0'), others => (others => '0')); + + type multiply_pipeline_type is array(0 to PIPELINE_DEPTH-1) of multiply_pipeline_stage; + constant MultiplyPipelineInit : multiply_pipeline_type := (others => MultiplyPipelineStageInit); + + type reg_type is record + multiply_pipeline : multiply_pipeline_type; + end record; + + signal r, rin : reg_type := (multiply_pipeline => MultiplyPipelineInit); +begin + multiply_0: process(clk) + begin + if rising_edge(clk) then + m <= m_in; + r <= rin; + end if; + end process; + + multiply_1: process(all) + variable v : reg_type; + variable d : std_ulogic_vector(129 downto 0); + variable d2 : std_ulogic_vector(63 downto 0); + begin + v := r; + + m_out <= MultiplyToWritebackInit; + + if m.valid then + v.multiply_pipeline(0).valid := '1'; + v.multiply_pipeline(0).insn_type := m.insn_type; + v.multiply_pipeline(0).data := signed(m.data1) * signed(m.data2); + v.multiply_pipeline(0).write_reg := m.write_reg; + v.multiply_pipeline(0).rc := m.rc; + else + v.multiply_pipeline(0).valid := '0'; + end if; + + loop_0: for i in 0 to PIPELINE_DEPTH-2 loop + v.multiply_pipeline(i+1) := r.multiply_pipeline(i); + end loop; + + if v.multiply_pipeline(PIPELINE_DEPTH-1).valid then + d := std_ulogic_vector(v.multiply_pipeline(PIPELINE_DEPTH-1).data); + + case_0: case v.multiply_pipeline(PIPELINE_DEPTH-1).insn_type is + when OP_MUL_L64 => + d2 := d(63 downto 0); + when OP_MUL_H32 => + d2 := d(63 downto 32) & d(63 downto 32); + when OP_MUL_H64 => + d2 := d(127 downto 64); + when others => + report "Illegal insn type in multiplier"; + d2 := (others => '0'); + end case; + + m_out.valid <= '1'; + m_out.write_reg_enable <= '1'; + m_out.write_reg_nr <= v.multiply_pipeline(PIPELINE_DEPTH-1).write_reg; + m_out.write_reg_data <= d2; + + if v.multiply_pipeline(PIPELINE_DEPTH-1).rc = '1' then + m_out.write_cr_enable <= '1'; + m_out.write_cr_mask <= num_to_fxm(0); + m_out.write_cr_data <= ppc_cmpi('1', d2, x"0000") & x"0000000"; + end if; + end if; + + rin <= v; + end process; +end architecture behaviour; diff --git a/multiply_tb.vhdl b/multiply_tb.vhdl new file mode 100644 index 0000000..1eb90db --- /dev/null +++ b/multiply_tb.vhdl @@ -0,0 +1,263 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; +use work.glibc_random.all; +use work.ppc_fx_insns.all; + +entity multiply_tb is +end multiply_tb; + +architecture behave of multiply_tb is + signal clk : std_ulogic; + constant clk_period : time := 10 ns; + + constant pipeline_depth: integer := 6; + + signal m1 : DecodeToMultiplyType; + signal m2 : MultiplyToWritebackType; +begin + multiply_0: entity work.multiply + generic map (PIPELINE_DEPTH => pipeline_depth) + port map (clk => clk, m_in => m1, m_out => m2); + + clk_process: process + begin + clk <= '0'; + wait for clk_period/2; + clk <= '1'; + wait for clk_period/2; + end process; + + stim_process: process + variable ra, rb, rt, behave_rt: std_ulogic_vector(63 downto 0); + variable si: std_ulogic_vector(15 downto 0); + begin + wait for clk_period; + + m1.valid <= '1'; + m1.mul_type <= LOWER_64; + m1.nia <= (others => '0'); + m1.write_reg <= "10001"; + m1.data1 <= '0' & x"0000000000001000"; + m1.data2 <= '0' & x"0000000000001111"; + m1.rc <= '0'; + + wait for clk_period; + assert m2.valid = '0'; + + m1.valid <= '0'; + + wait for clk_period; + assert m2.valid = '0'; + + wait for clk_period; + assert m2.valid = '0'; + + wait for clk_period; + assert m2.valid = '1'; + assert m2.write_enable = '1'; + assert m2.write_reg = "10001"; + assert m2.write_data = x"0000000001111000"; + assert m2.write_cr_enable = '0'; + + wait for clk_period; + assert m2.valid = '0'; + + m1.valid <= '1'; + m1.rc <= '1'; + + wait for clk_period; + assert m2.valid = '0'; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + assert m2.valid = '1'; + assert m2.write_enable = '1'; + assert m2.write_reg = "10001"; + assert m2.write_data = x"0000000001111000"; + assert m2.write_cr_enable = '1'; + assert m2.cr = x"4"; + + -- test mulld + mulld_loop : for i in 0 to 1000 loop + ra := pseudorand(ra'length); + rb := pseudorand(rb'length); + + behave_rt := ppc_mulld(ra, rb); + + m1.data1 <= '0' & ra; + m1.data2 <= '0' & rb; + m1.valid <= '1'; + m1.mul_type <= LOWER_64; + + wait for clk_period; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + + assert m2.valid = '1'; + + assert to_hstring(behave_rt) = to_hstring(m2.write_data) + report "bad mulld expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_data); + end loop; + + -- test mulhdu + mulhdu_loop : for i in 0 to 1000 loop + ra := pseudorand(ra'length); + rb := pseudorand(rb'length); + + behave_rt := ppc_mulhdu(ra, rb); + + m1.data1 <= '0' & ra; + m1.data2 <= '0' & rb; + m1.valid <= '1'; + m1.mul_type <= UPPER_64; + + wait for clk_period; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + + assert m2.valid = '1'; + + assert to_hstring(behave_rt) = to_hstring(m2.write_data) + report "bad mulhdu expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_data); + end loop; + + -- test mulhd + mulhd_loop : for i in 0 to 1000 loop + ra := pseudorand(ra'length); + rb := pseudorand(rb'length); + + behave_rt := ppc_mulhd(ra, rb); + + m1.data1 <= ra(63) & ra; + m1.data2 <= rb(63) & rb; + m1.valid <= '1'; + m1.mul_type <= UPPER_64; + + wait for clk_period; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + + assert m2.valid = '1'; + + assert to_hstring(behave_rt) = to_hstring(m2.write_data) + report "bad mulhd expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_data); + end loop; + + -- test mullw + mullw_loop : for i in 0 to 1000 loop + ra := pseudorand(ra'length); + rb := pseudorand(rb'length); + + behave_rt := ppc_mullw(ra, rb); + + m1.data1 <= (others => ra(31)); + m1.data1(31 downto 0) <= ra(31 downto 0); + m1.data2 <= (others => rb(31)); + m1.data2(31 downto 0) <= rb(31 downto 0); + m1.valid <= '1'; + m1.mul_type <= LOWER_64; + + wait for clk_period; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + + assert m2.valid = '1'; + + assert to_hstring(behave_rt) = to_hstring(m2.write_data) + report "bad mullw expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_data); + end loop; + + -- test mulhw + mulhw_loop : for i in 0 to 1000 loop + ra := pseudorand(ra'length); + rb := pseudorand(rb'length); + + behave_rt := ppc_mulhw(ra, rb); + + m1.data1 <= (others => ra(31)); + m1.data1(31 downto 0) <= ra(31 downto 0); + m1.data2 <= (others => rb(31)); + m1.data2(31 downto 0) <= rb(31 downto 0); + m1.valid <= '1'; + m1.mul_type <= UPPER_32; + + wait for clk_period; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + + assert m2.valid = '1'; + + assert to_hstring(behave_rt) = to_hstring(m2.write_data) + report "bad mulhw expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_data); + end loop; + + -- test mulhwu + mulhwu_loop : for i in 0 to 1000 loop + ra := pseudorand(ra'length); + rb := pseudorand(rb'length); + + behave_rt := ppc_mulhwu(ra, rb); + + m1.data1 <= (others => '0'); + m1.data1(31 downto 0) <= ra(31 downto 0); + m1.data2 <= (others => '0'); + m1.data2(31 downto 0) <= rb(31 downto 0); + m1.valid <= '1'; + m1.mul_type <= UPPER_32; + + wait for clk_period; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + + assert m2.valid = '1'; + + assert to_hstring(behave_rt) = to_hstring(m2.write_data) + report "bad mulhwu expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_data); + end loop; + + -- test mulli + mulli_loop : for i in 0 to 1000 loop + ra := pseudorand(ra'length); + si := pseudorand(si'length); + + behave_rt := ppc_mulli(ra, si); + + m1.data1 <= ra(63) & ra; + m1.data2 <= (others => si(15)); + m1.data2(15 downto 0) <= si; + m1.valid <= '1'; + m1.mul_type <= LOWER_64; + + wait for clk_period; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + + assert m2.valid = '1'; + + assert to_hstring(behave_rt) = to_hstring(m2.write_data) + report "bad mulli expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_data); + end loop; + + assert false report "end of test" severity failure; + wait; + end process; +end behave; diff --git a/ppc_fx_insns.vhdl b/ppc_fx_insns.vhdl new file mode 100644 index 0000000..9b23bc1 --- /dev/null +++ b/ppc_fx_insns.vhdl @@ -0,0 +1,749 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.helpers.all; + +package ppc_fx_insns is + function ppc_addi (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_addis (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_add (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_subf (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_neg (ra: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_addic (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_adde (ra, rb: std_ulogic_vector(63 downto 0); carry: std_ulogic) return std_ulogic_vector; + function ppc_subfic (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_subfc (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_subfe (ra, rb: std_ulogic_vector(63 downto 0); carry: std_ulogic) return std_ulogic_vector; + function ppc_addze (ra: std_ulogic_vector(63 downto 0); carry: std_ulogic) return std_ulogic_vector; + + function ppc_andi (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_andis (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_ori (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_oris (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_xori (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_xoris (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_and (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_xor (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_nand (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_or (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_nor (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_andc (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_eqv (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_orc (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_extsb (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_extsh (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_extsw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_cntlzw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_cnttzw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_cntlzd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_cnttzd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_popcntb (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_popcntw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_popcntd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_prtyd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_prtyw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_rlwinm (rs: std_ulogic_vector(63 downto 0); sh, mb, me: std_ulogic_vector(4 downto 0)) return std_ulogic_vector; + function ppc_rlwnm (rs, rb: std_ulogic_vector(63 downto 0); mb, me: std_ulogic_vector(4 downto 0)) return std_ulogic_vector; + function ppc_rlwimi (ra, rs: std_ulogic_vector(63 downto 0); sh, mb, me: std_ulogic_vector(4 downto 0)) return std_ulogic_vector; + function ppc_rldicl (rs: std_ulogic_vector(63 downto 0); sh, mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + function ppc_rldicr (rs: std_ulogic_vector(63 downto 0); sh, me: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + function ppc_rldic (rs: std_ulogic_vector(63 downto 0); sh, mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + function ppc_rldcl (rs, rb: std_ulogic_vector(63 downto 0); mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + function ppc_rldcr (rs, rb: std_ulogic_vector(63 downto 0); me: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + function ppc_rldimi (ra, rs: std_ulogic_vector(63 downto 0); sh, mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + + function ppc_slw (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_srw (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_srawi (rs : std_ulogic_vector(63 downto 0); sh: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + function ppc_sraw (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_sld (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_srd (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_sradi (rs: std_ulogic_vector(63 downto 0); sh: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + function ppc_srad (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_mulld (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_mulhd (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_mulhdu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_mulli (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_mullw (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_mulhw (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_mulhwu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_cmpi (l: std_ulogic; ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_cmp (l: std_ulogic; ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_cmpli (l: std_ulogic; ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_cmpl (l: std_ulogic; ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_cmpb (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_divw (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_divdu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_divd (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_divwu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_b (nia: std_ulogic_vector(63 downto 0); bd: std_ulogic_vector(23 downto 0)) return std_ulogic_vector; + function ppc_bc_taken(bo, bi: std_ulogic_vector(4 downto 0); cr: std_ulogic_vector(31 downto 0); ctr: std_ulogic_vector(63 downto 0)) return integer; + function ppc_bcctr_taken(bo, bi: std_ulogic_vector(4 downto 0); cr: std_ulogic_vector(31 downto 0)) return integer; +end package ppc_fx_insns; + +package body ppc_fx_insns is + function ppc_addi (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(signed(ra) + signed(si)); + end; + + function ppc_addic (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return std_logic_vector(resize(unsigned(ra), 65) + unsigned(resize(signed(si), 64))); + end; + + function ppc_adde (ra, rb: std_ulogic_vector(63 downto 0); carry: std_ulogic) return std_ulogic_vector is + begin + return std_logic_vector(resize(unsigned(ra), 65) + resize(unsigned(rb), 65) + carry); + end; + + function ppc_subfic (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return std_logic_vector(unsigned(resize(signed(si), 64)) + resize(unsigned(not(ra)), 65) + 1); + end; + + function ppc_subfc (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_logic_vector(resize(unsigned(rb), 65) + resize(unsigned(not(ra)), 65) + 1); + end; + + function ppc_subfe (ra, rb: std_ulogic_vector(63 downto 0); carry: std_ulogic) return std_ulogic_vector is + begin + return std_logic_vector(resize(unsigned(rb), 65) + resize(unsigned(not(ra)), 65) + carry); + end; + + function ppc_addze (ra: std_ulogic_vector(63 downto 0); carry: std_ulogic) return std_ulogic_vector is + begin + return std_logic_vector(resize(unsigned(ra), 65) + carry); + end; + + function ppc_addis (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(signed(ra) + shift_left(resize(signed(si), 32), 16)); + end; + + function ppc_add (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(signed(ra) + signed(rb)); + end; + + function ppc_subf (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(signed(rb) - signed(ra)); + end; + + function ppc_neg (ra: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(-signed(ra)); + end; + + function ppc_andi (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return rs and std_ulogic_vector(resize(unsigned(ui), 64)); + end; + + function ppc_andis (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return rs and std_ulogic_vector(shift_left(resize(unsigned(ui), 64), 16)); + end; + + function ppc_ori (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return rs or std_ulogic_vector(resize(unsigned(ui), 64)); + end; + + function ppc_oris (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return rs or std_ulogic_vector(shift_left(resize(unsigned(ui), 64), 16)); + end; + + function ppc_xori (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return rs xor std_ulogic_vector(resize(unsigned(ui), 64)); + end; + + function ppc_xoris (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return rs xor std_ulogic_vector(shift_left(resize(unsigned(ui), 64), 16)); + end; + + function ppc_and (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return rs and rb; + end; + + function ppc_xor (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return rs xor rb; + end; + + function ppc_nand (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return rs nand rb; + end; + + function ppc_or (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return rs or rb; + end; + + function ppc_nor (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return rs nor rb; + end; + + function ppc_andc (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return rs and not(rb); + end; + + function ppc_eqv (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return not(rs xor rb); + end; + + function ppc_orc (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return rs or not(rb); + end; + + function ppc_extsb (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(resize(signed(rs(7 downto 0)), rs'length)); + end; + + function ppc_extsh (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(resize(signed(rs(15 downto 0)), rs'length)); + end; + + function ppc_extsw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(resize(signed(rs(31 downto 0)), rs'length)); + end; + + function ppc_cntlzw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(to_unsigned(fls_32(rs(31 downto 0)), rs'length)); + end; + + function ppc_cnttzw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(to_unsigned(ffs_32(rs(31 downto 0)), rs'length)); + end; + + function ppc_cntlzd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(to_unsigned(fls_64(rs), rs'length)); + end; + + function ppc_cnttzd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(to_unsigned(ffs_64(rs), rs'length)); + end; + + function ppc_popcntb (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable ret: std_ulogic_vector (rs'range); + variable hi: integer; + variable lo: integer; + begin + ret := (others => '0'); + + for i in 1 to 8 loop + hi := (8*i)-1; + lo := 8*(i-1); + ret(hi downto lo) := popcnt8(rs(hi downto lo)); + end loop; + + return ret; + end; + + function ppc_popcntw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable ret: std_ulogic_vector (rs'range); + variable hi: integer; + variable lo: integer; + begin + ret := (others => '0'); + + for i in 1 to 2 loop + hi := (32*i)-1; + lo := 32*(i-1); + ret(hi downto lo) := popcnt32(rs(hi downto lo)); + end loop; + + return ret; + end; + + function ppc_popcntd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return popcnt64(rs); + end; + + function ppc_prtyd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp : std_ulogic; + variable ret : std_ulogic_vector(63 downto 0); + begin + ret := (others => '0'); + + tmp := '0'; + for i in 0 to 7 loop + tmp := tmp xor rs(i*8); + end loop; + + ret(0) := tmp; + return ret; + end; + + function ppc_prtyw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp : std_ulogic; + variable ret : std_ulogic_vector(63 downto 0); + begin + ret := (others => '0'); + + tmp := '0'; + for i in 0 to 3 loop + tmp := tmp xor rs(i*8); + end loop; + ret(0) := tmp; + + tmp := '0'; + for i in 4 to 7 loop + tmp := tmp xor rs(i*8); + end loop; + ret(32) := tmp; + + return ret; + end; + + function ppc_rlwinm (rs: std_ulogic_vector(63 downto 0); sh, mb, me: std_ulogic_vector(4 downto 0)) return std_ulogic_vector is + variable hi, lo : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + begin + hi := 31 - to_integer(unsigned(mb)); + lo := 31 - to_integer(unsigned(me)); + tmp1 := rs(31 downto 0) & rs(31 downto 0); + tmp1 := std_ulogic_vector(rotate_left(unsigned(tmp1), to_integer(unsigned(sh)))); + tmp2 := (others => '0'); + if hi < lo then + -- Mask wraps around + tmp2(63 downto lo) := tmp1(63 downto lo); + tmp2(hi downto 0) := tmp1(hi downto 0); + else + tmp2(hi downto lo) := tmp1(hi downto lo); + end if; + return tmp2; + end; + + function ppc_rlwnm (rs, rb: std_ulogic_vector(63 downto 0); mb, me: std_ulogic_vector(4 downto 0)) return std_ulogic_vector is + variable hi, lo : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + variable n : integer; + begin + hi := 31 - to_integer(unsigned(mb)); + lo := 31 - to_integer(unsigned(me)); + n := to_integer(unsigned(rb(4 downto 0))); + tmp1 := rs(31 downto 0) & rs(31 downto 0); + tmp1 := std_ulogic_vector(rotate_left(unsigned(tmp1), n)); + tmp2 := (others => '0'); + if hi < lo then + -- Mask wraps around + tmp2(63 downto lo) := tmp1(63 downto lo); + tmp2(hi downto 0) := tmp1(hi downto 0); + else + tmp2(hi downto lo) := tmp1(hi downto lo); + end if; + return tmp2; + end; + + function ppc_rlwimi (ra, rs: std_ulogic_vector(63 downto 0); sh, mb, me: std_ulogic_vector(4 downto 0)) return std_ulogic_vector is + variable hi, lo : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + begin + hi := 31 - to_integer(unsigned(mb)); + lo := 31 - to_integer(unsigned(me)); + tmp1 := rs(31 downto 0) & rs(31 downto 0); + tmp1 := std_ulogic_vector(rotate_left(unsigned(tmp1), to_integer(unsigned(sh)))); + tmp2 := ra; + if hi < lo then + -- Mask wraps around + tmp2(63 downto lo) := tmp1(63 downto lo); + tmp2(hi downto 0) := tmp1(hi downto 0); + else + tmp2(hi downto lo) := tmp1(hi downto lo); + end if; + return tmp2; + end; + + function ppc_rldicl (rs: std_ulogic_vector(63 downto 0); sh, mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable hi : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + begin + hi := 63-to_integer(unsigned(mb)); + tmp1 := std_ulogic_vector(rotate_left(unsigned(rs), to_integer(unsigned(sh)))); + tmp2 := (others => '0'); + tmp2(hi downto 0) := tmp1(hi downto 0); + return tmp2; + end; + + function ppc_rldicr (rs: std_ulogic_vector(63 downto 0); sh, me: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable lo : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + begin + lo := 63-to_integer(unsigned(me)); + tmp1 := std_ulogic_vector(rotate_left(unsigned(rs), to_integer(unsigned(sh)))); + tmp2 := (others => '0'); + tmp2(63 downto lo) := tmp1(63 downto lo); + return tmp2; + end; + + function ppc_rldic (rs: std_ulogic_vector(63 downto 0); sh, mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable hi, lo : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + begin + hi := 63-to_integer(unsigned(mb)); + lo := to_integer(unsigned(sh)); + tmp1 := std_ulogic_vector(rotate_left(unsigned(rs), to_integer(unsigned(sh)))); + tmp2 := (others => '0'); + if hi < lo then + -- Mask wraps around + tmp2(63 downto lo) := tmp1(63 downto lo); + tmp2(hi downto 0) := tmp1(hi downto 0); + else + tmp2(hi downto lo) := tmp1(hi downto lo); + end if; + return tmp2; + end; + + function ppc_rldcl (rs, rb: std_ulogic_vector(63 downto 0); mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable hi : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + begin + hi := 63-to_integer(unsigned(mb)); + tmp1 := std_ulogic_vector(rotate_left(unsigned(rs), to_integer(unsigned(rb(5 downto 0))))); + tmp2 := (others => '0'); + tmp2(hi downto 0) := tmp1(hi downto 0); + return tmp2; + end; + + function ppc_rldcr (rs, rb: std_ulogic_vector(63 downto 0); me: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable lo : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + begin + lo := 63-to_integer(unsigned(me)); + tmp1 := std_ulogic_vector(rotate_left(unsigned(rs), to_integer(unsigned(rb(5 downto 0))))); + tmp2 := (others => '0'); + tmp2(63 downto lo) := tmp1(63 downto lo); + return tmp2; + end; + + function ppc_rldimi (ra, rs: std_ulogic_vector(63 downto 0); sh, mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable hi, lo : integer; + variable tmp1, tmp2 : std_ulogic_vector(rs'range); + begin + hi := 63-to_integer(unsigned(mb)); + lo := to_integer(unsigned(sh)); + tmp1 := std_ulogic_vector(rotate_left(unsigned(rs), lo)); + tmp2 := ra; + if hi < lo then + -- Mask wraps around + tmp2(63 downto lo) := tmp1(63 downto lo); + tmp2(hi downto 0) := tmp1(hi downto 0); + else + tmp2(hi downto lo) := tmp1(hi downto lo); + end if; + return tmp2; + end; + + function ppc_slw (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable n : integer; + variable tmp : unsigned(31 downto 0); + begin + n := to_integer(unsigned(rb(5 downto 0))); + tmp := shift_left(unsigned(rs(31 downto 0)), n); + + return (63 downto 32 => '0') & std_ulogic_vector(tmp); + end; + + function ppc_srw (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable n : integer; + variable tmp : unsigned(31 downto 0); + begin + n := to_integer(unsigned(rb(5 downto 0))); + tmp := shift_right(unsigned(rs(31 downto 0)), n); + + return (63 downto 32 => '0') & std_ulogic_vector(tmp); + end; + + function ppc_srawi (rs : std_ulogic_vector(63 downto 0); sh: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable n : integer; + variable tmp : signed(31 downto 0); + variable carry: std_ulogic; + begin + n := to_integer(unsigned(sh)); + tmp := shift_right(signed(rs(31 downto 0)), n); + -- what about n = 0? + carry := or rs(n-1 downto 0) and rs(31); + + return carry & std_ulogic_vector(resize(tmp, rs'length)); + end; + + function ppc_sraw (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable n : natural; + variable tmp : signed(31 downto 0); + variable carry: std_ulogic; + begin + n := to_integer(unsigned(rb(5 downto 0))); + tmp := shift_right(signed(rs(31 downto 0)), n); + -- what about n = 0? + carry := or rs(n-1 downto 0) and rs(31); + + return carry & std_ulogic_vector(resize(tmp, rs'length)); + end; + + function ppc_sld (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable n : integer; + begin + n := to_integer(unsigned(rb(6 downto 0))); + return std_ulogic_vector(shift_left(unsigned(rs), n)); + end; + + function ppc_srd (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable n : integer; + begin + n := to_integer(unsigned(rb(6 downto 0))); + return std_ulogic_vector(shift_right(unsigned(rs), n)); + end; + + function ppc_sradi (rs: std_ulogic_vector(63 downto 0); sh: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable n : integer; + variable carry: std_ulogic; + begin + n := to_integer(unsigned(sh)); + -- what about n = 0? + carry := or rs(n-1 downto 0) and rs(63); + + return carry & std_ulogic_vector(shift_right(signed(rs), n)); + end; + + function ppc_srad (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable n : integer; + variable carry: std_ulogic; + begin + n := to_integer(unsigned(rb(6 downto 0))); + -- what about n = 0? + carry := or rs(n-1 downto 0) and rs(63); + + return carry & std_ulogic_vector(shift_right(signed(rs), n)); + end; + + -- Not sure how to better communicate the top 64 bits of the result is unused + function ppc_mulld (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: signed(127 downto 0); + begin + tmp := signed(ra) * signed(rb); + return std_ulogic_vector(tmp(63 downto 0)); + end; + + -- Not sure how to better communicate the top 64 bits of the result is unused + function ppc_mulhd (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: signed(127 downto 0); + begin + tmp := signed(ra) * signed(rb); + return std_ulogic_vector(tmp(127 downto 64)); + end; + + -- Not sure how to better communicate the top 64 bits of the result is unused + function ppc_mulhdu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: unsigned(127 downto 0); + begin + tmp := unsigned(ra) * unsigned(rb); + return std_ulogic_vector(tmp(127 downto 64)); + end; + + -- Not sure how to better communicate the top 16 bits of the result is unused + function ppc_mulli (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + variable tmp: signed(79 downto 0); + begin + tmp := signed(ra) * signed(si); + return std_ulogic_vector(tmp(63 downto 0)); + end; + + function ppc_mullw (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(signed(ra(31 downto 0)) * signed(rb(31 downto 0))); + end; + + function ppc_mulhw (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: signed(63 downto 0); + begin + tmp := signed(ra(31 downto 0)) * signed(rb(31 downto 0)); + return std_ulogic_vector(tmp(63 downto 32)) & std_ulogic_vector(tmp(63 downto 32)); + end; + + function ppc_mulhwu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: unsigned(63 downto 0); + begin + tmp := unsigned(ra(31 downto 0)) * unsigned(rb(31 downto 0)); + return std_ulogic_vector(tmp(63 downto 32)) & std_ulogic_vector(tmp(63 downto 32)); + end; + + function ppc_cmpi (l: std_ulogic; ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + variable tmp: signed(ra'range); + begin + tmp := signed(ra); + if l = '0' then + tmp := resize(signed(ra(31 downto 0)), tmp'length); + end if; + + return ppc_signed_compare(tmp, resize(signed(si), tmp'length)); + end; + + function ppc_cmp (l: std_ulogic; ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmpa, tmpb: signed(ra'range); + begin + tmpa := signed(ra); + tmpb := signed(rb); + if l = '0' then + tmpa := resize(signed(ra(31 downto 0)), ra'length); + tmpb := resize(signed(rb(31 downto 0)), ra'length); + end if; + + return ppc_signed_compare(tmpa, tmpb); + end; + + function ppc_cmpli (l: std_ulogic; ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + variable tmp: unsigned(ra'range); + begin + tmp := unsigned(ra); + if l = '0' then + tmp := resize(unsigned(ra(31 downto 0)), tmp'length); + end if; + + return ppc_unsigned_compare(tmp, resize(unsigned(si), tmp'length)); + end; + + function ppc_cmpl (l: std_ulogic; ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmpa, tmpb: unsigned(ra'range); + begin + tmpa := unsigned(ra); + tmpb := unsigned(rb); + if l = '0' then + tmpa := resize(unsigned(ra(31 downto 0)), ra'length); + tmpb := resize(unsigned(rb(31 downto 0)), ra'length); + end if; + + return ppc_unsigned_compare(tmpa, tmpb); + end; + + function ppc_cmpb (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable ret: std_ulogic_vector (rs'range); + variable hi: integer; + variable lo: integer; + begin + for i in 1 to 8 loop + hi := (8*i)-1; + lo := 8*(i-1); + ret(hi downto lo) := cmp_one_byte(rs(hi downto lo), rb(hi downto lo)); + end loop; + + return ret; + end; + + -- Not synthesizable + function ppc_divw (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: signed(31 downto 0); + begin + tmp := signed(ra(31 downto 0)) / signed(rb(31 downto 0)); + + return (63 downto 32 => '0') & std_ulogic_vector(tmp); + end; + + function ppc_divdu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: unsigned(63 downto 0) := (others => '0'); + begin + if unsigned(rb) /= 0 then + tmp := unsigned(ra) / unsigned(rb); + end if; + + return std_ulogic_vector(tmp); + end; + + function ppc_divd (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: signed(63 downto 0) := (others => '0'); + begin + if signed(rb) /= 0 then + tmp := signed(ra) / signed(rb); + end if; + + return std_ulogic_vector(tmp); + end; + + function ppc_divwu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: unsigned(31 downto 0) := (others => '0'); + begin + if unsigned(rb(31 downto 0)) /= 0 then + tmp := unsigned(ra(31 downto 0)) / unsigned(rb(31 downto 0)); + end if; + + return std_ulogic_vector(resize(tmp, ra'length)); + end; + + function ppc_b (nia: std_ulogic_vector(63 downto 0); bd: std_ulogic_vector(23 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(signed(nia) + signed(bd & "00")); + end; + + function ppc_bc_taken(bo, bi: std_ulogic_vector(4 downto 0); cr: std_ulogic_vector(31 downto 0); ctr: std_ulogic_vector(63 downto 0)) return integer is + variable crfield: integer; + variable crbit_match: std_ulogic; + variable ctr_not_zero: std_ulogic; + variable ctr_ok: std_ulogic; + variable cond_ok: std_ulogic; + variable ret: integer; + begin + crfield := to_integer(unsigned(bi)); + -- BE bit numbering + crbit_match := '1' when cr(31-crfield) = bo(4-1) else '0'; + -- We check this before it is decremented + ctr_not_zero := '1' when ctr /= x"0000000000000001" else '0'; + ctr_ok := bo(4-2) or (ctr_not_zero xor bo(4-3)); + cond_ok := bo(4-0) or crbit_match; + if ctr_ok = '1' and cond_ok = '1' then + ret := 1; + else + ret := 0; + end if; + return ret; + end; + + function ppc_bcctr_taken(bo, bi: std_ulogic_vector(4 downto 0); cr: std_ulogic_vector(31 downto 0)) return integer is + variable crfield: integer; + variable crbit_match: std_ulogic; + variable cond_ok: std_ulogic; + variable ret: integer; + begin + crfield := to_integer(unsigned(bi)); + -- BE bit numbering + crbit_match := '1' when cr(31-crfield) = bo(4-1) else '0'; + cond_ok := bo(4-0) or crbit_match; + if cond_ok = '1' then + ret := 1; + else + ret := 0; + end if; + return ret; + end; +end package body ppc_fx_insns; diff --git a/register_file.vhdl b/register_file.vhdl new file mode 100644 index 0000000..aa2ae9f --- /dev/null +++ b/register_file.vhdl @@ -0,0 +1,68 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; + +entity register_file is + port( + clk : in std_logic; + + d_in : in Decode2ToRegisterFileType; + d_out : out RegisterFileToDecode2Type; + + w_in : in WritebackToRegisterFileType; + + -- debug + registers_out : out regfile + ); +end entity register_file; + +architecture behaviour of register_file is + signal registers : regfile := (others => (others => '0')); +begin + -- synchronous writes + register_write_0: process(clk) + begin + if rising_edge(clk) then + if w_in.write_enable = '1' then + assert not(is_x(w_in.write_data)) and not(is_x(w_in.write_reg)) severity failure; + report "Writing " & to_hstring(w_in.write_data) & " to " & to_hstring(w_in.write_reg); + registers(to_integer(unsigned(w_in.write_reg))) <= w_in.write_data; + end if; + if w_in.write_enable2 = '1' then + assert not(is_x(w_in.write_data2)) and not(is_x(w_in.write_reg2)) severity failure; + report "Writing " & to_hstring(w_in.write_data2) & " to " & to_hstring(w_in.write_reg2); + registers(to_integer(unsigned(w_in.write_reg2))) <= w_in.write_data2; + end if; + end if; + end process register_write_0; + + -- asynchronous reads + register_read_0: process(all) + begin + report "read " & to_hstring(d_in.read1_reg) & " " & to_hstring(registers(to_integer(unsigned(d_in.read1_reg)))); + report "read " & to_hstring(d_in.read2_reg) & " " & to_hstring(registers(to_integer(unsigned(d_in.read2_reg)))); + report "read " & to_hstring(d_in.read3_reg) & " " & to_hstring(registers(to_integer(unsigned(d_in.read3_reg)))); + d_out.read1_data <= registers(to_integer(unsigned(d_in.read1_reg))); + d_out.read2_data <= registers(to_integer(unsigned(d_in.read2_reg))); + d_out.read3_data <= registers(to_integer(unsigned(d_in.read3_reg))); + + -- Forward any written data + --if w_in.write_enable = '1' then + --if d_in.read1_reg = w_in.write_reg then + --d_out.read1_data <= w_in.write_data; + --end if; + --if d_in.read2_reg = w_in.write_reg then + --d_out.read2_data <= w_in.write_data; + --end if; + --if d_in.read3_reg = w_in.write_reg then + --d_out.read3_data <= w_in.write_data; + --end if; + --end if; + end process register_read_0; + + -- debug + registers_out <= registers; +end architecture behaviour; diff --git a/scripts/dependencies.py b/scripts/dependencies.py new file mode 100755 index 0000000..a06696f --- /dev/null +++ b/scripts/dependencies.py @@ -0,0 +1,25 @@ +#!/usr/bin/python3 + +# Create makefile dependencies for VHDL files, looking for "use work" and +# "entity work" declarations + +import sys +import re + +work = re.compile('use work\.([^.]+)\.') +entity = re.compile('entity work\.(.*)') + +for filename in sys.argv[1:]: + with open(filename, 'r') as f: + (basename, suffix) = filename.split('.') + print('%s.o:' % basename, end='') + + for line in f: + m = work.search(line) + if m: + print(' %s.o' % m.group(1), end='') + + m = entity.search(line) + if m: + print(' %s.o' % m.group(1), end='') + print() diff --git a/scripts/hash.py b/scripts/hash.py new file mode 100755 index 0000000..3b84a29 --- /dev/null +++ b/scripts/hash.py @@ -0,0 +1,16 @@ +#!/usr/bin/python3 + +import re +import fileinput + +r = re.compile("REG ([0-9A-F]+)"); + +regs = list() + +for line in fileinput.input(): + m = r.search(line) + if m: + regs.append(int(m.group(1), 16)) + #print("%016X"% int(m.group(1), 16)) + +print("%x" % hash(tuple(regs))) diff --git a/scripts/run_test.sh b/scripts/run_test.sh new file mode 100755 index 0000000..b6f2ee6 --- /dev/null +++ b/scripts/run_test.sh @@ -0,0 +1,33 @@ +#!/bin/bash + +if [ $# -ne 1 ]; then + echo "Usage: run_test.sh " + exit 1 +fi + +TEST=$1 + +TMPDIR=$(mktemp -d) + +function finish { + rm -rf "$TMPDIR" +} + +trap finish EXIT + +MICROWATT_DIR=$PWD + +Y=$(${MICROWATT_DIR}/scripts/hash.py tests/${TEST}.out) + +cd $TMPDIR + +cp ${MICROWATT_DIR}/tests/${TEST}.bin simple_ram_behavioural.bin + +X=$( ${MICROWATT_DIR}/core_tb | ${MICROWATT_DIR}/scripts/hash.py ) + +if [ $X == $Y ]; then + echo "$TEST PASS" +else + echo "$TEST FAIL ********" + exit 1 +fi diff --git a/scripts/test_micropython.py b/scripts/test_micropython.py new file mode 100755 index 0000000..d7ffb2d --- /dev/null +++ b/scripts/test_micropython.py @@ -0,0 +1,40 @@ +#!/usr/bin/python3 + +import tempfile +import os +from shutil import copyfile +import subprocess +from pexpect import fdpexpect +import sys +import signal + +tempdir = tempfile.TemporaryDirectory() +cwd = os.getcwd() +os.chdir(tempdir.name) + +copyfile(os.path.join(cwd, 'tests/micropython.bin'), + os.path.join(tempdir.name, 'simple_ram_behavioural.bin')) + +cmd = [ os.path.join(cwd, './core_tb') ] + +devNull = open(os.devnull, 'w') +p = subprocess.Popen(cmd, stdout=devNull, + stdin=subprocess.PIPE, stderr=subprocess.PIPE) + +exp = fdpexpect.fdspawn(p.stderr) +exp.logfile = sys.stdout.buffer + +exp.expect('Type "help\(\)" for more information.') +exp.expect('>>>') + +p.stdin.write(b'print("foo")\r\n') +p.stdin.flush() + +# Catch the command echoed back to the console +exp.expect('foo', timeout=600) + +# Now catch the output +exp.expect('foo', timeout=600) +exp.expect('>>>') + +os.kill(p.pid, signal.SIGKILL) diff --git a/scripts/test_micropython_long.py b/scripts/test_micropython_long.py new file mode 100755 index 0000000..805c6b2 --- /dev/null +++ b/scripts/test_micropython_long.py @@ -0,0 +1,48 @@ +#!/usr/bin/python3 + +import tempfile +import os +from shutil import copyfile +import subprocess +from pexpect import fdpexpect +import sys +import signal + +tempdir = tempfile.TemporaryDirectory() +cwd = os.getcwd() +os.chdir(tempdir.name) + +copyfile(os.path.join(cwd, 'tests/micropython.bin'), + os.path.join(tempdir.name, 'simple_ram_behavioural.bin')) + +cmd = [ os.path.join(cwd, './core_tb') ] + +devNull = open(os.devnull, 'w') +p = subprocess.Popen(cmd, stdout=devNull, + stdin=subprocess.PIPE, stderr=subprocess.PIPE) + +exp = fdpexpect.fdspawn(p.stderr) +exp.logfile = sys.stdout.buffer + +exp.expect('Type "help\(\)" for more information.') +exp.expect('>>>') + +p.stdin.write(b'n2=0\r\n') +p.stdin.write(b'n1=1\r\n') +p.stdin.write(b'for i in range(5):\r\n') +p.stdin.write(b' n0 = n1 + n2\r\n') +p.stdin.write(b' print(n0)\r\n') +p.stdin.write(b' n2 = n1\r\n') +p.stdin.write(b' n1 = n0\r\n') +p.stdin.write(b'\r\n') +p.stdin.flush() + +exp.expect('n1 = n0', timeout=600) +exp.expect('1', timeout=600) +exp.expect('2', timeout=600) +exp.expect('3', timeout=600) +exp.expect('5', timeout=600) +exp.expect('8', timeout=600) +exp.expect('>>>', timeout=600) + +os.kill(p.pid, signal.SIGKILL) diff --git a/sim_console.vhdl b/sim_console.vhdl new file mode 100644 index 0000000..2f431bf --- /dev/null +++ b/sim_console.vhdl @@ -0,0 +1,30 @@ +library ieee; +use ieee.std_logic_1164.all; + +package sim_console is + procedure sim_console_read (val: out std_ulogic_vector(63 downto 0)); + attribute foreign of sim_console_read : procedure is "VHPIDIRECT sim_console_read"; + + procedure sim_console_poll (val: out std_ulogic_vector(63 downto 0)); + attribute foreign of sim_console_poll : procedure is "VHPIDIRECT sim_console_poll"; + + procedure sim_console_write (val: std_ulogic_vector(63 downto 0)); + attribute foreign of sim_console_write : procedure is "VHPIDIRECT sim_console_write"; +end sim_console; + +package body sim_console is + procedure sim_console_read (val: out std_ulogic_vector(63 downto 0)) is + begin + assert false report "VHPI" severity failure; + end sim_console_read; + + procedure sim_console_poll (val: out std_ulogic_vector(63 downto 0)) is + begin + assert false report "VHPI" severity failure; + end sim_console_poll; + + procedure sim_console_write (val: std_ulogic_vector(63 downto 0)) is + begin + assert false report "VHPI" severity failure; + end sim_console_write; +end sim_console; diff --git a/sim_console_c.c b/sim_console_c.c new file mode 100644 index 0000000..0a89fb2 --- /dev/null +++ b/sim_console_c.c @@ -0,0 +1,136 @@ +#include +#include +#include +#include +#include +#include +#include +#include + + +#define vhpi0 2 /* forcing 0 */ +#define vhpi1 3 /* forcing 1 */ + +static uint64_t from_std_logic_vector(unsigned char *p, unsigned long len) +{ + unsigned long ret = 0; + + if (len > 64) { + fprintf(stderr, "%s: invalid length %lu\n", __func__, len); + exit(1); + } + + for (unsigned long i = 0; i < len; i++) { + unsigned char bit; + + if (*p == vhpi0) { + bit = 0; + } else if (*p == vhpi1) { + bit = 1; + } else { + fprintf(stderr, "%s: bad bit %d\n", __func__, *p); + bit = 0; + } + + ret = (ret << 1) | bit; + p++; + } + + return ret; +} + +static void to_std_logic_vector(unsigned long val, unsigned char *p, + unsigned long len) +{ + if (len > 64) { + fprintf(stderr, "%s: invalid length %lu\n", __func__, len); + exit(1); + } + + for (unsigned long i = 0; i < len; i++) { + if ((val >> (len-1-i) & 1)) + *p = vhpi1; + else + *p = vhpi0; + + p++; + } +} + +static struct termios oldt; + +static void restore_termios(void) +{ + tcsetattr(STDIN_FILENO, TCSANOW, &oldt); +} + +static void nonblocking(void) +{ + static bool initialized = false; + + if (!initialized) { + static struct termios newt; + + tcgetattr(STDIN_FILENO, &oldt); + newt = oldt; + newt.c_lflag &= ~(ICANON|ECHO); + + newt.c_iflag &= ~(BRKINT | ICRNL | INPCK | ISTRIP | IXON); + newt.c_oflag &= ~(OPOST); + newt.c_cflag |= (CS8); + newt.c_lflag &= ~(ECHO | ICANON | IEXTEN | ISIG); + + tcsetattr(STDIN_FILENO, TCSANOW, &newt); + initialized = true; + atexit(restore_termios); + } +} + +void sim_console_read(unsigned char *__rt) +{ + int ret; + unsigned long val = 0; + + nonblocking(); + + ret = read(STDIN_FILENO, &val, 1); + if (ret != 1) { + fprintf(stderr, "%s: read of stdin returns %d\n", __func__, ret); + exit(1); + } + + //fprintf(stderr, "read returns %c\n", val); + + to_std_logic_vector(val, __rt, 64); +} + +void sim_console_poll(unsigned char *__rt) +{ + int ret; + struct pollfd fdset[1]; + uint8_t val = 0; + + nonblocking(); + + memset(fdset, 0, sizeof(fdset)); + + fdset[0].fd = STDIN_FILENO; + fdset[0].events = POLLIN; + + ret = poll(fdset, 1, -1); + //fprintf(stderr, "poll returns %d\n", ret); + + if (ret == 1) + val = 1; + + to_std_logic_vector(val, __rt, 64); +} + +void sim_console_write(unsigned char *__rs) +{ + uint8_t val; + + val = from_std_logic_vector(__rs, 64); + + fprintf(stderr, "%c", val); +} diff --git a/simple_ram_behavioural.vhdl b/simple_ram_behavioural.vhdl new file mode 100644 index 0000000..c39af3e --- /dev/null +++ b/simple_ram_behavioural.vhdl @@ -0,0 +1,81 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use std.textio.all; + +library work; +use work.wishbone_types.all; +use work.simple_ram_behavioural_helpers.all; + +entity simple_ram_behavioural is + generic ( + FILENAME : string; + SIZE : integer + ); + + port ( + clk : in std_ulogic; + rst : in std_ulogic; + + wishbone_in : in wishbone_master_out; + wishbone_out : out wishbone_slave_out + ); +end simple_ram_behavioural; + +architecture behave of simple_ram_behavioural is + type wishbone_state_t is (IDLE, ACK); + + signal state : wishbone_state_t := IDLE; + signal ret_ack : std_ulogic := '0'; + signal identifier : integer := behavioural_initialize(filename => FILENAME, size => SIZE); + signal reload : integer := 0; +begin + wishbone_process: process(clk) + variable ret_dat: std_ulogic_vector(63 downto 0) := (others => '0'); + begin + wishbone_out.ack <= ret_ack and wishbone_in.cyc and wishbone_in.stb; + wishbone_out.dat <= ret_dat; + + if rising_edge(clk) then + if rst = '1' then + state <= IDLE; + ret_ack <= '0'; + report "MEM RST"; + else + ret_dat := x"XXXXXXXXXXXXXXXX"; + + -- Active + if wishbone_in.cyc = '1' then + report "MEM CYC"; + case state is + when IDLE => + report "MEM IDLE"; + if wishbone_in.stb = '1' then + -- write + if wishbone_in.we = '1' then + assert not(is_x(wishbone_in.dat)) and not(is_x(wishbone_in.adr)) severity failure; + report "RAM writing " & to_hstring(wishbone_in.dat) & " to " & to_hstring(wishbone_in.adr); + behavioural_write(wishbone_in.dat, wishbone_in.adr, to_integer(unsigned(wishbone_in.sel)), identifier); + reload <= reload + 1; + ret_ack <= '1'; + state <= ACK; + else + behavioural_read(ret_dat, wishbone_in.adr, to_integer(unsigned(wishbone_in.sel)), identifier, reload); + report "RAM reading from " & to_hstring(wishbone_in.adr) & " returns " & to_hstring(ret_dat); + ret_ack <= '1'; + state <= ACK; + end if; + end if; + when ACK => + report "MEM ACK"; + ret_ack <= '0'; + state <= IDLE; + end case; + else + ret_ack <= '0'; + state <= IDLE; + end if; + end if; + end if; + end process; +end behave; diff --git a/simple_ram_behavioural_helpers.vhdl b/simple_ram_behavioural_helpers.vhdl new file mode 100644 index 0000000..8540fa0 --- /dev/null +++ b/simple_ram_behavioural_helpers.vhdl @@ -0,0 +1,30 @@ +library ieee; +use ieee.std_logic_1164.all; + +package simple_ram_behavioural_helpers is + function behavioural_initialize (filename: String; size: integer) return integer; + attribute foreign of behavioural_initialize : function is "VHPIDIRECT behavioural_initialize"; + + procedure behavioural_read (val: out std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer; reload: integer); + attribute foreign of behavioural_read : procedure is "VHPIDIRECT behavioural_read"; + + procedure behavioural_write (val: std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer); + attribute foreign of behavioural_write : procedure is "VHPIDIRECT behavioural_write"; +end simple_ram_behavioural_helpers; + +package body simple_ram_behavioural_helpers is + function behavioural_initialize (filename: String; size: integer) return integer is + begin + assert false report "VHPI" severity failure; + end behavioural_initialize; + + procedure behavioural_read (val: out std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer; reload: integer) is + begin + assert false report "VHPI" severity failure; + end behavioural_read; + + procedure behavioural_write (val: std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer) is + begin + assert false report "VHPI" severity failure; + end behavioural_write; +end simple_ram_behavioural_helpers; diff --git a/simple_ram_behavioural_helpers_c.c b/simple_ram_behavioural_helpers_c.c new file mode 100644 index 0000000..e7dfcb5 --- /dev/null +++ b/simple_ram_behavioural_helpers_c.c @@ -0,0 +1,258 @@ +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#define DEBUG + +#define ALIGN_UP(VAL, SIZE) (((VAL) + ((SIZE)-1)) & ~((SIZE)-1)) + +#define vhpi0 2 /* forcing 0 */ +#define vhpi1 3 /* forcing 1 */ + +struct int_bounds +{ + int left; + int right; + char dir; + unsigned int len; +}; + +struct fat_pointer +{ + void *base; + struct int_bounds *bounds; +}; + +static char *from_string(void *__p) +{ + struct fat_pointer *p = __p; + unsigned long len = p->bounds->len; + char *m; + + m = malloc(len+1); + if (!m) { + perror("malloc"); + exit(1); + } + + memcpy(m, p->base, len); + m[len] = 0x0; + + return m; +} + +static uint64_t from_std_logic_vector(unsigned char *p, unsigned long len) +{ + unsigned long ret = 0; + + if (len > 64) { + fprintf(stderr, "%s: invalid length %lu\n", __func__, len); + exit(1); + } + + for (unsigned long i = 0; i < len; i++) { + unsigned char bit; + + if (*p == vhpi0) { + bit = 0; + } else if (*p == vhpi1) { + bit = 1; + } else { + fprintf(stderr, "%s: bad bit %d\n", __func__, *p); + bit = 0; + } + + ret = (ret << 1) | bit; + p++; + } + + return ret; +} + +static void to_std_logic_vector(unsigned long val, unsigned char *p, + unsigned long len) +{ + if (len > 64) { + fprintf(stderr, "%s: invalid length %lu\n", __func__, len); + exit(1); + } + + for (unsigned long i = 0; i < len; i++) { + if ((val >> (len-1-i) & 1)) + *p = vhpi1; + else + *p = vhpi0; + + p++; + } +} + +#define MAX_REGIONS 128 + +struct ram_behavioural { + char *filename; + unsigned long size; + void *m; +}; + +static struct ram_behavioural behavioural_regions[MAX_REGIONS]; +static unsigned long region_nr; + +unsigned long behavioural_initialize(void *__f, unsigned long size) +{ + struct ram_behavioural *r; + int fd; + struct stat buf; + unsigned long tmp_size; + void *mem; + + if (region_nr == MAX_REGIONS) { + fprintf(stderr, "%s: too many regions, bump MAX_REGIONS\n"); + exit(1); + } + + r = &behavioural_regions[region_nr]; + + r->filename = from_string(__f); + r->size = ALIGN_UP(size, getpagesize()); + + fd = open(r->filename, O_RDWR); + if (fd == -1) { + fprintf(stderr, "%s: could not open %s\n", __func__, + r->filename); + exit(1); + } + + if (fstat(fd, &buf)) { + perror("fstat"); + exit(1); + } + + /* XXX Do we need to truncate the underlying file? */ + tmp_size = ALIGN_UP(buf.st_size, getpagesize()); + + if (r->size > tmp_size) { + void *m; + + /* + * We have to pad the file. Allocate the total size, then + * create a space for the file. + */ + mem = mmap(NULL, r->size, PROT_READ|PROT_WRITE, + MAP_PRIVATE|MAP_ANONYMOUS, -1, 0); + if (mem == MAP_FAILED) { + perror("mmap"); + exit(1); + } + + if (tmp_size) { + munmap(mem, tmp_size); + + m = mmap(mem, tmp_size, PROT_READ|PROT_WRITE, + MAP_PRIVATE|MAP_FIXED, fd, 0); + if (m == MAP_FAILED) { + perror("mmap"); + exit(1); + } + if (m != mem) { + fprintf(stderr, "%s: mmap(MAP_FIXED) failed\n", + __func__); + exit(1); + } + } + } else { + mem = mmap(NULL, tmp_size, PROT_READ|PROT_WRITE, MAP_PRIVATE, + fd, 0); + if (mem == MAP_FAILED) { + perror("mmap"); + exit(1); + } + } + + behavioural_regions[region_nr].m = mem; + return region_nr++; +} + +void behavioural_read(unsigned char *__val, unsigned char *__addr, + unsigned long sel, int identifier) +{ + struct ram_behavioural *r; + unsigned long val = 0; + unsigned long addr = from_std_logic_vector(__addr, 64); + unsigned char *p; + + if (identifier > region_nr) { + fprintf(stderr, "%s: bad index %d\n", __func__, identifier); + exit(1); + } + + r = &behavioural_regions[identifier]; + + for (unsigned long i = 0; i < 8; i++) { +#if 0 + /* sel only used on writes */ + if (!(sel & (1UL << i))) + continue; +#endif + + if ((addr + i) > r->size) { + fprintf(stderr, "%s: bad memory access %lx %lx\n", __func__, + addr+i, r->size); + exit(1); + } + + p = (unsigned char *)(((unsigned long)r->m) + addr + i); + val |= (((unsigned long)*p) << (i*8)); + } + +#ifdef DEBUG + printf("MEM behave %d read %016lx addr %016lx sel %02lx\n", identifier, val, + addr, sel); +#endif + + to_std_logic_vector(val, __val, 64); +} + +void behavioural_write(unsigned char *__val, unsigned char *__addr, + unsigned int sel, int identifier) +{ + struct ram_behavioural *r; + unsigned long val = from_std_logic_vector(__val, 64); + unsigned long addr = from_std_logic_vector(__addr, 64); + unsigned char *p; + + if (identifier > region_nr) { + fprintf(stderr, "%s: bad index %d\n", __func__, identifier); + exit(1); + } + + r = &behavioural_regions[identifier]; + + p = (unsigned char *)(((unsigned long)r->m) + addr); + +#ifdef DEBUG + printf("MEM behave %d write %016lx addr %016lx sel %02x\n", identifier, val, + addr, sel); +#endif + + for (unsigned long i = 0; i < 8; i++) { + if (!(sel & (1UL << i))) + continue; + + if ((addr + i) > r->size) { + fprintf(stderr, "%s: bad memory access %lx %lx\n", __func__, + addr+i, r->size); + exit(1); + } + + p = (unsigned char *)(((unsigned long)r->m) + addr + i); + *p = (val >> (i*8)) & 0xff; + } +} diff --git a/simple_ram_behavioural_tb.bin b/simple_ram_behavioural_tb.bin new file mode 100644 index 0000000000000000000000000000000000000000..b66efb8adab7795606f4ebbc70be4c0a1d047a52 GIT binary patch literal 16 XcmZQzWMXDvWn<^yLnc0=NKq literal 0 HcmV?d00001 diff --git a/simple_ram_behavioural_tb.vhdl b/simple_ram_behavioural_tb.vhdl new file mode 100644 index 0000000..823c1b6 --- /dev/null +++ b/simple_ram_behavioural_tb.vhdl @@ -0,0 +1,233 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.wishbone_types.all; + +entity simple_ram_behavioural_tb is +end simple_ram_behavioural_tb; + +architecture behave of simple_ram_behavioural_tb is + signal clk : std_ulogic; + signal rst : std_ulogic := '1'; + + constant clk_period : time := 10 ns; + + signal w_in : wishbone_slave_out; + signal w_out : wishbone_master_out; +begin + simple_ram_0: entity work.simple_ram_behavioural + generic map ( filename => "simple_ram_behavioural_tb.bin", size => 16 ) + port map (clk => clk, rst => rst, wishbone_out => w_in, wishbone_in => w_out); + + clock: process + begin + clk <= '1'; + wait for clk_period / 2; + clk <= '0'; + wait for clk_period / 2; + end process clock; + + stim: process + begin + w_out.adr <= (others => '0'); + w_out.dat <= (others => '0'); + w_out.cyc <= '0'; + w_out.stb <= '0'; + w_out.sel <= (others => '0'); + w_out.we <= '0'; + + wait for clk_period; + rst <= '0'; + + wait for clk_period; + + w_out.cyc <= '1'; + + -- test various read lengths and alignments + w_out.stb <= '1'; + w_out.sel <= "00000001"; + w_out.adr <= x"0000000000000000"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(7 downto 0) = x"00" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00000001"; + w_out.adr <= x"0000000000000001"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(7 downto 0) = x"01" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00000001"; + w_out.adr <= x"0000000000000007"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(7 downto 0) = x"07" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00000011"; + w_out.adr <= x"0000000000000000"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(15 downto 0) = x"0100" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00000011"; + w_out.adr <= x"0000000000000001"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(15 downto 0) = x"0201" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00000011"; + w_out.adr <= x"0000000000000007"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(15 downto 0) = x"0807" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00001111"; + w_out.adr <= x"0000000000000000"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(31 downto 0) = x"03020100" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00001111"; + w_out.adr <= x"0000000000000001"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(31 downto 0) = x"04030201" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00001111"; + w_out.adr <= x"0000000000000007"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(31 downto 0) = x"0A090807" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "11111111"; + w_out.adr <= x"0000000000000000"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(63 downto 0) = x"0706050403020100" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "11111111"; + w_out.adr <= x"0000000000000001"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(63 downto 0) = x"0807060504030201" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "11111111"; + w_out.adr <= x"0000000000000007"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(63 downto 0) = x"0E0D0C0B0A090807" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + -- test various write lengths and alignments + w_out.stb <= '1'; + w_out.sel <= "00000001"; + w_out.adr <= x"0000000000000000"; + w_out.we <= '1'; + w_out.dat(7 downto 0) <= x"0F"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00000001"; + w_out.adr <= x"0000000000000000"; + w_out.we <= '0'; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(7 downto 0) = x"0F" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "11111111"; + w_out.adr <= x"0000000000000007"; + w_out.we <= '1'; + w_out.dat <= x"BADC0FFEBADC0FFE"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "11111111"; + w_out.adr <= x"0000000000000007"; + w_out.we <= '0'; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat = x"BADC0FFEBADC0FFE" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + assert false report "end of test" severity failure; + wait; + end process; +end behave; diff --git a/tests/1.bin b/tests/1.bin new file mode 100644 index 0000000000000000000000000000000000000000..b7a11fc56e91132aff910d2816c574c8610ba42a GIT binary patch literal 33468 zcmW(+cT^M27Y#+l9v}g15G*uN5=bCXRKSKkfFdeF5NXyR3G5~_Z?I!Wy5$3Gh#eFZ ztXMt;3kFcY@&R_Npn?V)zw!46XXe~=v&~fqKIfo;lm@UO@DQ3IafX+KRy*xWReJJL`&~fqKxv8nb)6_JaV&M!O z7yq3nB_(<$C9R{_I);vm|IRs_Tzb7j6gxzJdx-w_5WQX*z1~fV-K6)pN$-18VZdqf zG%|ccu{TT?<2Q@Db$z4g+Kn^DxQj{H&2A>Fp^-@h$G{}4lOq_@$#JBZBTL7KzjIEf z*BDOcREkYy=@{{Me&~>5%%MX|DYlfQW5nM%hm$yl!`Vu)tt=fQ4C$OcAH_~k>;%P5 zL>SXKhf_weN{UrdtTMuc&N-YWinUOzg<>rcTso)gHI~ESF1p!`tD$40fX?aqQA|WJ z5yeE2UUbgkcu~xsV*V8Kk4&UGM%+FU9t14LN%$ zwwGeL6uU&ROIl;jC5m05SQ*6{DAu4g;WSXJfnrS*>!etxmdoj+SZDaHAzJ@PUpEae zXbS!iG-uRdqh?Un0(xP6j%{n2h+`vlB+u{&7PnZC)#F<skocAey)? ze7R7i8$jBc)*}An3&2g<3i8}R@OJ*72$g~cU;=pfPDKVKyU`E3+&I&+n^?C0CVD<@s@AKlgZP!rCnlWFUZ(jA zi15=aeALd2+2%Qf$@H3w)#8)nfV>xLJ5NZsrRTAv#h0jiox^HXGS-ohD6YXjSeS7Q z#G&UwopTFke5fJ(A7imMGXdAEHUa~ID|kD+N41a6qM=>g9O`22;qSgyhr9wMs-XP6@HO6c2J`D8#x; z=rh0eZg4tGWV$in_U*ABulWj@5j;CgX0-z;SL9&zY7@+x8btW|AXHrU8r%FJz?)xB z+Vam~<%dK?`->RF_Sl1pTw1Y6UWH9KCgVT2K+MToYjcTEQG!(Snjj=?w(`rvAq&-l zDY#2vowd2~Yo_SxQ8)kf|7e-qA2_CE^$0F&i~8T=I~Zi`hLnPB0DjsP9p&e-SM(Ju z-7<}2zg&tB8y!Jw?B2`v=f+zZv4@)3V?7o@&uh?N@#BCo6`$SbK9 z*1^{RZ`~orPskG0) zT_nb%NKN5MB(k`GUJllVsY7pIQDPyHE7tx$$5bWO5zFXU)Z?Kx#3Lvk^^Qwh_0TZ$zR`TvX)o zm{c9=qm(=yiSO+Q3zY<|#@zfk)M+r-)9I+hiGO))Lc38DGJUJYc}9+4sXv1b8#!YA z(qrV+`TN@BF8egfw*LNk+x5#%+sxKh4O^`}Z1@&L*>NcEgQdSdOOB(Q53rr0-qvYv z8T>oozbK2Q*{CD;HtD^vkB6kw5Y5^;+Fw{^WJ?4%G2|w@{Qd%Md!i8$zHUa@hP`lM zuPs`sZUZ(GSD;#l7`bWgB+^#B0(CH#h)1pwF-R^(BLZ3+Me(z@A~eUfD^_JKhl9Z{qitjN;%s3PS|7GaQ|z)4 zGS@voD&Ky1x zw}bxPXQ4~t;*#+7L@jhCsY7jvOxj3h;R9M_AcxeM_5jta;~;A?21(~E6np+)gz#HW zv^4nhAoYeYcFye|{DrdmUC_Sy0lFUG!NzAiN1;>8$O9;rSmYAA|H^TD{dQ#L z%N=Mo`yjFW(2Iz&1f;Qd9iDb=fW|boH?*a&sD1nkB)dI@gr?0|SXc3lTs##&s0aW+M3>S>f)k>M^r0sel@qfW# zeifGbb+?dcQ$LN-95$RnQj7r$D|>_biVcwj-9U}o?vWk(IN9=Lj?t4+zR%%nUO)`X; z8mq$oBci~u#G~K>WZ%jK<*gx%hujH?U(P0q0e4ooCk`PQiFr89b|{h@K1Xs)%}A+R zBV?9*p*gS_rzkC;_K}#xW_VzBlpRrXJuq|oZ_aPV_PQ9deL-8e?8R7kT>)V(&s^LU(M0D9gdL&+iMSD)1$pC$r;)Lo`WMI$ojx-5tx!{fT5R{f^1k|79nBu~RCZ%4vzK12@n36^*!mQ7zy5A+TZIioyhwl-{*}2MEt&pMA;uFlLoJFj-pp%GiIMMaYN9_0(oawm? z^vQdG``HCqW_2K@MhTl#XKYF@*c1IvExvo~F=O4Ye{}q}C7?dCnxyVEf#EOvt~x&2 zZQ*g_xNzB?{V3Lb91?qGV?RL~PG8-EOXJR>hJY?ems|+A>djnpMHHx_e35C`C3K!m zj}=;2VcXcdP~{K}=I3^4>UMb}4~Hhga3-VOv;SM#(fk_Rn_P$}zYLi=E=HpGC75er z%4Y2R9XQyjJ(%@ciKS(^D9_M=)Kvlew_?>?cWyp5&mW0WU!Fy5&nT?6Jc&|Oe_;OQ zS$>&!FXPzCWDqO5;Z&1ql$9~rrO0L`SWQ3Stj~If`OU)#c79}2WnzU7Iw&;(wei8F zHF-#dglO{UhLv)~T;y`>n5Oh+8&;_vlAN`l(cLFSbE}wE5O2MNEo@w4;{U{B$z3}L zm|DT)8JZADvl*z4L=x6v7*;tbkVXAK%I9W|x4gKMEPKRH$bIruBJJ@SrCuD2rVkPg zS{}S;_JBu;G3wRrn7zISVl6I`?988dJSk&kW~Wi_&nG=~2kwV<$sfmb_--U@&3n}N z_AHjHUJoj-eAxYA+^B@@bgu@TntiNkjGXdBq&ztt+salWb;c~bBczipeUgZE1;)rb zX#wJ|QDcVNiEY^*$oj(z=!JDmNc~DueCrSvc)!Pt+bir*Qh|1#b&qBDoTZ#mO7sKN zIQ!5z8Y>);rPT{E$)#Yfsq_Je^_FN<%BDG)Nkg%8vxKlTuS&}%LyGfGqHc&m>dYdL z_!9JMU*nPnzaLm^ssbmkQKB213rl2*bEwAg49TmWuc?}IK|~MTh!PGR4uoN z(a*}I)z9LP#m;rs{L?i=U7ko%jlGDpwH9hVKSs*D??`&4mXw%Z0S`t=LgN+movM9m zSp&3x;9@OZb4Y)C8-7jZE)AK32)E+|skyokT{z_tC3<}X*Uk$mWtl-oWuF7x54_v8*e`h*DQ}(OEY80UHO~+d2YDi&UY9i0Rn15_ z_9XJ-ctJ>AN9;DqVa9|L<`cQ5X=UxEaNxH-!3T@ajtGsGK)T}%d@D`LN}^&gn|u@t z`qj|uPeFX&^VrmP8l#KefKM)77HC@DPE2=I;&jLRsPtGWu>HTHj-<_h^8)7i>yf^P z)a^;ftfl61cYG5s3m4C`1hX3l!NTh(QYEh^2hBn}OgJ4rs#|}M((Vi>?dAY8Mp z|G{EaDx5n0A`%dewkDF`IhG0%?Zr@y8%q9 z`~hOVya#1hZK_0$dM7(h~3fZw2Z7@2vMC|zjFB+T6 z+V;zUW4p^4OHUCz-_>;pJMBCXU-2QT`(r^qyIC6$HjA}y3PfG+7{yv2?;tX#LBx9h zzu4XD5jpX}Em(YIC?3D7MqtP(A0kd@!9PB=#g<$@igoqVh&T;{y4xp6d*+12Zw?X5 zp()tVr!=Z4;W}ZDUIMEY16R}Luc&Rll!z{$0=0N7TGctv^;T3pqwIr-CC?k&nf~DV zXo1E(DG7Nf*HYd)kx1u1Ab!4MQQd=A67hp$NH+Kr&gM*Vdg;NLoi>G!Ry>~Q#LXIs z>=i$$zVU@*qkhQ3b0T7=UP8RbHAoHHap>ojAPb)W87Kv%$yZwQ>O)`&FyX9&FHxZ` zP&;L!yX4swT3`C2YiG`Aq`rY*s&Js+mLW=#dop#7!?9&B;7~~}2n89a&21qFJT|zv z{=UmT88$FhwRbC4p{ZaSR!nQSHMIU;iKLH*L7iV1GFLr?QH{?R3mm7C>ear8H#i?9 zeEUQ`^QX~$aNb+tQ8_|2c|2kk4aedp3tScSl329O1##PVJY~r;MpQl#6;F1d_$xGH zl2V(>3_?YX7w|jB0b|lD?qhcMMG#vW64k|@(4kBxA^j6^W56fWejyLluuG8vCl87U zLQhtk#YsL`;k1rv#4jm}u;-^j+9o+Ej?$9&z!nsevlPU_xmYY*1vM4>NWkPQtxDAe z4egQ74lS;T%`=Qf)vMnTb<{chSNC}v^lo6*x3%XP%6e4Sz%$3%X-TK{=e#Eo4r67RfjKyO0rXzl?azLrCcED==7ic21sQ zcdQnzraUkj6-CV_O~yl=XFW5fJlmIEbBIsCLsPc4A|LSzb|H)C5x9NyX{s>|!G;-5 zY(}p*?EmqUYiLmfs>-T@uyZ4wx(=MpG*th9T1Ov}sj4SzTqcP!>#y;s--u<3O?XXZ zmW#agC`oPFKx6J(cs{{QW4|asoBYj2>yY$lu0=sH{$gaOamskUR9HU^8#Pk4KQE1jb|0mMY(vKSSW;&1@~~kf?1-5ch|{|xl=VVK^ZonTAbwm zW@s3A4(&hnVs6gFe~`ZQH zh*{2T@~y}3Fze_#a^hrdAWu0*(x>IRCvVgPELrJEJdzwh;*bQ@yGDX4ITE$IJ%*wk zg`f<)Mx3AZ(@H*A<7*B&ttzk*iW|Q`iX7vT1y;zcwFNWvojBHdE^g`Hm#NbCL#e?$ z?8uB^B~(K_Y?x0(4i?CwXfjEm8kK0|HW1&HK}pdR%Y2-*Y7F8bT!ck+}H23_^e0Ap&+ZZr_|qES8DktmE}4o^lAvnfVc^t+gmyaTmqA z*W!e4!N||~<=Dx6ze~%_gTnZyC*xYjrNmufPI>cYSmig1a?BWz+=wE$Ks3C8w-o7o zPZ4ijPf+auq>G*bnf2$$x7PkC> zj~kcL8EY+v0!UbTDf7k2s|3N1U=`3u~LgxB%@TjPD5B>Rz z6z0BOl=gNSPK<4stNQSW=!XnOITM>uhQ23~`p9T+B@bJz`!PE;$Q;#{3aIYmfO!RH zk$A5ksea@KIYYY=zN-(}xS>2;R6dY!mB6T#d$6@Ni@1V*NGO+(uC)u8^a^8OncXDK zv}cUiYB;IV{X**IG~izCkF$3+f^7U(de3`EwX6?RS^dKHf{`e(U<0H4}(R7ws}Z6ZkaBokRY@Bo+fU1CJiBS?(iQhjCx zr=CWdmn^dVL(*0vm`qdbs@|{9DhKz+2 zaWy`)(rKWb?`;r{Ou}tjJc(C*7ExPP)A#TMt17-jO3`JIF+GVyo`|LU6A=G=7jRd) zkv_Z)8eTy%S@hb~{YlfS*$q3DBy+JI%!NIGzho$p%rYmoM+!l_43M2eSG3gUJ0sCG zgRpEYQa|z{+*VtX5v0a7JDoA}t}jkKh#@L1Pa9iVjdc0Tk%8A6(`d!5F!CK|iASo(D<(x>XN@DiU{v-OWP)qf$TJVmR7X_$Az z-swv(BaP1Ox2EZ6gT`8w3n`voz%-}^4zodQ&ZFyCofu1atyZAEwE+r`^k8HGztO03 z`{vY^ejw8GdXV^yKudNVpmjhnuJ&^x>XJfavc=XRbHX!hy|0A|89A8Cd5i@8ge0~y z1ZNCfgW9B#;H9qs+kGJ*{xF-EO*aDG)M=o~;86ZRYfxD?9J{{j@V~i-r|h&I`SC6z zF|kIm-#j7J(-~VB_r*E~LwK|KqelH~B}_PPqG^h^)&zKzxTzC{BUNA^)dJ6Aoo_uU z>f1s*ydHw2O$QS16I2f<#p$K2O$I((W*sO^VL2Dhp$isJ&s zI|iZGo1bW6@1Js$sG3m7%I~B@=ShUR*~Bi{8}pMEV2+*-?9nyQq1%X~hV+)JUavsQ zym}APJ7}==ya+5$OarlUB;M|tOyi&f%ATBoWV-X{)7%9bZpVI5OCw3jxhC8jqfO$ZctzP7r-tQ#(k)n6YKKcy{y@=^whTWT+uzK8Ml3ErH$_5vb`JoF|mC@eI#dzc`KLTmJ76&LpR^XGD7KM69 z(?ELO6z{Cf53H&`LrQ8^leL^c=D!JLgUxR^gR1fcsFP;`<2w+j=12syPT7i99K<@s zRm5of;#(V@YQ-PIunL?<$5+X`GKF*b+G$T9ABt)2c4?QxXT9!3>PZ9NC}<%4mNV&e+h*Fb#3 zM98E)BL3-k5a;wjyf5a!M4!NH&)K->O)ttJVrU+p53$=Bq|O_L4R1TeP%cTo8I4y} z!cqZi=!9-O{K14CY53cB#TWlsyhL3x9m&iD_<6Yz%V_3Mu3rlFCA;V~{y@@jd-wL! zkyOimho#IO%q`6%yr5!aSF(k??2I0xY8nC6-Ai%1qAz9~>ae)1KPW!BM!)W|a<+xZ zJk)MD(uVSS9RGSJjX5I--!}$VadKh74!npP(}hT{U&g}d4kDbSr9EReQu9m!qqmN- zE?t@l%z#MDmj}R?z6~1FEG15_>VtVdC|}Sw5M{$TBI#y{S>1kOb$x>8itf)eDnSwI zDESY*J~DOSdauE?J4X@qPClxuI8XQc5X84qpingn#TzlKP!CBEe#;}bKOPjOEPjdg z+Hhj@`j)fUb0hW(I!pC!KaiR=0+ZYwr?DT2DHBd?U|hH=e=CZe*h`!Z0XQ@!0fxWL zc9AI%u9^20-tHd1+|IH804o7%f)^w_>=!K25^#PvnMouWp1xAVAqxm=UcRE-Vt$KVXP0SU49 z0(QV%6qm@?WEi(#r7vGwY&nH6uFH{Jxf)!@yw$R0y}{MvnpXWxN0jylwOxd22f6(j zt*mtn_A0um=}?BEH9I}o#46JertC4u+_uv`mn(Vwd&lCM4%*kh$&&U<5u^Ck{YmDs zPgDbKh6NkC2F!YKTvJ`@1|chtfx2Qo_H(#K4jXj`-Wp8IjTN|kQ8)@2p9@ojC$+kV z_S)h%{fW29JgBZaC*V&N!solr+RR?D!1a4THISX44l_W~C$EulMGMOh-)bX!@qyOy zLU?@GH`LU0G1;wJA(A>RL9Imt6Y31MU>WlVQZCvckLFuM;uiz@`XC~f(cXODOsuTk zLz=yNEzkLFO^z=bs-4Z0tg;t+p-e$32(2$+_vk$A<>iGuW44D{E>1w-*H(qH&nIKk zW-Bb2`w1Uxq`LL@M6gc`C6%9zwJHZGNDew-iRFF>&`MZg-~p0$qz}Y?!k8QDh($qx zAa#mC71QO8nI=O~fQ>(E!jX&*luwOjb{~Q4p#)b~#F1A0g+;>4F-T=>11jU4_~7b4 z1L=Aqv2Hk4+uwk?ekVxbbQiY6VHmpTa zIK1d6)t>y-BmTg!gJBtpUf90O8w?8MWYEe3BJ-DbaOrz%%HuZRbiWUjYa}7*?aPE) zI26}%W(TUujj=ePHy;1}hqicJE+l`e&{~xw`S%^}7k&T3meGFot?UMcBP{9JW61i7dSaLf8E%1G7(W zg+6mnj*@!CgKgi$YU#(?Ga;py#e7qdID*s&1B0zzgb546zI01+S(k%aKwnYFr0`pcY~#3G_sVx zCf>E)%n~o3n8cwyS=EP|AhNrHx%X1o^sC`$vZc9AYOgcIBYy@NnLUM3#TKDYrk0v2 z0YNo83t;kq69KW-<3Sx;h8STa$qZgfGQ>`__jV83lD8wiQzg2a6YI$pPDSl&{-OE9 z0jcw6plX9|sML**Ri|39m_2}QB;{$8+od#TI^c~w?r?EYD_Xz%sai6tiF#xn)7;RV zh+Q0US&j2>x$Z6T=%-eM{&@pyXU=8RpDeISoK7Sc`(oMX(^TIrLkG#_0IE4-dC+^* zA+I3n`$dRp8c8zbF`xuK$+0{K+?N21s$B_eEuWeA(L_nRnmhfArLmhh; z@l)=AxJm^a&j|TpqZsUUFd*!%s_hTJ?e=2f?jVZ*NPgb-(f{1NiVI!#%DG& z7DX)0C5=So@Rei)TVRi*e60F41tiP9LFVFY@F+J$lKd=W8@3*#K^aKg?Hq9bEYYa% zpC#&LhmpEzIx@PY4(+w*iNA+33voRy1Kb6P=)~(#Sx9Cgj!opV#oyJyFlk^ap!y^? zmZZrZ;1r!3ybB6iSajHsm|ZM`mF0^k=`IpSCHxBJK1J-se)P%qbLNg`yY8;F}{%w~l`N0jo^5pL+QjcwH#=5WA zqqPQ0s|8exGa1h)9${a<5$xv!$HPoGE#oD|BQUF7ibV1;WKE)i@#fHV-ID>erQz7z zWCS{|u#U^=^@wEVM!E~MEw1V#-@)B?FO9YFr1W|wmfYGy9CFJvCGR6Kum4F%-Fg&V zcB+k^JU5y(O^U!$HyRh4|HZmy8}$6~QLVM;F;X>OAlC0_KT$-rmexRGS2mfLv{hI-(M{FHraSAd$v}6Se3i*voZD+>7>x%7-D@`~^6? zb|aIa49BLr1EeCOkP&x!VSl~VVzuBR+!;Ba^(sq7V#PFMJSCpJz1=*(py;)RH~1y3 zalDAyLId9SV_0tY9@su?!y{3T?}&W59kZOgl4SugF;5+7@?c#8gW_`O)7 z>%cPVbFpjpzv~lu1~f{}eTtJVf?h?~$nK3&dOBfaz@y88z)~ z6z#Z)I!x}ty&rZCqCQSUl)I3K&vgO0(K@!uWFDEdFH+lZaanx3{1~+N-6yYpyNRfZ zGD$C^m643Y9UQxTKIVTB(*9E(wvJeenATw+m0P3WS7oDntIulqRwoGK7C`DmTM%>f z8<8!YM@Dw223D6&AnK0a$TFlpL|;zp>DQak^-F7F)4hKq@zZ&b9&3eb3SW`B)ozff zA4Kd0V~DVl){Wf{K&pNwvigwZ*k-a3L`_w>l{xZCY>7^)wqZTW zpW)S3eLO9V%^*X`LsRcvog>K^iCm}VFrv!~|Ig8Giz+=-%NmhS#sc-LWH+ri^B`2^ zYr)-1kK9WvNzRysSf1sLRGEDtlkQWYI}hqjM>*n z?K3|7v2b*g#_fTqB%bq%T}!mAVhJ9xZJuU$SV;iSEEv=UjhK^l5qo~@X5HZxh?l*# zW8J!(*L3TuozrWaeW;$>K%Bn3)JUT*;Iym0NOrMeh*VLE(z|nMZ&*mRNCjq%27u&N z0pYSPDD=QaEdEf2Jyw)PnQ-#Pdp$eqWH5NM)@8yIG1YxZwMY#m1MHEJe!iCFsDUGx zfJAvika(^Evfh0UHRgFzEqW6&D^L@&UE4Gk122Qc(+yBXYnu+mSk$&E=>IjLUU!jv zxwT?N`+#?_rl~!l+s;?6;wTm3apc6#*9zZ_a8;*IdMzk9a0#nlZX(hFiP(^5!u-vh zE?u@dj`f)wDBJv=WRKbga@{~=*>nJ98>JIgx^qrdaw)8H50I`96a{7*^(NK*N^#|+ zu7jC^2ow=`a!|uwYpRuez~Wza*e>ZOc2D|%ON}04S-@JbY#IsP^^P#{?o+w?dkRu@ z4j{~zc*Jq<%}A#Pl9l0=v<51~sl5n!c}mQ>HxEDweST1{=zg@-ac*q2-+7X8Hvo6& zL(ryAi7M%BS9E{OKZ|2GJiuIfuQRG>$Mzcf9q86+JOut=dh-lxmcJ7*sTF9&bO)B| z!GG&@ta!YLQC5z}AFERXW&0nZ;EV^3A1CyTFn@}{bkk78J@8X$9({ncJ-&uII9)OC z-5}^#z=e+RqcnfYX-+RksyF*F8?8p6n0jYRreJZejkx5A2l+Djb~H0>F!lS)B7_X+*PD)H$!VP2c)`j8kIbuJ+tH-VrO*~>kb~#eqOz2sf_lj zQ;d6}T3J7E&)O)5U zBSMF;vaCHMC)Er0icy4&ni=J4!WrQsoytX$aXH9#&fQR6|HIhm9bRZLkVUcrS5mUK zf1rJRDjD$4uh1pQMXrKf|KcvZ8KTC;J&2eWM$&cxk?RsbQa%z{cdbF*N*}P~#3AQH zV!t}sD|CC;$|!x}a#AJd;<{L4B9^*A$Ik)8pt%bQ>!l9KR(=X=3_4BnjYR==g0CcH z`c0ZEUA&6xdQxsRmGoVR*)0d(Xe1SnkmbQR-15(uRl>ZfsHU)&HpQeIt1^!hZjcr6 zxZWsXO#INKoLFsK*L}{apfvovNpEfaeg9ytA_v#)x=XHAZqo4b_Y?cFg-9<*qh9Ev zMCz7ErcAeHY=SGXz@RI1E^Sd{EW3nirli0KHeJ^8AcL(lIE|#ulRvwktD4g+4xid8-1V1Tni!ECwr_|C?!iqZ_qdyZ(KTJ(MB;Z{|%1I7rM(9ttVT3 zlWlAZiz#2fL?*YiB)rk;w7LQ}D80_o`bz-z?@dO?zT6?_lUwFq4gEPvuL#H4eqtmu z*COk1-*LKDA5bO&TfD;yOT0G#f1gco_2&W<|2i8>mK74g&QU1+vpdzcsMcz;6Xir$ zf@S$FlGZO2iR<@(sn;JE8oJ-J{&rGK>62MFHTOB~v3SGcglZ4YWw z^-xzv5E}!ve*R$l_ApBSy*5BB3dNGWv*6K?m;U1Df6>ieXBKml`(vJ9GO-PF!Z(c- zgG=v(VRr2y%>J-NUb+|9-s&b|hj*gYi*q%}zdR-BQ;yTRsR9?dxKNFA3YfKZ$LV2T zP)E=xa^S2(lxpB@>Sd!I@)7?rZP5xWc3uajVZC8a$E9fM#1`0K%4%<;e zZ7p2;^T~ycn?h^BGlcbtfhXM~XKyk!(K0XA!>R@AwURf*P_Is_|8 zOzB7V#aIqw-nJ5}k5iAD5sg6uoN8hsag$6)oms!^&sPD zGc#CGxIQ+!uQ}DuImC9PI!qWp3PN{oBlgTMs4CGT?&obp-ny7-Nh5&eZa}F6ucL&S zXOJ#QL3S_Git;Cq(av{XwuF~Rb3o})(w6ieh&G(0oXeN+t4|@--URC9By7pglPGk= zY&g1Sj#gj(2#Q-wkpH5+E7b+hu=&%q*!1mNT~7mAVEqzkki*oU)2++5Tq!*HovI`&Am zqP%ttyXolTGk(B&@4=SB5^OD4 zh$Usc(4AGkwC}GzVcLueK>6oiq%N{SRf?W4M7UYQWnVz`KUpll^*@~9JeEjPcOZHF zRwDCxjiwJvk6@3DBZ8|VAmmLJjTf!N{AD33j+y`x=Uu>#?@##Uhk?_&8LOSYA--lG zmeu&uZ@QfJt4u&;KNzKYw$U0c9J?3HAoiT6v_=fa<6c}0Z2Z-TWz$(lb@$DnU)ziJ zfA10IeHUEi`;>4ePh_81y1N(WI8%;%i!hqQB;w!;wqp;E^!l`RY0BO4q66n~CpyS7O$YIWaa*-wn+UQc*^ly#W;lAqmg;;Xs9w_zAKN&c$@yW9BwIh> ztt)0Qt}|L#@v=%d6#tJ_rk_uJ_+N1ac6PY4^GKYx{wi<0Pno; zWR6IWHPw83t=cyV|1);(pwh2P@y$Vc4Lv(YYu9N|I$T6`OA}yxz5;8q3vq>gz5wS%F(@|WUXLJnP%Lv9)64B{)qj8lUa+Wvw^>A4B;tqNXU%0FsP?aaFkpe(Q`{r z?Z+t3ffe3!#F_F|RQi5BiLd%JH&tMOER`+LFQzzdcw?aR@}$^h7K>LB>77a>&uRdk zFAtgTU7@kpzr?(z5k$xx2C3BB-!VD|iDN&Lfwkl0`qmt5R-zyy*LSkMc3VXEM!xXy z%DJh{y|14uZ3-sBvL8?#V}_$`kyiR*60~i3j@mi>sW!ZUsG5t2nboYwOy5By_VpWJ zwp_yeMTR7{VJjAML$PP>B8fbIILH#$05ADCZdj#7yrfg4)jE7>^2`=#>bhWh9%2OO zIon8(*I=b2w}~7os??|(Y#?>|T;T8Dh}g~Fv82QaM9DQI!^;ic=Z%(yxRpX{)JeuV zIA)M6X$M#y{7iB`cxl>J)#A3AaX5Cx7+iGgFDLAdWTWoWciSEJ?)sit(K<}Arf&nY zbibdJ|F{j`-xAHN2`SVRy1TnMt#+2P?+ift)evLGXi!mtkz=nsnc?PK_W^mZzHN`HTCjSPy8tlq@K`+EIt%rTQv797W%qiCZFas-F{H@ zYeez!a?BN)qFe8*G!{XgP)pCs2utoztvwivnQy3LsRdH0DuJ75fy4t}lNwul#93Xp zT>qpFls}5J?RUC^P~HZN*=F>4{>2dLp%^c&xP-rsVEof7{6KF~3Z}U|&}+j(%R@r> zNVbNDyr}oAj%s}EXLv+4(-a8}bsA}bjAZ9_A#x>;dU1V;pfH{&-3JifnmZt!)`gyrGf|C%pq6b@5u#@o0W?{atFL(*0IH5L~ z*m+IE>VebAf2cZ)y)z$9ocqG24ztEjhg#5mSp6?yTe#%G;J#r>fGvB8sgw0(^RNwwX z5`7oSao*CimK{hU%K{JmQY;CoL+w73Nm1QuXn(N+mmgWGSv~8nLVB9^TRYlG?AZ!j zm0OHw>^D?MraKe$B-$q&JCgB`Ucjuhh1RS4a87MD>S)RarF%3n_0C0-x^G~;K9Pt& zL_*Gz`7riXck!o@^-{jiEFw__6K?ZclH zI3a{E>d@|3b;A@gZcIax2dOCIDG#nx{gWVGc@^qxJxRkrPx7dB<`R`j7>wzfZM{6% zSS#ta90$(sGmvVkcVT5n-b z+K=YI=v5vHv6Yx|+j&@`T8Nu(O_HbW@+2vOXmpf*4n6OMB}hC3sCr&6;OBdhoSx(G zbDHl&SqE{Z@l=%V7fE|cwy^)?=@|bDuUHR(6*_U{82kC3{YrI7I1$x1qV}vSB$a23 zt>2GmLYxf(n8;LC*NO^_fs6 zh(%tU4>)+A$D-OWOqL!0rI>Krj4eGNC$0km!hCi=lO0sGY2>W}8e7XSw__)v-X6;H z?-SlsUs$-QS|Zgt&~uiPXwSU>2|C<~V3#5CYducS!>mHtk9T5u`4wpY=?MQ0a?
AyZuqiuzT@s8Aw=%%4u;vNFcr(&@Fa4Qqi{z7kBCG033& zI+mBsf$hhwwX$hyvhZL^ObXKoz9xl>-I{I=a_2~~X-6hj#Xp8m$JK$N?H)wdx)A9c z#%OG8yaRbAM#TJhF|zm&iNCD(5TrdjLi@#Qv2Vcb72?k?Q1YCeTJ^;>=)mfui}I*X zZN`VsQL3m-^qim+guR6RLPZ#qj93_IUdW|A*Q-!;?ikoJd@O9;PDGIm<^`!yti@Iw zO1`4v76a@-zbXD29%-wgHDb4?bNfzmik2o3ByYY)y?yPpPqJaWcwY;YYK>9N5ebwI z38%VP63vsFptPr_GyjVn=I>6&w*A&4v9TCsKRJ*1@*hO-`T<>?RhxbyWkQs&8?vx<7SdpaUJT);|I-*FIT2LTSOiU z9ywX4c%XVS9(i*rh{SXoNDoXxRq`cBJ?Ih;5EPnZl%o!hXaXCMF2unY8%On-b0wFuBbuv&RUW%5%|>CePj9-hsJ&}M3Qy{ zhqg^Y*+*vLjzm8+cv_)`C%B9i2Qt{7KW-^YX0@IQ<6c?F~`T> zM*}BXDTk~-&&UP`qsA3wBy`_elJKnyYWq?M4Nr@M&&Zx@Mc*_;=XC(Dd-HOkfnEot zspiPf;V8sqzShWthS9uxgnBnZp!V%#)Fw{`wQ@9!b2&dMeoccdn=}PyA5Wy-@s-$Y zx(n4MeW@323C?aD2Fov<2ooLs5+EwOi_Cf7X^wUCQf*y?3kxbVbw?C<&yZ4oCf@)) z_sC>Lku|uruuwbCy}Q8SNvCtIXaycMN0Z=Q&ciA4(?n3Q;IF3``z6iMgqS^n*pXeR zS6czjU7N+kh>7QvUxM_{_fh)1No29bH^w3wqmnp2@Dk6V2J>vJ|9OI*XRbo#LEE*p zg{9>D*Z-Atol#9}T{~E@Cv+PGREk&uigYZ1 ziU?K^RPb7`gO%b1tYF7>MFl0+Z~K0~bS+sJm^1tA{j{ypxX;@Wv}u#b+co$(8e!(a znR};EgX3CSYvhK!8h&tqQDfz3GgMu8jT$Ky60_1Pn6;UN+WM~}+tz3L)pRQaj6jM6 z!8&4o-HWLGTlDo#yUE`Pw>9$ml_WhF&WCdfvGa;B7OLoe z7p3&QKuabMM=_G1$iliho3if{!3&xOx|go_a-(W~(%o;k-76U>hVG=xA7=B#!EXW_ zGJHt7;}q07$xY1)V@Ud7GvX;;4QH#GMh7O+s%A<><ZmtL}>9e;H8u(W#>89CB=R!T@bej?&+3%;_MF&PoTZhducFuTa}= zH<04fInZl1W9GwG-06tFujP+ak~IA^K9LPtG`mP7_4-8>-<3!SII?NP7OaWrN?jd$ z5VKD;*yQp$m@3qmv$x(FpdtW z>GxiavDH&`^D|WXtSfqaXdCFgr&H!vG!1<8ohWziLu{w7M3Oigw1#2$h~0P>d1x`Y z9CB(=Oz(8G{op0;s$Z3kb+tik{UyRxMc}rafylzq8GJ++Fq^Xl3q$UbtQJyP$iyfC9}n%#tRW=)vO&J!C#g|6xz+p6ohTqFs@U#e#qkxML5?SIF{)~@ik zi9?;3Gry2QGJ~?2eev|4137j1IxLEqNiB9o;poPQ2-|T2_v*?<-roN?I*>2qc*hO+ z@AGRS7200dY5c!IH4ComX6^8u#kJo-Nz(&1{WD@52l z8u+>hB$v*?YiC+} zU_O}CMi&z%_$c5hZHQB^B&nVylnoH#^o(OvM)o4*lN69azt zd)WMb3q6r-LXP`R*GmLNM3}z;?zIXm=DP#;Y=k5)y{XaJ1Kgk7j`5d{@}GzH;M^of z(CXMT!Mt}K;hZ1AbI?pkd(IQ^&FT#8_A~^InXmaY)W$XbEpc#a(VJ?s$i?kc=dy_E zuB`b26i|0vm9Q8qyn@M)UQx44u9cA|IVFpwaW5!ivjB@ly`V1*AV#VD3^W-m-c8;?{%tME>CbWmJ$U;u1j3 z9RpD8(MHUkD#aFO#^K737J5-r4q0H`#Q9DcqA^`!f!72Yc<=txINsz9ezb9uwbcF> zb*P$!M*cY9%vtS6?S-EKJN$|2yA?rJfGKgz!Gl`MtD@#!T(`vDA7*~gd?)q%hqfs| zcdxZ0DIxu+r1UpdPOzd3^er~oCfGiB98o$4z&q5L%9{V8(vt`$CZFYcy z*RGevaBt7ROzZ1;cIP)gnVTJAm%l~Ij5UZKv>2P(l=91)g4L~E)sDc`!T+0pR`fc; z$pj-vP-Pr(Ex$nyWu)<0uZ&2sJfF9G_W>~No+vffxoqA>m zl$;V!zWgWpYi;ggH>*_C=C}k!AH4!T-(pf!B`D?eZ?$^e+I2eq?I3Juo(p}fjY^V_DH}ALY7)Qe5;wPtll-HIg7ZhR3mwUg znJ#KY!*{AFJdYbe+rymt3jV#9fFn;Pvdjb&lgrv*B$=`L-wJ%Fvzzr=FaW6ar!$i@eo^;O(% za=Nz7C%I_>jz4-WK%_c?6@yk1^ClBwE;)uyjC+97Cf=tD_dVxS<*aM-xltoUbAri6 zmxFpYZ9gn+^VG{@>+y;)C;4Y3ljUbT9V1FcKEv^$mm?pyoTdzyi-mw;$+9iL<2Ih` zeBO7MOtB4fHQPvPWe;Ncql`xFkI|*fSqEH`5xK|UV@YNoVIJZ~wP)Sn^ z?z8C=Z{CoG`P1`|Jgy4cS2SX2_*s+|f1Xwgz7g{Up9r@G`0T@Lb@fgKH0$dMk_nj#sMr&Fq3Ar&)h)qH&`6jK zys*znYn|kz8*TZqIE4RaBatLc*WZ{SS5I#{sf+2|hcd$~sJw7LWM^~(uV^buHBj0( zvYhaH-=o@YoiQ(wk&g?*^^z_LbY_<2(zKfj6#FugJnnIcQHV3p;o+bC61KMl_ZCT@+pHH?GCx+ z@ukj}X~pr6j^-O@;S{4_%%*R}wwV<7zFKH!y*h>Pi?uk%`-hvh%NSx3aR9fsyvA(s zL{t~zL$lQL$dFrOW|zErPL%hp|KOU$Hgg$G%cvu=fK1x@v;yvd(bzk=&v5zIFW_l9 zgbFGy@*2Ts5`XZ%PEkG_wX1>0s60rtX?|3=CyYGx3<)sAzZfQ-a{!)uC*X)zA|tK8 zyW-Pzw0ZTk2u9k9Y+vvD-+gSVenn&bo_n|YKf*}?!IUxU06jw_HXnV4wi>6As?NsT z$US$x-MknSl)8kD@*l2i_5MXoa~#n7uY39A>Bn%a5OKb&pl5OjP6 z(eo`=ahppv+)4jT0E`e@UQWYmd@`K_vmZ)e8-Bpm#Ez89^|rJA=2`%$nwWE`X|f9Sn$X*o!bl;heBm~(|~Mt<&c`b zIwB=+a9c(RZo4Ev$=aJJZ$u~kCyQAFg--R9A*I;7LkD=r3Q61OK*-KXMoC{z@|K03 zSR44q|M8l#=h&8Rmte>ilkq$$n3gwoP#=$=-(RKlY>w zuQgCBn(=aAbiQy=<$e9EU{DfUoYix}L+6myX#+FO|C9XN6h-pJCwuo;8P3>Ql6@-%PyN{7_ zS`kgyTcdYvpGBj8*}>15LYO)GQSxSr2MusqEc67de2F!w4~f8T{vU|v96DU-lTXwB zuHAkP<$=U$9$@LLo*Fjlcz6xk>&*Kl;*z?Ke&&wNxV`-a?lSD0E^gY^pr|inc*qN)tkEU> zI4Y3@oy(=^>I|%kcR*#Pt?0|$E(1B05}r>bk!XJF#d87?8}}4*`vOsGyavlvVfbDD zPGcpdB2rml#D6^Ntxsm2(2|e{N0D(UPV&frcdHAM#g3p{g#)U)0Dc_E-Z2;J;q$(x zRizeq<#FR-W&4&9Y0G`IHzij;;jp`${kIE^>zy5&EtNcgFW)(_QrllsBNaL zfL|T>-oV9dJxDDIm(l{dQeUl|4;=bwD&oOE8Fw9PGynvP0r)^HsgMomMbKArR6gn;{0?WgAPL#Zr&C@#BHO)M1;p!O9K`SX2P z`Dqf&K0MNf&%z>w2l49oHz&81(KpNf(lGZ_sBh4cfau;TtQPuVP61l)Zuc>JAQ7`Y z9f3~(oN@1F;$<~a@9H%Qmn~jKPAuAhMQf~dtM;VwwwgCYDEgC27!SC7E~z)1r`*2r zr14V^ED^6m+JpCWQG*`v1FwIIsWHvcm;SRAeY)6DFPrj-Dl!DPY~fDQ26JibgL>b` z)B{iZF;&;PvYB$%pP-tujqrUVC_DBZcqCyao>xojD|Z1#olmUS22+a?J(lIZC7s5o z?A-E~k+t6QIM0T+q&>O!65BaN$i^XIvE1!9F?qIES66h8EJ}>gtq=NJ!$a1i>D3!t zuUnP6%U=d9kiF7j`2~W^RMRo{tuK-LDJbvUO7B?w(3w0OgzTHbahB3teXR!*;4||V zXeb;(Px=jfN~?fdYK6YG2y$U+kn-Xq%m5yc)_e*J6Cv-VpNd!;=8{w+1DUyE?Q&Jc zb!y0eNqg5%AFE0Hm#}jLB>L!ea=~bfe$cjKoZwD^YwbOWPTDYw9zNN|@eLhGdy6}c zep(3GoDraN@WM7(F^= zm70}h5UJ~GvgzWFAnvp`?GiE@c-sQ})c+LE7d}Mhc5leC?IR<2)6YZ^RS);v8In7{ zXBa!FJ05A>Nn_YIAK7P=kZ&c^+|S#bTXbBC^zqkc%^qgid9;t>PKYOW7kF4VdOGO3 zT)cR?o_&ph1FEE!{&Mo}z)(HE!v~o!cu!7bU!@yDRWY}pcUz*I8-rFBU4`6@*F@?+ z5y?syfZuZ>?wFK1qVjWBowR*wtf<`?JUvyQhn|R-7uF-$k&)<_dWN1Qt%&Kfn#jjN z2H+fDEVnsHOMT`e<@gB9o;izz85*oueS{i(2r-rX1kJrWGC6abv@X!2x*|)!#-3nR zCugxt&=04@k0zsZqj{m|1~x0-h@*d*0DqZEl%MC&#J|UK?ZR3r1JCM(Mb-mPG@e8M z@qqy!@7>|2#&34v8@iL4pJhIOviSB}HqXv#5}>Re*QiP2Ke$?T3_LQiM3T3XNX6g} z?(v8U#TD3Y$C`m+qYEgiag}bnte&e@h=}F8ayq)&W{f-2S9h@}O24~}Tt{rWxRy(cj>wn1%gyeV^d z8-4t7HI;w7jzmxDQLmP&6=_TYdARLfpnB1Ez`5hG;(8#?ngyK4>SrXq@EA$d7wDL; zmgvgAe?c}(H;zA@kAi5F4C=2X)xY=ZD@` zFu@U^gY8aRz2flS28ZEHpT2mxgkMqD_a(Imm_;=aw~<@?R-8ESILz~2)X10s_dEni zO8qcX{ufpZU#sR;s*nwzkG_=cbT-6+wzPZ$_%~M)nd2$QvPy$I_a1CYJ=6^VIy z1SwDFB8j>e+y_^Xx^Ofp{+>EEY{xR)<)Rc$c3=`ZSvGHYP(~99>iQF>@A5}Xw+56_ z^fw7?5g^v}Ch0TQh~Mg*?JF}|OnW)s3bYsOrxxWdNYXSM%SVR8TqvVPal6o~9{+{N z@(Za9H1@K|>xjAF8_ZlI;Q2cvv9g9P>6#zHID&7*@dmZ6%)>JE1R}{_O@qr9&rX5t zPR`2=Z+LFAEPaU|QhsZPyZ#GiPEDuT_P*rR`~ap1!LJCM^W_F zT9g&i8FHH$ysz~xE7GKcCQpgd?_z2@B_Fs}4U#eUFrPD>YOng^;>k~jl|6fnQlH#{ zd)LV`a!>K7;UNQEMExe|vNO*j=8QG5zPuFMthA@*CW}x?!d=?tyc)~ZrI2fO(W^TnXw+~8WTzI{Vt$2(WWfkCd+u@Eog_?c*V-1^xNHW6=PyVoh`?kDi;2%$Ab^cAn)W0VD z%E7d3C144j?`cYP0cdsLbDng>_Trnsy~mK+$SqhM(H~oHFGm970J>$M>nz#Koitqh zUau$}L|JAA1urR;Ejf%DD+R!{j;E5et+>=#M9t!SkTzyB5vWzvTx9}ZrzY7st4OWW zH0Wa^kqq!Fsr^&RW_%;k5fOx+wvZ^ExuBv!*Llw5EA*z#)FNXu1?&g2k>~NDiLW&E zPwYur#W8X`WDlS0;Y&?{YrL7dkS@rSg|G2i#@2_Nq85TPDEj1Nok{B?Do3lZ<@0lp?Gb_4 zV-h@AWz7T6jZBwBV&T1IkmK|N@F(y%w`Ae%k7C2^8{jU9ZNaZC{kZl`_3$%zVXNov z%N*20@E`98E6&3u<6K~_N>|lNRV3o?avkG%g%~zXC0BNzn7!$(c8OZB6>GRh$Sfm= zq!_*AO2A0d;Q&;$8|P?5BWwyuGCs@Q=P z7i%#S3i-|&OUO?9h#VYZy=%A6Bt5O)^K8!;Dw?x}h?)k1_t=0OLsx(wV2!6eH;ySMY%xFtWufWJ%dCaU(i4wH&XGcS8$;&SJ(M=|53+BP1RLd&BHQ7F_l4<^wZmo z`c{{VR5r6Wl?IJrrhhu8Q6{-lDOW}qi@Sg&o+Yi9hJwFoFkUxyInQ|R#!1F1tjbBC zriHsuK|}^umA{9uuVOH>rx9hf@20ZcB7Ewm$EdDeUV3%mBCH0zVfwBzlJe02_f#5{ zTIFM@7BK0g1kzZVL&`P*Pc^MOisaRLGwl$v_SA2AY3&9QQx`zDcK=5gI93mTUk5tN z8`xfQj4HJrq%T|QWWCOs@KZlx+07UvpW=hF>h{gIT3G2}EV!>SkAI6&%T$zG^AWi4 z{#Z0zYNsep#``1Y+Oa+LMCp=-cJ^34i{JZ{TKCq^)Zs(=@9gLl|=59K|Y+ls6Ud^#-+ZVijwzN&Mwm*A^N__<@YdDDL4R{90Fl_7wU%q3~y~5040z9=^SiN|jWz-xSZbd8B+$lm^Z(wT>cV+AV^X+p`ps?h$ckYsVA-huI&FkQ z$?5s`pM3JTv1RXU@MFUKP=U>d#?Vuv8kKE6=P_$0r*hgGGk{$(RxgRU%XXN#e$k}( z6EyWT#ilDQ_?jm*yxHp8sLjiO)ul!f+K z_9I8O&sd=|Q!he-I5B3!9}+|GcKmq64^rx0L6bIHP!l zi2T4>l-c|sCh6|eSONY$wU({|-Bk!Qr0e={XtZrrdD(`oLEO9d|{OCrK2lPjBV+HWhHgw3i>{vJL zQOb!6NtYLktj+h@=e84lq;nJDJ@uW4-B zXu_uG0lzwe>oQ&7?n|Xc!6Oj=%#v(ae=thE{Q>wD`_pmr!~JZ<{dv=qFL*9l1DS;2 zLv>p~etNqNHBu{Z>$I)lov5VFuco?MJH4Wh_a>1G#|C)!Hkra}R1ZnYDl^EkS&HTK z9H}XYM5W2!0Pk>uexU#xHCvF+ztx8gIqQQsNN*0CD0QNZEfg z9_{mHfvKXM7$O|#ljk-rW`%?CEuR}BG)YFY+5G-^>6D5n&N~~&uK$~6g?*xNC0n?! z2X>5-7@wo9Kmey`qOm0K2bNz(IPY5<*ZSZEZM1rXvkR7Du3{qSUEksCPY6k@d=bBT z9If^mgP$Go7|npr;d#-%L0ptSauf6*a`hR|!Nj1X=@*G*N&=B@o{rs`XaA{r=*q|y z9C01LRJ-gQHfh>K6ty}yuU|1M{7spxbI5t*5H8uO2hKJd81Ql52OS&UMzf6B<;hhH zt#-7=7lugqq>>D3`MeQ5Df-J@xv&TY{lx=5u!RO~J56#bj_VFgni0HLn7o)<69Y4G z1T{Zh3tC81T=n7Kz(do$ee!C8cvg{&9>3d#Bv+sQ(TQQ+bS#oY*zxrm7I_wyI3E|zdd{0P z3F(Ic`*h6qdEj*iT~F-?dL-@*=Or7XQ;eTT&AAH5Z$C<8it*T_#GEFzDo}NhH}~A@ zBHvzU4|5Nt<9Cnd`DP=iZ7V=uF&J5R+#%B5FniU!Muxa_%5L6I+a-XzYTe=IE`Z$q z4=88dWqtBQHRO@E;OIJQ6ys}#>x*isJoi0TzsuB{Xip+$*>xTB+rKnNnqShVpDE4YH?sfl!t=2c_f0(c& z{4-=W!L0hJ3035_>MS2_gg(iWnh7IG%*h(c)Q=?$vj=VaI>9e`#vWWFa-g0${*)a6 zxx;T(;=u1JoIY$Gj{S88o4*l3e_F3HUp*B`b2yw_szWEgZW1fvcM!?gNhI({4&uHg zL0;cy`YmF!x=WV7og^`qh&3CLqGl+NjW`eyg^^o zG=rqQn?!GT)@wzA|FD|tPd9wC8aZmkRqsoEuCu@;AdPq+^c`08Y1_r2;h(03yQlff z3<0Qn=E8p!dqT zij);Dm{-Y(_S|N~H0aRE*98lN_j0ka*#`%XOF&#hEe+DWK&hfVsL-lyeqCfbF^@P; z4egW3m8U}9yrz(3-7}E%%RH6|c)b3sk-nyHGSq1!+&_aDysUqZq?hpESK&{Y0Y1Ubduu{5U-&B_B@a2Md$V$e(XyGm}a@aHUZ3Tb0O z3Y@dvWXqk!9(f(|@i_}$C&Rpbz#BHx=&>bO)I%oL= zwZf8`iM;9aF<4lnA~I$-WW=6B4)I@!Y>tpfvid?scNmexOd|4}9kl+!UTPFk2Ky84 zpyKFbdXeHO&6-<|Z4IH2k$Dp^-!3>?b(;M5^p&#i^>ENHe@9_*aL6x@;5^6zQC1CsfAbs<8Xuxq>_h4+-;-PS zIt7)u-NHiuP|B+z&rO^~&$Emz$5A3v`cuw13;KP~wUt+9=FzETf*B7|!V*4C9D`nSK?G z{1wSB$ZWOQ{&SrRQ?VBho-;YTD^niU*c^$1teWVry(Zpk@Fbo;E}@*n3yb=`!EsOe zjaDSV?x4?M^hjAwbi(CDoY|wcSwW3Dq#Wu?EQXm-^KvdKN%EX9X+0^6i~$=Qisjr^ zA}Z?)UYEl-Fg}hl4=)nYg(ax;y9O_n$fFGn*)WrJLk;vPJlwg2e#YWx|;T5Lgo|31m@4>d%%Irczl8IV=n zv8b}Sn_fX`n;J#$h(JE_yO8gBaND-K+hiryVAykW}w2;)Z;X{hCOwXIy<7i z9Lb;l>p$;4%!Sb@1|@!6@dbCDv5d>xEaKXlrG%S10FC+}=e09VW3k~LVA5WsZLSe* zy*Jr!&5Sx7pFE$+Riz~EyH1y|`)jmip&MoEwjiNs2Z|o^P^V6G$AKax8Gm$RkWj*r zV-xaxIc)$@p59H=rP*Zq+^=KJ{rjOls;L?`tLM1vSuENvy8#@d6i-}KG0=QR896a> zA?(XCp^aioH0i%tA^fvES`w58xaWHuotH)JjRi=e>IcsrI0mb5#MtKk!IY>>)EO-> zTB_GXz`n4I3AlcW0vUoDF$rF}ijucXGW~7ml}khsq|5$Ce&zN!CMu zq6ub^P_>?DN1Q~%+JrVQh7{>cXFW$Vw|a2XI_1r;?KT-a*>C>%rjcaC8}zn!phO;z z@G<9*h?pR#N$ij{f(9;E<0F8#4F3wi_ zn0L5|WTA;fo{@yYE_56ls8y1)eNuwhfOT+A+&ot-%+?>3iCDl|2 zG3?1foXHDPn>hk9PI?iMA|1)JJhf=LNeuZCEELI+se%%dU`HBb5lqZDOC%Afk>}84 zy=;k`hPYppOB&Xr&=1>SM;LeuigJmf0q&Y^N*eL+C7rY?5#A#&+8$R+8RII_JN?2+ zCgL?@-Nd02=f4wnI-Jvwqws&!B8rV@LD{QB3lufOARkqT91oWUaK)oYM$KGV%17Xa zm7gG=YAWHTm7o;Zf5N|k?8?0^z|H&w{f{H$J9UbZ7ye6YU$3P%t8ICqFrK`9sNmYl z18~%pUVyo|PN?5Ibf6+`Fcs$SpoV6DRI7<0r&tSha_9u;zbX*_eH!sh{7q82?Lw^Y zCGu-q6r(6TMA}W4Q=1;u1ibs$wBZ~{>EvV`eNKiP3Newd9ZR{6;UwDiI@h`>2DMe# zpfcE{n7#G`ZdY`K`Yux$sew)F(UY3Ae?v;H0JFJIk@u`i`Xh^+bd1&rXTKXrmoM_v z$h4_|k?e!dH4*VGdr?aDKq_e}!(IM)sAtFh3-vsacpv|+k9`?{Pk=UE_N1Knm734` z`6*G(Ok8Zs`jtY~SuaxEoB`Q%6Nxl$17%)M!B0C~o^@B`G3;Z_ZkPr^2OM=d}2(U*xRgs(HE%)Jg+?4cN|{APmFWY9-cInzm5 zK9Uh^=hzM3x35Zt=&29I!*gO&? zl^c_`(*4w-{R(hQsgT_>ljJu1?KdoYR+vHSM=h&Xk&CnPG||f<|7cBcN@hP=+~uQA zqMZ-3w+x^7swdeyYmlpU9bK2(d!d)0Rp$RdG@>pYS`r(;BC9M3&weW{ESn{)vGsmtJ%1W7Ls55mZ57 zhYqR#SdC`Rey0=9S&CcpMQF3p%=t-z&cs6d4t72`lRrDhdaN5DYqgUgg-=JU9CQHL zu8JNm6znJbnxV+#;XT4!)nMh@uGB5!3z8T71^r-0V)zv$l5<B4unQvuA1WT7<~dU|cxW#%7V z(*B+twDQo^PqD)0EdtVLbOkW6QBdFele$&akUMb@{nt&rB(-zPfZ9f7(AP`vwMyqx zwC(z16#pt0JOGe`GOJa`C)r`uwt2I+*QV)8W}49S!OldUeE_K)Kj9O9lEfM8_Mt{a` ztWn3HAv3@6Hu2)4ZRbb;cY%F2eLiF6)tNx6%-i9CpMMf_f5`rrUQR3% zttnGF0o(g$5oJpaWNQw=CWH6kpi|Z~t8^c(m98h8`){a4#+3c2L~YPhzgX#}t8VUv zg^rduHL?ooS(K`9bP^{iTn{_JOpz$l7>$^+V|cyiDq7u?M4B&W4$Io@fh3Zp)GVt9 zbHQ$H6u)p6kqIW@AF?m=MTs|vb^o7K_Iw;Nh!M`c<`Tz380DZMAhzn^on`OSk^TedUFvmz3W8Wnl7WG=kEtny$Lf}wS%Kcw3EK#a)p|u@;w+9El+8 zSeU#K;j-djYF{d#$y_2ytp=SMnu^v;31!Xt&BpwSSX}jY8P8}wP`3UX%)PGoMB`5I zSiZq^hW@m+Ito3TXu2{m{192u;Q((~W3hzm0Qtn9jq%-If)&{dZ!b5qtY4yC{}+`H zE1{AvYEpZ_hp;nuf`@D`HHmmf%?_?YrI6)YZM+6>pMEIY>n*kv7b5w#ebgZ_kIEDt zu%BQ$uI3`Bf2_)M#x;EM4Cm-&t80{Xk12Q-om~GC@Iu})Orp=MlCh;vY|Lq{;DTB%Uv78f4_h= zRV7%QFcLDlGca3z2XXUYuVKdsGIC3|v2MZhkxq=cc+G&`EVAS$&;f^obuZPDhalM z+!7U0G)Zxu?&ejQbgc#m{ z-|-dhv}l>HMSC>)UR>gyt)mnq0OVr@t=DaXvZpr8dxX9Cj0rqgiI>!H?JhGhO;nS;He&NH%Z$oBz%W=vM~8=dImLQl}Ws7*hfxcbi^L9ywiu5vZ9=Q1&$ zxDPGYRqEMoJIH3wY5aB0pl{FUN-rg?fpW2%&T!C;Wzy&1pbw8JGsO)(Eg(9RMNjzJ zv-UiDb0HqMzG=kA4Buc`dMi?P1nfs$1sTLoajT>&)|{_Xe)&B@t!Up3KIEGuX^gW@ z-Zd9L-ugFE#K+QB(^S-D&m+h{t))isz`ZNVQPAxYDlIRf>Jk&~&D`N?S$!!=&Y2AR zQug2xbE-I193>MY`{>NZ--2B%Gf}l;BCQc&Tpu}?yx6YSjZ`*?C9a2HPs9mYw?~Fc z-|oZghtE`P|6#GhF@X$~KH-Z_hjI48;k4Rsj&6}vy}0%B8>r`|<7kVTauR4?`eaqsz3WayJ3l|kSGO}u**k6d*8P5Rmb&{^Z&S^ z&g>9BSzIPejz zJ_)?jG$fWff#>;|KCt^p!bTbp=RJV%RuzDowooRmKT*cT0(TRSUaWBRkVgLG)Q*iv z@w6jm*N-Ni?VxWtoQP~bf1ugp`(yU&W^B=IuD%iIVjqX11BpJIqWC0P7-jAhdsIR{PK?y08itVes?(5} z(nM3M-@)$+cIY^I(DzBV;WNF)+88yQkxQz2Cl?eO1DdltkhdYJq)F{p^#YG!}ZW-vusQYg72MS7u38xtBV?N*)7YwH>#2DMTQ&a zu~(P!$R2j*`8}75d54O{kn@}goK*si{?dnt@+Z;gE~R9o>kf`@zm7~>o>AL-6q|$& zKthX4`p@bxy@=b7vv%84fj|(q_@f!BD{P`2~xA3REpoy9pe#5NT^yh25{txSe BY(@Y8 literal 0 HcmV?d00001 diff --git a/tests/1.out b/tests/1.out new file mode 100644 index 0000000..da31672 --- /dev/null +++ b/tests/1.out @@ -0,0 +1,32 @@ +REG FFFFFFFEA9FF0004 +REG 0000000072E50000 +REG 0000000000000000 +REG 0000000000001CAA +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 000B000100000000 +REG 0000000000000000 +REG 000000000000131F +REG 0000000000000000 +REG FFFFFFFFA9F40004 +REG 000B000100000000 +REG 0000000000000000 +REG 0000000000040000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF8D1AFFFF +REG 0000000000000004 +REG 0000000028808000 +REG 000000000161E8C0 +REG 000000000000BCD9 +REG FFFFFFFFFFFFFFF1 +REG 000000000000471E +REG 000000000000BCD9 +REG 0000000028808000 +REG 000010000000BC00 +REG 0000000000000000 +REG 0000000100000001 +REG 0000000000000000 +REG 0000000000001CAA +REG 0000000051010001 diff --git a/tests/10.bin b/tests/10.bin new file mode 100644 index 0000000000000000000000000000000000000000..2629e8d329a270cf22f471da231b2bf73d9b0b33 GIT binary patch literal 33468 zcmW(+cUY6j*9}F)oENCi6)R>3sx+vp(v;bSOG;1n!x)eckWi{n!N#SlP0lc93Zy z0D(X-g#E-^Z7bl|IQQ3FAaG@v8^hcf<~G-Y&42z>5Bd3X1j9yfY@GXRE)vBI5sBt7 zY!1i9xxePAsVPHJQ&%x;701T8zvco#9(%w24BO9MyPv&wKYPD2_I_6xc9ng`Rra}8 zV=M%XL#!-cFzf}_#`?wFwl*(fY}yKjTDP&Cb+xT6Z)s&aPhep?>!-kV=ud$c!@PJl z&igeN{8SGW{2b4)@jM&n{hIIJA2W3S{)G%%$g^?Yuem^wGE^Ygz_1NG8|PWFIs1ML zJI1hM3_CW@n#~1*GKT%du)i4g*F0M`7YG^|_JLs^81`YFkj>e84HF22v#+)l8rV4B zmCf1uF-*oV8N+1r)od;hs2LW*un>lY%uiu+w$uz;#;|1!TQ*-{v5a9U49jF#Cc`r4 z3oJ7kmdCJSh7~icc)q~8m|o#V}Q@K&@h!nqku!Hl1P9V+ASG85YAZBg2eF3xP5A*PMMn zhV5k7PNSt@C&P9!ERSL58Ft=iEjZ7x^9(CvSUtn)jkbb%hSf8ykzqd>_R}a7{AAeA zIa@yu3{V{Tv9!9?NNW}C7KjHLAtS694_bMWlEF8zvZRt$&9VeVT`rN#zDJzfETyW2 z9@HF~3o7GN+@JnET$eP1+IK94S0%-Uj15Cb>)F95$s0rTcOHO8#C;O9(8kbe`-3L_ zumXKr9ML(xB$}pp%<{YsilXBY68L?mWZAB6L?N>$g>jdc%D1X$t=mefGRmp-lH=Z{wEuyq-8Kvx ztx7P}{Z8c-8IavL50y7{WPNRzQ8_rAhyzZO@s(q^jFRa@8BzoJh+R1EfMJuuj`g-rAwr!4K@Lo-E#P~K_}n@_!4oMfIIcF^)VtqOD}r@D^e zs~#&!RC*qAhL(=E8!|zhehJLo6VN{LZ&LPrQjb>car9Hph0+~6v1qsr^{aSGGHjoM zewsH`8vI~SY_joyWjlJnM=-l;cN@6BoO&Iwd_@$KHxNFinz(PZ1o^q+L??R(HE?xB^lS@nvF*4 z5%Yn}xV$dTu9*Wt1y5R2wBQyVXi%m((q`8$;ko1iNDK?XWB7Z|>aK6dL92W!RR0DN zX#tuwDr~v52`tR#P?+LA$5wDyZPxsUx?wj!*fkjj9dzKe?n7{0`G?*m{f?rb?kZ94 znM_4x?@+t=1ghhA7`DjX(5tVEUkh;VBWh&*+uf3*e`3AbrY{R;MdPLQreJqA^D zoW>or8b?Iqn!zr=9cc3V!2F&&IpMt|QdKz!zx*#@rp;n;bf(2Vuy8mwQ)gQaSw}5F z|A7Nl*>A-0?R3yh9Y>_XuDH=^Ca+IDN#$de;Bs#q%}5XvMWqLQY-;k#c0B?up0mK> z`v`CO+YEo~&Mpbv7ddaReA*o%DNLfRd7t2k*P1D!d_ol`CK6@0I&yVcPExR- zf#^S)K$K#lmNw~$e2j`V)44vut{;hf&1;tbUgG+p=X=SWEU}VnfUi9!J1Y)aL4%tw z9+|Szz*(&#GKVcx_|YHDb(G2+JV?u&b5tQOpoPVC2DWdB9+shy#TQG9b)3$N61$@s z@V_)*xG?byJz(XD+k$OFOnFHVwWpFatw_-4zRH8`|HuPGfhTEVRv%L3H5Q}K0a-oQ z(^!8uii(x{QPu4?d>S>vXqFx)xrhG-Rb3Tkr(ULAG>Qb3a5_;4ZNcC3Ah8nLwzz2p|o`0A{Wui!~D=y{HuSS{*eC@=l_1!F!@u z6-acOHeuSAMZxm9E|@#Q0E7BW;q^z);^nKHQQt6*=vG?-cSZrOUa6F~Z6VTOCx|#E z8xognF!<+{lA4eAh;Gs(D&IDnW*7YrmCIg3dBV;?ZWhVp&yomDV!;p^F}|XwxRDUf zwkz_s^PuGNUw-O{wGhK~3gaycskR^zb(8u~X~YdGF58Q|IT50qegj=ZCfVXOjo9ss zLjBLK)J|%Hawku!;Ixb4h*5vx9+6wSg7|(0ak<%%2)%+S+s`0dG0qTb>*>!g z97*yn^HHNifAFOXA5#8J7*V{c1zF<_IAD2*e&`n!?Rj8NczVYhG^@~yD2Di=7E96n z2nzb(xMXif4`u7?1W5$Lg$EGCg_3!}4=MSX24;HxS?i~PmU?^^7Zq)@;H2btqeqD~l#Ys@|K905FTXW}D0XVVUU!s!8+|x3nCk=9wY%f1 z+=`HM{Y3pV-&uSNB6?>TIT&_U6%v7*q=L_T)$IIo11n}Q{hg~ zp%z*NZ)rNWf)t3hEjeJ7kNU}HXt3rE%}Pxma+jXykoONUuU|xtT)byc9515&jW}iD zwL>ahv?Ud)rsME`uY}dck3v3<&<%esiT39f;k)wD#<9H*^19?tMCv}7*0;JsqRm)t`c!MquRQ?(>2>llW558|)(3tPYo$5AeB9+BsIg0y56j{oh3LH_S%vN+~$u=4&| zqWb=h26NGvu~h+qX=z0J{s2w1ai^vV2}tu}Sxq1a9BtFZMd~mDJZuLMz0)=9@?_)i zV(SG{`ajpsmzb(ZYd()#ACwPO?kJ#@abww87=e4LCxvl#=jcDXlfx8`In>uhfxA-; zRejQ8@se`7>GUFQ&c6eB{}M~8YYk$bb9!X;wEid_cnh6>pTW*(H)@x$Akvio1i5Y# zK{IEQ!GVj#Mp^8_^ob9kMLh%fWfC+sogwiDw z!Ru*qQd=L# zF4pnyXFC}AxZkmUE2Yji{~%S5SCUhen+%l|j#O8hPZFPp!rV;{U1WkUXe)46D?aoF z{k3kyFXAb>ZJWeu!*EEMWr3a{{eSyvAz_ z9-~E?2PnTUB3#o4j5^twa68h#eN9iJU*Q#&E5?C5Zv*n_T4GV6g`xqrD9d_62PQ3W z5#O*Sy184ZZgUW-G((`W=_1O$P_8m)HdT(Wq1pM~WJ_r=J2Nj)a;cUcw0ub)tb5?1 z6HcKb>0+|QEu9PGqBZM?X2jB=LSYTcVj{q~z=`FAQ0TMskHM!;?dz@o=|^j1 z>#4M4H`|Lph=+C<$y&7@%S1VR>EfGF`d~555T3zi@5|^cyhHhEE20-D`^tq)EM6#G ziG0*@vi$G2oHOl4Ou|i|T3`tW>%eH2(3{seT!*UG-*MM~UfvZsTMSheeL-3y!pv75 zB*;S?W>*wLMO#0kB(4OrZYimUa4h;e&BpqZ51`n;2Z+a?r&a84O`11URC0&5runq<|kI}7|o}+S{oeGj-f7WEY!BMhJq|NUaDCNNd<4n<<5JIe7=+hYg1`<${J8> zd}zN5s(8J2I*H!)mFk_hVe80y*f{d^;?C{*``7=>BV%-~y#CdM09DmRwC_<2IUoPT zziG#rrm`*6j@wDvoW3}Cce<^jsx^z~J0B-qro8N-u1lj)J6x#3JO>hems7EHB2_-v z1^VSOXlWb3hM&LqO_Q*B2Fvwt*Mp+zB&tkxG#wgQ%qkw4QLzfr%^PUx zmyLNyMmngOXAb)w1D(!vpZC- z><8+g5paDWd@!F}EFwOS~SGINKa8CUQ^y5Yo#l?15nYSDcTBVYMf6X%}UpIlwsRQwl#u9m| z6LBfarOGpmvkAvSRq5~O^&~CY;psTgi6_y;OT0XF^^5WMGs8kH%1YpMd>5|efj@yq z-}-%NxIXmFkvRsD=scG6zJ*bGSE|tUhx(yvT1*F0_f#8(TY&hR4JiKPp*rqqf`c|5 zpYPhsbK}oK(uHK&(6a#&X0GK#txB@orzOGPb2$cHdBoeDYeoAFT}b_jX&@>Kz?KO$ z#LPt!Zoy!ZYnO+`*^4luKr%0ScQA;}FHm=H2GMkAGR#TuA0|JVM!4=LVS}_+fd2Yv zD)ww3pSy$6PH3gUr_;7We1QTg2g6=h#RZJI(3SOuZ3q&v$GanK$u3~9IPIMuRnP%gAY{aib| z84^EWog&4jro0o;=S#7;;W0_P@Qx}6MzYtgWoPas6x_dWR8}{U=>fUH2QAlvZt_PI z=dryTa|JCT`V&cdJ2Km5AXl}v5Y3ItsdnQ#;2%7uMPpN;q0Wn{e@LUE4Z~3}`611H z_80L8nm}BKR~k(zrLZ(8Ec)zeRqxp8|8XYicCunkYNR}RCdt@f!D@;=B&#e4MIt{) z3{e<|E|}<|c-4RdFRzj6Vh%$6wd2$|rVZu4EvMC`)iAm>MWcDSmqs1FN)*kPsp)Y= zlCmxu>zh;1MI)i|gw6O=%rDMJ*aLFiblBk0!&rS{FpFU^R5$eu%_>?*4nDXzGqA*p zUi9c6H7qqPx+UW#WGj0if8Pd9x&Fn=@7|&f3w9IbrAwIFb+u7ZG@a^ScESv41+JQ~ zEX2j~C}uqDfXY21sdCVKQvJA`c5!+eu53R9(vJpV))jA<kv7TK5`HYfH=;Dz<6{gSR@sMT1?4rDeOS*MHp}8OA z*bgV-ZckzC&7L~9#{_g2zT)VKj#0IxW)P*-(Q%J{Gi2L3Vb00(Y!8PMt{aEk{b8iB z-h$Ixj6{iL2?(VL)NNKIC`_K1q3n(|vPdd+nn{$`ejCaQcaaX?*74$#iF8Hr!dO-B zIn>T}5lR+F(7$Ov_<7dSWkdEFRU`kU%_rATovb7J>iPw@<~!lb5#m9iog4U!6ajVJ z#?DGs09|_B!N^@2hbxYR8v^rMsa>N56~@oT;tz~i0$HMu4!@IsZ`s=;G{^%cY(6Rzw zhsL|fzBpi@Z5sOieqw5NWD|86@*mB;v4;Jf7wE74L494T<}H^k36Vbyfk&tJ2S3|; z!dRR;ySH`E9K%0NJBCPKYKU@zKgkdbMya_Y^`3B^Z(HmB3n6s{#uKCi-lea&DC2vNO=FiJr>Ab6t$J2e9bHLu+5|L zRaZdQ5Cc-DkyPefMMlMrHR{SzXuBx6t6dxqZmsX2GWCDdest%>7YAGn7kzZaSJ$TW zJN!t-iDauWD)lJUKaN9-#&*;%#gg6}*A(fl+(wrEa5q>uS;Org7mWw34q+nWihmEe zw3G`81&cQKc-P9FM4V?~h3W^>(XqT+`*n@11 zEz-j2u-xteT)-j}r`*Nth>xf!KMgv@RiYmk5#7B6qI>uh6s*qrqkK!4{VF>mRH=#Z zVz|NXRrtr!=F~t^Tl${p-nI~P_b`?RE5J2y6|`@?<5fFu zJu2Sb0`5~ZCU0C%yQysB%gz}WFKT#XkXxA0I7S=EO^AZh&uh_7*oibZI#b2NpQxWW znaH%4sP6b!U=5!z6(~i(5>)!B+l*z>G`3G{QGq6Ntsd4ps2Hb?> zRO)aA^`1Hrd4koY4`}YcKEvzX3Fwo~P|Zsp;yEZ>6YA=1%(@$iT)-yU zML5=25jiy2L0OJbLSX-EIZ-rla8AL+1gF%2hHD3ch<~YTyBk=c-)MNYo@p1tKjCcO zrhts6Q%TjUETiIF0n;!~P)T|!N&aGi@~zL={+~KR7UhECGBL5Iy$$^LQB>YTKx@}7 zV6`->^-}&b3LkH#IiiQ)p8A$}CCr%OZ($K-mzl&kD2p-Y4uDwcgVDR~Q6IgXXbLSz zrrW3x_q;$5zq|^5bwHG<|FAl98|wMzn5G)dza0@`OznDyUt&z1`l-~l|Dy<5m_}<& ziodR7Twp%xW0#WB-qt95#dvX_bmX4gV|?!nxbjk>sXIubGtaUZv6lSm6JUio+%K<* zgx0 zLLXMgwGfW`he~~)!p=S#Y2k`EzQ$rZ@OuYguu}undc7vqPH*^ie!ePheRp^^ev(F- zvX@x=^Lo)1-b7kDSTTL44qMM^FiT6xVtL6D*1v#~LDxxP(-&iQbEBtzS|q5;wz0Ke zj=r`TeAX=w)To<*vx=hr%A=(6k9xlTaWoMsPc!{Ajs(B4TGFh2L3-FXFLrx;3(RS? z&?l-Qy!31o1V)ok+0=W$-9(nldt zdGF~hS)oM!NKaz__J1I}-jjSe-cc2sRWnPPbB)$|ohKDf?KHyYw_R)nR9)ru4{*z* z*larhr9akEQNu5`q^Chx7m%ccEq+41fiV22;i z_>87b!*rvXAnR!~xs$w<&(O`H+(}QY82WVKt=7dA9tk^Wi?NrWu;) zs2ucwmaKF}`80}p52o!kCZp)kcGl0aCJNiVlv{9zIykjZZOw4x`#ohGj1b{8EfsE4 zLuJHo#5btbz#ILE-lY)CEC0ZR4+*Tt3L`JCIy(GH`Mu)2iu-{nl9EhxR97%=o=T!mMgW)poJQYk1cl=^s9C;=#otYg{~m&O zWtnj@o6n3p1yS=w>Zd!`1(I!|!0v8OB6YjGO#j7?rg!X(qO6(#f!31o)>ou9*oN$t z1T73UZt=NX{CwuTxVO=xHn?%Eb(@fHpN_egYtht8?Az|eBtv>h5z0=-0pFNPbzi=L zdyoHyDsr1xU2=vx+V&!{tj@4?-Oc&c+YD5-U>4Yo8pmSXIdVm8ni;erfA9gzc#t^@ z(JunfO=KilF&)XPV;6Y$vd2X09EW<>4^+WRF;uk4R}r5?YDCxBK2rpCiXFB@*VGg2 z-~$MqE>XFgiijef(G&Ob4K?u{X;tYe5H@W`i8LQ??0aOa44O&PcXk22^%~HmUWDMh z-sq}YPTjV3plSg{x8*6&GIAZ3&as8EmdoMULpuzTTUe0x!QSESh2OCAp97PWmIaL4 z4~NyR)Sz;jK)x?enpt#wByrd7khVUUhP7+ofpqaX;O2Zl-7F!s6C24-;T>&##3j(U z#?auDv-5I3J_6^#9q{*WjEj~~tW+9{6K4w5O`SfCtK|0KtaOxV&xhY^gHVz|u z^hp$ZzCeXEou1m#iO>Do9|neYO~k=>RZO>{=Ag5v=wc7jh)CMP{bc-=$IW}{!d$1# zgwH#5Mho*3>L1jDZsP{dlyx?f$`TooP3=Gg>IJZ__>||TH3N-RQGYKK<#(c-u^oN4 z^>AEiKM5(?)RS(Bk4MS6XULc7QNHsyQEIj1fHz&@75sv)I?FV#yz!XQ=%1ZBcka zK+GDRr1!9=?TfwV)u`^U{&F2O$H=I==03STY<5VCGM;MxdInJeIaK-m91KnFu_!11 zB=XZM$=jsCOQcC}QK9<`i4Nhv@+;j)ukgVoCMrtP62A8?Xm9&J&yyd17#~STX*7QE zUY);IFZQeW9sHDc=!Wkf=Cqi)U~WwnR&_lq&Iej}x8b+vTRo7@q z-X%ONR%*o&7ofFdEUQ^gP zvGoe@p9{#Hek*#`Mc$06etN(tt=S08d6#j~v)2kq#XrcE$uYg@J|uOXPvt))F!|H- zXkF86Rv($viN5VYx8@CUpKoBzM=f?gwSU2Bo06#7tCPc<`|Tx;Wn<~ULJhB4GjNFG zhB2TWlTX}D`S{G?t^UC65z!?h%_K2v1r>Tdqbh-dO2a>MgN8I4xo?|r`N<@MNV9|l zCw^l*3t`=i*Hg5s7h~)JnPJ|Dj-0$fgR`&fN#;*p!|Z>r4!5qg3;BBU)e_glcVOaj z=#rJeT#q$_`=#wYZuq--Ll2dGBVjfRBJDht%6wOomQO=zZLop%d|o)0i?am#ecx$o z^$pPdU_O(8MWD1#W@pousN!Z*i^4K+e!K(rtHOr%iS#fkYEy~JG<)DI7lN1@1uAng z74Dt}#-Xa2feuGGxkoZlDkl?Z<2ZB|bbu%o`9o{U&$*Av zy)&ttTT3lGw_y3(qy=heJen8ICAxw*R5&ewRj*474ZGS$Cl?$bnd2&{)b)4Ly7dE* z|2c*@gjI(}&+Wl_1T#Ro(FL4qK7np$8EX9kk$rcp|GA5XY;QNT_SF)Q3HxDQId522 zlx@)Y1%PhWAab>I1t-@mppnxph7~syQr!VNjMl4({K|H!^ZJSA)Gkmzr-4+pD@6%g z1NZzhOt;ucL=}FtGR6{g(Ju7f{p^ImtzS_0LJW5DGg#eqChPN`V0kQ+w6&d=AX}^^ zlC4S9^|2aW(`k$JuI{wo?sHt?U*kYAb_*&G{|i}jy@{RD(PF*;inqFX)*Y6G9L zUiCm)nO8~eZ}p|o^Y4@L^e%?_ECE^9)ho>8na}dtU{sD6|EvF|Zrf#itT?|C^(7N9 zLol8!yIgIIDpa$6V_&NKaE-cMZ#9V11t>ne7woRgCe9sRhB=&T`n66}SSkW@#vN9V znW!nx3hjd?&=x~B@x2aiN<%lIb2-m6`5u(Z>&ZUrMPSRh4!!#gb@mdSuzZ}K?V8mu_bT(bjJ_$W||hwqtcu!#+m)^~&iLt+0JaYDHpp&aY$X&5bCM8fi=8O~MJ! z)9O|sjMUiWo6)|M-X`R014DL+A$WULsF`SrEmLCbuM?${lh z?}|{{;`8%I}b1?e$XH!1u(rK3<%B zgDdMjFCeP%{bubn4 zx)@({S!%Si-U-63eZk`8S+Ezjl5|Z5DH?Er#Ee}ZRGAP+$#4&h4 zTHWV`@lBUk-2TuGGdr!H#|z5~pfct)DEFpe$>15-ci=le#i3iYrc_494+xX%e(r6D4ZTXe{;p=-m1cMn&jIb^vMfpWl$=pE-k_8L#L=JWi#_& zeMEW89p<&NfRaBAAy)rwUTiDK3)~W@qWX`nM4R)W_xiU+As0-zQ&s<8gVxU-&&GU^ zST&Xy)s(}gR?7+pX5;i`d;SZzCwsJA5P77!l_hx;4;d)rb6|JV90g8y+B-1Ppg;z50nGnF`vu{6a~+ss+HTQF20O5 zhb)AWHhpOKApgmUy`bjUy zvbQg$>UHy|KCdr`g?dOl&%uBg^Df`)0Fis5}R=-*CD-7Gw*Te*tpdK`sE z^SVbX`s`r(@^eu594AdzJM(r$fpmANhg;_I1>kOeO&>o_T(Wdnv>{!&9^9LrQID-% zakQUpuMoNpr8m*!j&Mj>|hp)McZgD0~si z)4eGdDg((?pJ-9wC*o&vASTX=R;66zRVSB{q8FbbJK;Dg+V7l_n8UcY@F8gOVj)L- z9d=!IoU*jjai7BA*+#c^@r3)nfa#+tAo4l^qKFKGKDY`5!UAkfvq65w1JH}RVN0Bz z>WAFJoMYkiRbw|!)jfbHQXf-&Stavr^d=&kv;AlONMEQCnyG5X-&E-If-2Qbm=pCE z>m~D;*nWd?e#lZ|tLrG@z3gQO>mf2N)RSm8ITerE0t>6~;E&P;)9(K-Mp}0U{L>CY)Q}IJLX8Qs z-!@>wgqg(X6QC8jeFu?d2&PXAV}3yc898B|(UjT-4hkcC7LIXa8f88fED$W?-kb)z zyguYb#g^d$jTbccD~81S$FOEg;*|H@$8jDN2Aq`l&e$?NhxtF9fGatP`l&tOca%iy zYzjccxRE(Olt=<EEo97YJ@j*(lh28XsTl%vR0kH>hiarl+G zA<8osft&sZcy`H5)V;L?Re&wFHt`T8b!O{+g2b%aU`UMWj6UWsMxW7*oD_p5kH( z)l`f^aYzI54Z9Sw)W6Wak2Q5Da>A4|`$H;AMxxf;3FUW()1^PA8u{-&M3^@Q#I{$l zM8-;nVpH>wBp4 z*hwY^E;hPVKBFOn_ayAQ*uEeA zS>gvo#64r{lM2cOi%{ft4O-vyC5Z+8M3=jn>Xn7?;Y@}>wd^pJ1Z3bLI)T$Yj)j%8 zZTK&~?%{J?oH(l^{u+_#Fv=rVGEbsAIAB8>W3Em3L}3wjCqb{Vrjnss7{n&?k*#%f99+0eNIzFpHNzHyV1yM45$v(8YH&1 z7}T|=Vc6zGAEo_ElJ2vEaCk@X>m}c>@mH8Y- z5&h)$C?9#y>qA1h=b}WdPFmLy#Y)!S&#-3Cb}nhDJ46+cE8y^}+JvGmZBXmbp1Q8} z23>L)szxj(_WRFru5O>;!AxIS`UZFQ?3k{0k>xJt_q+U#Q%=3oSD}oVO(wo|G-_9G z1l_1OsVBPA|gzU8XEygA}DX%5*%Wk-X; z^j;~Lp{@mmI*nMkiohdfIRty|MC(4U4b{u-nf9JaMZ4La6^c;XIi4ieoMQjma-#KB z;=p*D9xa}`(V;9455Kx^h`#fRO0=hmsq7$0?!1y@Kdk~j;0cNFbCv0XZiCx46;&1H z6REn2>R;(FXW%aIW4$aLjwRpjze(J3;y(k*vo|6-Py>H?Y9t{jyU{D@rh zhPYnuf~|G!N#qMYx-eG`S!R-L5yYXJ|{S16L!lS?S;J`BpxDtQA)~>rbkiHIMcm>cMYV zCgSQP&uHzmE%Zh6aIWRe5!Q1}!;h0Y8+fbrr1aFHfvSvWxGc7TcP#vu`Nm#BUR%ci z(dI5BXF>*S;JZad`FSzEKZZD;%tPI)GSsaaK*szy8z$WS56P;yiSi}gh`w+vG1dKn zdihPJm##&v?>|&j`5kivdOCqWHb_5wBN_dqfKzr`Pc?I#XJtIy3gd2TLl0VAr~0}s zP%>i=QQi!q>bwzD6A=V~W%Zmcxi{%CI9^|Kwu?&Y(ATKnI)-X2c124keMOfx$@F9T zcB4G(Gwv8YTC4X7!ec5cqevD*Oj`>5WE$lg|tGas4Fc%&`!3QPbG?nJ2EbP!t8Oql2wfc&?IDBL=ndgRZd$vuW7 z*2c4%`A-34?HooyRJF)fP&h(&k@b!DZJ#6a?SlAXCC%!g1d+$5M0vzdnEl8(v{h4&4RLC!IWjaveQIdgv6k`7 z29hmhzLBHVJkw{{of}qD4=%?`m)e9hUmnFY;C$wV_y*Fl3RG1%;R?fiz9w-8$ntK2 znC0K~4L799v)gg##|ube;jHo9@B84eVp#aZG6A}A7hPZ430T!sXgIz%P5W5 z1zWs|{yzpa2Z^Ee<`DSh?G%~4iJ-2QDnC63QNY?_(yksQ#d0U$B^K~*8-XKukM;QFO?^OQN};-k7g5sWLekW%)*n%Tj$4ap{qP2Q z*ljV#z0`sD^(5MS=@d=+%Nn(RZG$o=%H{sysT2lULs8pmEVP;Fx$^mXpW?6ofp2Ym zFV|k1xzd#qP}*g(UeraHJjITaDGj)M=r~U2>4?jhA6z1toj}@je>J7~%@P#E41|`r zuE8P;Kf|+zCyOS9-w56^>na`b_X-0S7YMtSnZvG3KI-XLa);!!?TpnjE3&6eZ@#&r zm@1M7l2a8M*I`r|fcINi3L$^w%Yd0}}Tc3sCVBMdtF1xIhloSwMo`i}L)0j_= z_4~dYL*BB1)xzz-?fML&R!eBLY83VwnWIu2;fT6@@!&;+&PKN^?MgEm%h_F(%S^92 z3_kyDHz)^pW**(in9*@M%Pj=?le5XSt1SuZ)B^`pw`QY$j1Z((H=>8r0HRtr9&+dC znBG#sH1b)fJ^dT%6OYp76_J>c+0LlZ93_0+Lgq!W2R~0gqPJxlrP2)5_eA88b&9V% z%!xFW$Mfl9J8+CUQVT%UCR}5XArvlwI(7}1t*c)+Y{{)}#&e@2y>p-LCCcjgRLG~} z$*u`gUQ|{2sGAPcX-hvOaqaJu`n|a{-R26&cq@X(*Xq2F)DvvCZ}d&n-3vRlr7S za_xqWS86F2v6OOY!6^Q3)J*q6<}Z_0kr6k47m)(&s&x zzqf#D%umoQK7{iB{0sK^ziOnBfr?upSm|^dOr}FDcW#G68JPyTHkSAm7E`+)C5C09 zVvhIjMn?;l8~jZDQ2z8!a8Pn!FHOdhK|e@_G8ofsKR~K~wn6`*hMKnq_moa8$72ip zW0ap4l5DvKh4&_twqsTXXdMy$)>wj2Eh@Z8iZfHPcGKGUfxrB-lw5u2X%>EjU@xewW5g5_s?jKlVVMBzw3xV4{fbiWvfjQL%#&+7xe^yv8`Lui=uNY;ZGE*H~ zi+mdD9`k_aymTcJ(?eACvPb!$I%w)QGqf_ljB+svXkj~yR3BQ7YqrD%$UhGl-lEY! z{hDg3OnZpjoN&m9uwpv&cB+?q66dO^ph~|A?$UKsQ6`0;HUUHJSH*+w?QifYGmv+e zHlSSOiJnepnYI;={El-px;&HdgNd`uLDtO2eV(e#e#F@^1QTd{Z6#2U$Oq;Tc}SNhP4*CL{WDH zq(QexWu6UGt<{mD1{YG|{#0t0si%@W9-H%)678^YR55oaG1s{e<`_<>a&sfO-;_#8 zujil^D~uqnME{eduWx`F%5e32vOx@ygWKNTxIzgmD9zv+5Q2Io;Mu*G-+5g){DBU zGf{W&Z@TZ;%K@*hMMsWWd4x|dnhkv3Oe&dP3d+2-EPk-s%HT@4%UY79cA)ZG@5tkF z8?NYQ5J*y2Lh_Hbr2okm{><+ln0_k~?Or<=v+ByAhH+2+wE!}FuCcq)?dZ=>J$kL} z`64#4;3~ad>6U2sk~35X`+~(Tk*}@bZJ=)WA>yWLBC;=5n30eMva~nA<+ZS0<4L0U z^EItZbD=ql?$g>s7b*9$1)t^aHY~=$2Hm6pc7NFbE%}L{JiM1YbNN@NTCof~;{HZg z{dQh{ka5-8v8?Yjl>~B&iF-auIeo6j!q=PxxtXV{(H0IJBfW}eAR&9 z&Fk?B^Corx<(pK}TJaHbulmxpd#(%~HQ1Ij#cXBT8`}y7wHw)9vpMPSaFf=XRtld}#UX3rxOE0~kWXWGhpK2}V6I_u#ltY^ z)b7j!sY3UlM9QZ}GHS9s^DvQcF-P z^qH@vRXU#?qq&O%^fNiD_LM&}2{f8ZL=pD~RV(|TBF^ELkCTil=)svUTjK(EJzjoRtLxPK8$$hHbu+OMbw_xdfn`_PDep426))7bs($eu)0c#Lk@91T(%Gc7S* zM*X?I_;*7iRzRj2_G5bQ_G(E3rTmm{} z5?j~E5z^BSLAC5MN|Vws`^r?J_qYG0+o5|*3gs^&CA|jQEM^g};N_x-1|0(Ye5@yHW1RXSP4`X=hgzpPj!DrSHRu zOX$6U{(??adttTLi5)eZgS8V%9i~t}=}96s4F~zQB`7QVjZQm0(D(mJy7G9a*8fkd z_8EH{Gjwf{nVMmyRHTL08HCDKB%~Ue;hdRgp_NuUm27R=wYbr$T`5@#Ef?*iTPjo3 zt$v@rzdw5UXXwm1=Xsvb=e>RMD=Sg;+#%|oACHl;EDopDXuzWk_}k8*@Jy0n%|*jI z@{-mRm%-VSOEMFi;hE)9116I=zg;yoi*ts~aFDTvHeJ}L^D-HvW1;~)1067W3Tsq0 z5Q*kmV&SHhbRBmC>$~=$mtvd&Evw&w1g36C()J7Ge~QP<#6;9Gz&HjlN946g!|-=` z(b=DUnY4?ca8}F#|CulDs%Zed@OZ)vYfUYo(ba@}6O3*isIkiE4?b=*T zQ`BF})5qkZezRHv4}J?(o8fU-Y2{18IIEnM-)gZit{OETpMcAb)}itXi;+>nRCG)@ z$XEYf3pEgIrAp%fs-!$(Rr5FD2%{Mp{Xx7C6PboFH7T$LHUs@ z;oNxYs=R7V&hETV+I6o69_)PwXZD2A`cKP|c(WIJus)p;ze=K)OkR@@1il^@9E zY$mZfZvdn0g=$mmk*ubJZ1BCikniS0x^4OqBguE-aYffV1r0P`x@3{%onfMnIuzD+G5!AOh2Md9dnXk8xu~6ro3K>&7nLW>r=qF3 zG%Mr=zLm(;*u@Nv%@~k_;#NPyx|RE3Kk&n;kH=`z%Oa7q&XvYg+fsAPLncT@H=XMp z)BLSJ)y6`N)LDcw+1YgW$Z5_(kBvnCw_$Yt&ji#`^$*~NEZI|Z$D-VF0Tw4X)2^3V z;0F&QML%oYow5sgS8ZjqQEySk^A32fW$yZeJ+Q&_Jyc!{_w4OKoSspEO*X8?(#}vK z4oO71{l~4%VZG&X^MQ9+S05O(O$vKTAa00xMYy{*VdMW^qULQnq^o;3HfyO{&gry4 zXBVs_qSbGmxmVwS7r`2d6QXG9Y-{tZ{|=Ml58aatUBj_9Wi+gt0d#crP#@_e9vbjZ zJ)`f_7mGKGuyI8)^;i<9&I%KvS+|cczpD?Ko3uaXiQij*2G)~wjW3~G(>O9{9wRnM zctkH8A1Bf&*h({n2eInpex%|&!y7dPK3lY#97G|9ajws32VQCdP38NpC|`Mp1Z(7& zA6bF(qbq2+_fo`>O(pH-)~ww0IO4NLm{WTn{F+(FQL5|AZdp$ae6FMP${@fN|3ShA zb1J&Bf-3mmnBL>#RY)e=BD`qiv`x#r)?d^} zCpx12Glz)M$|`}o0={2RNVM}z9m;zzp+U{YpnsA5(IH}yX%FGZn=z+C30k8zBw05T zpVx1laMpP;=HGdU76&g|E3LXot@rK%Ea(ocuAZb(o_t5@dtTyg-~AZLoIH$s4O*T) zcm)+34y9uI4A8Gz5^2Iy5;U)ozHoaM93SU~N*pze6{9BlVKq2zDENvZ-r%~JRMg{9 zi+Q!#$hvYno&E8({2n($-6H#f%T|6OF>%MK=(AkI$<)(56ljn(6Mgn8oTAdw*l_&yoO~g`=Ro!-e{@>xtN4x)6&MTDC3hJHSE=i zrC(gA`0X~}rVLSL&utR4WEQ=A_(ojq2bO)cVMTD+dM7-`LNCB1B?-wDL$IK1F3LD% zj2cr%;Vzvps%*PQGVbiBJZ--~rgIyTmYn15Z0H8AKFc-j#t2;8r<%2r`9V#52(M0# zQ=1q@l8nQkgZP^6BN4Q~^8tLFKMnS^PAq8nOqGXuq1SWZ)V}M3rfn7YSMbjhZqB_JrGh4_oJXG1`GYz;g-bPQ)1$ z{QNP>EZ;)&o%1NOCy^R#?9T@6envZ5l^RR?Q4+)NfBLcMnBEuKie~*qB+Kl`-O45E zG@A*ur%eZmHuOfvza&q{f3y{sY+l38-{`z1&Z;-jCP5v0Y8W=?EXC*d-1ilhEQh)R zG^S1l;FtcFa%~&2IAjhL=&7l72w;0}TUi51Hq>#pND2N}x8icj@QR4?stpwe{n6+} zl093^d<0}GHL|#fPkDXU++8$T)BW-pHJ`*Por@399zg@?sy~9Wd}@g@V-0ZF4@t{} zmn5#*ii&zZP*KhWDxD*z5{rLO=v=B+{=P!Q(;uSR?%`WlagQYTf12Jp`9pFxK9g{3`^U2Do3S{*o-pf^ zaD8eRswrCJ#W}p6{e1A0+9?O>P)P^TuG1yj(~IedDFLdRT|I1tvTd>`7+Rr0XB~xgwwc(&@1`KVA`dn8@Tv6l{6F4# zY#^IL^Zb4jCTA1n%a_6}M_p!XAKR&G!3Wa4WDRaPIADqhFe*2XWn@&J ztZ=LDW2oNJo#EJABnLjk1*xJh({v`CGTrg`!{%F>7~{W5dQ&`c=JimmKk$qqAEeR? z#iehHX*Q<{a7IrQ)OY>z@|Ux*R^3RnM|z=|KWmuy@Mh|8ql71H1-xr`GO>R+O!NN1 zh7gm=BP20t#IlU`R?MWAld}3R)F8%#cW%zs>%D#vrLU&znZizir4qgQuoF#CDo=9H#46AA~;F*7dccBftRuZZV zvcvkt=72$}vES#LzFdV1>AZC`=J?E2c5&6?p_(h2Mo8^3Axq4>@Vma-jkz8Uzlog+lXu&ze_AWsI(RWt~v>edg zwqrIu3k&-VfV_e$pi@GG?OsjU%6jzoGo7e!rLIbYh)nPyP@)7sJMZyVs=v8HZRu{T zVJ+fG%CLMU^Kl7gv-?j>yJ3a$mNwviBM*djpUEaYHa29ywHunCWg^U6_@8DMm--FG zqUZnNQuBX_`u7NF-uaEFRwAOc;6>=E96~d$c0%7>jQNlKVZBD6%mGE@pXc)MvOWHY zU1>qjW>->n-BzsiPRH}>-PFRMSgae82cNxzj?JhLmJJFf9M{>H!&yr8H#{Oq(JmTU zXFFZj>TX0dQCFY8wdKuhbRpV1n=~Gd~=r>D+NB+Bw{PcPZg8k^9khT z{*QiEiR0DXf-o$7_ZqFL-=~551(;ur>&X{em^%L7=*CbJjs07NhFuRm57boRds=#L z^;Y@1?_bnIPWnak9QA?kV~h3<8zmFj&&6!fZNjRD)3?D_HRi8ci7MbB?&b`o^|~u? zT=gcx^E`sqEPg#!4qipx)rWv1b>olQMroWpuA{CpQ(Dh%B#O9y{-@(~^)zQwEzB4< zlgqKLl^;la&+{NxQ$MVfIn&U_JRrz}AiKNd7tHB`w-1s&yK zqBOrkbc!EBO}><>g58L6_zY^&=|Y_(9W?XsZh6^yO7|R81ob4C;y9}zl-sivX_*g% zIbTl%Q|yrWD;ikjZKIU^;kH@_qx~9hu|dc48lC|vqr3C?VvPb41apx^Akrw^qv-D?*RWx^|ZdZLFz&|5W$|Milp z!c3s{ZzGJy1#~g{^yKr(T?>uw{zTI6uMsDr5@pYQOT|f}km%z&6dZ7$^2!UK7HG$Y z7N@8nryo^1AE#Y=JaCO2n<6|6$pfKMvs_oADIj}K<&NQq>&KPvaup?v8z%qCQm=6xR2Sasj2 z_x*T>uB0tgbya{&`ivpU6&8rOYfl@bmuPHe4?mxmN=ltAsl=cNH+sH@ceQX@+L&Of zKi7d|d|H9Kwzi}C=Q6C?phM$~?MX^@Kef4;mZtyMtTDDfgV;Tz!C&$j?QQK5HuS*X z*`16RZr(5@{_;sA>=!@}MmOx>H?_9Mw!2VAHb&XiXTC-uoXNH^yry?bQLL_yF|$PWnz9~|T~4lP}&nhT%3 zZV3C62jpHs7G6XLF=>nZ;}Za%zAhEUnPO?k?=?=$ZDe*`OurUv_ENsGM@GHIkuLCV zxI_s9WH||Nz5&*ltswH>gEb}!IbmM}VwK?vb8FBC{^5L2(Jf2UI4O9eiARiejS_0t zJj{#nCz6pW>=v<9lezQ~Qa$)iROA>H?0_tplziX@6R67YCW*<`S2TE@1k7|P5)=eO zAG(T67LcWpBUN_*ITQPkZRv5LIt4LoR!K4y z{@p?cHXi;Tw=Qt(t;w)0Btql2DDL28l=XfaF15}9kIQw^x%DW+^0wknFQ)n4)mz~s z4Lt@rfX$e2pO|rHKh~HPBoNgV2A??@1l&fWdyJDO4SoPx+!_J3I53{&!o4~f5TG`K zaK-yCE~}-MXGx6jd14sCP^G;Lu_F~wZ+lVZf(WPbc9tAFf zoM{=z%+&>{MlDBA*E$6oT%R8;wLC?nu6FcPvag2Q(w`mJYNCP6H)NG_ z8IR)hjWo#B;3O|o^$&%uow(u%+5J{zZq(}|tkGDwx9z0oM>vkMeeY8Gu?(l}UrEAt zA7`_fF?6}X#3&hX-)WrD$Vh)Bt_AG+`h;VQa|z@?4H`-GyP8OS;YG+)8cahIelq$s zx!BD=3oC6R$&`Y>qa@2-p+2AdBQg>vB5mg^DtYo48+C`FBY`_d*V^Qu2Ny}OWOgy? zzw2kXvP}kh_o2A{@hhr7st6kgj70L6{n?t%%{1Fb0%uRtSldCLb=7WXxSbfI=f~mE=taq%Xlk^bxkR}yXTNw zs}-)({XjC?8%SAi3!=yy47EfXZeAm@48HdsbfixB#?B z)Yaw!(y@7uojjh98i5g2h7BTe<|mfCxDMx^57y7EhpfJhNO-x7-uO3Fkrw@uiqGCd zU&kzoUvu3wv^lqx2=C7_+iTG_RpL<%>$#Zr*f^r7RS(tNq}OmpRg&~q6Oq-(#pBL? z1iVk6hiqRI#Y>Oo;pW4bZb_@qsO(o0Ye=nnH#v7H*Y!_k;tbU2xqynxHqd-UCJ`+t zLA;n;6l>(5346p-OTK@joSdy#RpF0j@FpzmdDZ1oyt+3VNBGcpc%nHg+bj*iKN>gp z!K#`{dz!h_m;~KRhP`7R62};zu93@d@62<4I<6fg=~1FGR_4O0JYwK{Od+N8237pc z5}l+sSbGi8((FoNR0KHv(FRh~_utT$ObFX0NJia*22y23FY?!JAB~d*SM};;u10hv z9W^G7Bwe%5VO5MT6-O9B*2ZnvTO(b&bN(aBp-HGY>kw#ARag$#vWiYZ+V6h@7hZsE zE`E@(?xnca-wnT)4b~VH6`)$cDI02glb|LY{Nhv`;2s`0drUr2e5k^^ch2@TvH49n zx;~Hq*S7xl}2MM`mk|fi6%3S|191@Ot9xL2>C$1Db`5sWJFO7Vl`3ubmz>7_KC?2$>cAm8SR$X^Hh*J zs_L+>*`c$@D7Fi{g6|M}SBdlUjFHl30JYf_KZ;X+j;e+}K|Kmbl3vnC)20Vt{#9>s zws9jdaoLGfP3_3JI2$nT{uja*PYv_ydQsXGQ@gB1W|`7V%t! z><&+T#k#FDIH=?U;zfSKt`}x$gtzjD;pr^AhBv~D`BH_&DLPavaHMypngz2z#-a@# zu6|1*^HKS=8#HHi*$|A$>&(rY1*-LbheCb<6md6-zW0!q#m_85RY4*loDZ z?GkpD^@fbX<49brBHSf&G}%6hbnKEJYm9I7$M(gmS&3yda&wiFYbmkdS9WxlUb{@> zWkb=ee>iIMMcJsuERAH!rxE2jKAOul3>Mv}2L06uT>3+8p3yNZw&9coa4fDQqtF2l zxR9aAP8mq#90{BU5jY~>5j4OC#lh650Y(a~?x#H`78uttE;O-rSqi0O4 zI_DB`uq%l-6NGa4nb$3ay<{MFL^UbX+I4` z&bC!6{@zaOdiQ4QhR?*@_A+YnOb;~Q`-s>XvZP`iNb^D`l3ukh^4gGlntaIiF_@bP zx|C=1jDDCpPZ)*r#v3C=!z+>-Vr3~hxsFOgFChVu5`lj_ktfYWi^p>9$|v4(AUnB61NHTLAxRI2cA`&FX$Bnu2@(h#2v@`g>w8ikvY9$ z1!hoG8q{Rd)n69H_b5g}Hfi-J^`jD%$k`LCvTotY2ltyvdxc`v)ScLFP;elV4w;3g zf#;6+2YpK3!wl}9quDR-=OEdmMQnG6h`GBAarM3-rA<2Rt~rhV@LL&o#$%C5ITA&O zQdPz`*lUAarA-yIcvX!?A9_vQrrE?fp&K*n-h$7$9xHwSoU^&^hP(497jj8dH{{gK zHI!zQ*P?H}fXP=mk`t@!)KwfCKZE}oh^kwSnX<#^LA9O6i39zf<15Gocn^8L+t6u& z55tX^K~zcUByFa?TEfiwgD;Rp-qT{stL|W>@nD*Fza6idcZkUoP9VQ4W=OhnZlXhD zb_aHad?1o^0cNWXU~bQB#L*2WEn~jZ(@XkTO57EMb7vu~?bL_-=kYk+3A8{3MO1YP zVUEsSay|Y$+hx-iizM%Xw>(Ka`-OT16yNbSn*Bb|x&ZKGNAM55Y{EvmHHv~<-Gi=?^0vWPG z6S(d$LKXrI__;i((fNU7cZK#Re0Yoh3JKIO9uM)WQ;Rgs1NTA} zDGxYxyZ>p5d2YT~boc=kt+&1XOvP<6(327DTD%Cm z+3;|$<(H!3o4eq>7z!C10;EYi9>e~q!Rg9(Sj@{nysKjHlj)Q6R*d9k3LpJ@u~e?J zjc6f*iy!lnieL|9I?}+y(T}L2Z$f_RJ1nt_!GeMW%jQEv$+oUjjB;2Rk{5r*iAT)Y z8KwO+x?hA*gIfJGF~5dE&Dsum%hyQ{C!D0cKLi?MbI^wWB@?ePqwE%6WW+2a`XU`H zDRUxLIme-|ti;x)aMu6m!r7I!kR!GiHI_F(u0|Y@C3w^BifqV=a3Z4oW5CO7q8q~Y ztdvZejhqS&5^?r0DvD^tqT)=fxb=mK^-j`vpN|D-pQMBSuMf37Qf?_Q4^h{Icu}hg z#Pn1RMMh=8RO-4r{OK&r z@I$=BNm{BZdxo38-Uj{960CYw0eS;BoSFQB1{dxj5$Lg~tJhd0++mLMv_hgC%co`T z;k2jB1W(xS-~~MxD%-jYnMFLJh9iuTKAYyyRycFqzFymXgME3}5cDOmr%>U+;rObT zP5j)P%oshLeXhNDKNg$$csAj{Z@aesO*s}z4K zXj+Ri7rh66*KuTU(T>Vo9Z{Sw%oF%E04WFbM{bEn7nV(Mq+(YYu9LqhLIFG5vD1O8Wg|A+?-{wcBT_8PZv)g{FO0~^v4~R zOn}P674vs}gId#tc7={drE4D3o}(?)S)##0W)`hm&tXq}h-Nu80Z6<45vpz42X*r^ z{M#-**qTG&EcrQ2)-u;;`^qb7{--d^+8o15<|P@}9;IOt`lD$)e~oh62b`D&{+?ES z(8BFR4peC_vYjs9;d)tVG%W&Ua1l`iZNV{{DzIU}49J=eB$DsdMAT9@plMc=A z(LqLbxx^~*wMKPgF)~ZoN0o{)82++7eUaIM3T$358 z){ceia=b{6-@Iwd==YGC;)%%QzqQ07AWtLY4Mw8pZ)i=~Oj@V=H_ksfkHqxr59i1w zH^r|G(9u*Q(W_@T*XpbN&WV;9Wx_(pdhmtbGnsIuWi+VqU(^`+9;NeM5M|O8qU^I4 zUq8Q;(H_}Kl(oK8TmOvKpx5fSpazsKf$zR69A}4UapJWhG5k}PNF&UzD0LK&x?~sV zS3F?m#oxrRq=ksBfxnHfCt=Ns6~%1%aHa?i^GYtPRg#he7>XM2np!vw5So zQh&E=c3n$sshP`pB1$+g$Vv$yqO?OWe| z0V(wYXz-W>BAN6TVxW%eE;&HI`l_Z_*)-$EtB;WI%~MiuK0z(s{{S$ke!#KRvqC2~ z==)|N{w~QnEmm0)I z!&w&r`J1zd|Ft7gwAcL5WfyfsN|Vd9b>U<6tGHygSrm)KE=tnnE}_*!>sG$oWhB~` zyny|~xlPx*Aveg4#4;Uk$k%8Gj@=68MZ^H6`;3UrdIAOtp0uk=z~|Zob?#wWH(Wu3 zemY^^;cHYLJlf$WqyM&4kWus%A zdjt*bH;6RqHt=PhG{bW!;(OYF4)hMym$8#00IU%#%o<}dmiO&fR5$%!+8ayuPBxAvHp-w&B0tUO4iPxjD{bJs36 zv>1WwdI(i5xP`Jty@X7{ChS~UMz89Lr@DwIc_k!1465qCKx3Q|H&N9(kz^OgkxrFh z4YTqXHC!emT~U8H02{27`r`QPvba3k5R(4hhL|L^62Hj8czs13Ze-69Y3Oh=FqkLo zJM`iBLpzHsZe({c)*L@72)YKjXzes}Q88sYW>V&i0q!|-26ABP@w-`vV|Jy^2p=Ew zQIj^tJFxu62V`>~1hCmdgiY9h*djyfG6qQ%j` z0<~D6r0%N~s|LJw9X(s;7Q-zAFTw1q)UNMR;GR6`UDFfMfAT9Ord;X8WcxXWdjG~24H6af!ejl0IvHfVCDo62 zXgF;n{^+}LZ~0wK*|trqj~)JRET{Y-QHD$;B|q*l;yxM_(Qki zwfhHZVFptTV^2k&uG0qFTT~FDB{AWvAs^r;;tfxv+nhtAje#?lb~hr)vU!kWdk~o@ zr(?D>OsrbE=@E;E*=E05QC3ok7j<$tQU_T#7Pv0D==6w1E++!2&u3ShOxkHIESBuIg{Y#DR#$(rz6xA+b?=@uj&40-vzJy9n! zS;H+ZB+>$Rs6z*U7x^vbp5M)w)M%;ll_fU%9*<(P92orC+z|Qy(yr-1pLg;6N~o0z>P~`Z+ezSrmdf=F-{nbL>`;*tDSe% z$};%dhPlZ6x(+$ie@>|Wh8mO`(`4VX1o9VUZ*gh-e$WD6B=dKTQMc4P5$W|{EF{iI z`!OJn>3ttpY3nowk|I>P6T{pr;D^Upgh}=V5OHcJJ?hBBo4p@`c+ZVc_OlICr)Xd3 zLD1sV9j>Cryg$6J2ada?3*S8%{Ps?0RqrpqWdH3M7AOrcKt z+qF5bf^aMK@QC!qlWG}L@ZGz*)|J1<<~HGk^L#EkyEBuj3ln2SyI!KKwt4o7P4>8~ zVvdBq>@@mK2W1;lhNns^XehrhK+I? zy@CZ!5|uBBA?AihNm`i~QiaHYi(f-Uj%NV$c|+wTG1$t~oE+r*lCjHj&{^p!nUf@t z+#IvS$@)aOyk|))E&WJ|1iVh7rNAc!ctU>3LOi_RiV%;iBP*>EHe;oZ0qxQQuQ1H& z&KbQ}`E(zYmJs{*R zT}{N5)@b~;7)I4;L3z4m)a$4@t52uM_h; zN25GPf`nyPh-&IhI>mm5Pi=@E?Rqj9pS8D*bKm>gPnki`*(;d1)vNIIhTi8C_;DhqAkW5kb;9Dt46MaKEeeoX#M;=I8cEW)kSw`|P0XY+GDs zn~w5}8<1w%(lGsk>6FKHqd~`Zqi*YTkwkETWN@{(tCus$dn_l?kiI0(;XWN-@Sat+ zmSE+>^S}$fh5Dof4`51J19PrLdJbgvmK4zzL%?j}f8Y!${9aNg`6s3_IKFe4Qn3kY zxVlc_K$o*diqojZ%7Lv>W{q}+9!fE`-Fr>2w;3NYWrVldaptZ%RQk-v#m%%DNe#*o z({UQUXM#KMNR*9OKm;KZ;CZ(bjv&~gW>t)4*XlbHr4t`Qb~DuW*YshXv{A0k7t-@( z1HNM0&Hu9pD-J%T4bNeQl6^i-&potUVi|zqO#ijx+AJV90@GA^Z^0WM@|+47bGYZW zIFA${arR~C-=>PUGi0(7M8##u>qMeMXyC^O;60B(i|!_iGi)y)=fqWhtEcbq7T>r^lm|bMdj5LCEUBi> z3HPa6cfH!-Lq1^1Zmyi?UO4)+;rRN(iOBNh+IU_^h9kOmtcLZN zJyU|S`y3?3&s3;EcmRp3bK?poux4SS56M6?g4Z^g`(+3Z5-wYcl+Ney_Zzjr_aM|P zI6DNl{PP9o!t_O@n~Q*l1kdbrz>1s4kNz=%W8?Xtr_MTKm)5@Mma9N z>I~^5=(iWssuT5U&s}<1S=C#C1QNKM;KoLN{zbrZUfJb8v# zG^PmrFnj5S)K)dqmWhYg%v@zHu_g7X8l;454k0IkmK&_4;;t6-VU3ZQa}KO=(4ona zgy0=Bgzun-(ldUL_@TR~Y8K2!`CLY`n!0d0Z#Ak*e1NoP?kp6?ci_RHN7R*c0bBQ} z46~+-!J7?UAjr7NylM_ve|A(ca}H)s=McA~?^J$A0vy}`tavj8<|54|k^+QT$ym_e z{DfIP|02;T;1}-Q#Q7sau=wOmvSL{tYuL)cCiYoKTW|w%O_g|>TcaP_Q2_P%9eN_v zL{oRF9pytlu}7civC77u(7Sm8uVRAJD!b9K-h6p!<9pI;w2>y;W)n3J55Y=j7nt8g zarw*1z(-p^4Skdd-~1x-kh_|i5Kn4d5DPgMh3F5D2K*EjgGMy}&RN``>>b!s5J;x2 z^RdlBl!(()4&2ffDq7%-uceoIcX_OsXr+A4@DD@p`Ll|tasR+H{zqkEt+%3*Gx?PD%6ORwm|Ns28$~nBe$fHp#5Eo8wx+tR%u(r>ovQh*-6Wx zMp!$o(X#-JEy{N`k-Z}#4}!%$9w_F`G}=D$s%FRW<&4phXfo)lcZ~VBrTvN)A0<|j~zrIs99m!`SD@R`Paz%$F5iWcnD zww6Q)cWCO$3&6J!2e`-sWOn5onf-RFU;2J;!rAaheSKikiW*7zpFI=HyBl$O+ib$N z?jr^|TF`jyrJFsT1j#uJ<)xZJ&PpO;(n68-y;_YT4>U4@T-aBB!Wjegp;IAt{MKJ) zR?`==HOAmGyiQ;1;VF~*ei`MI@Bwfg7w``@$^|Zjx8KPxGQXc3Fw%d?zb`#P)3&{U znMvnBbJhUa6)vN!T zyQTP$h|3Ljpt;rCR|&_>pzKP51wnCu1!%D9b^tBk+KXf#OD6}Z_~T_~}#;d!|2Der%)NfXQ0erK=?#Z?G)sFmWq6hVE=SI{LnPk?(coV0mWD zB~&ONIUKLhPWc{(ls zvH(wP@mT5B`V<8N;hK}R% z9ziDDWc2#Bvy0gJD`JlIqgS4n82r|*IsK`z zPB>1se#;tl?*ZQL96InmnCbG`hV(Z~rTQs%i9EpsOD&!NA6HF-tuDfI>r2G;704uP z6uuK6h4b|e;wE3B>wC|L=G>d7?(wz+Tq}x7tA-Lz*)Mq3m81uBAaS?u)3YW{s5HTH zIoCE3>N(J5&%4G98~9Y6WNtjQPO3$9KW}IhL#H54j0U|u5XS!gYpsyg_lE554BZB}(Wbj%!jwAhnIWcxb(D^~#Fkk>t{4xXmFAMWve-T3tWMwT> ztqDpAf%@nU$`VbdEckR)Dfe(W^ev7{E4q z?xU(#X|#*XkDT!FmRepBO_+BnG{!0mue>kuZWi&0s)aUZ6QKp zW{^4Zy7j#e3;n~r-q)F!%PB<4TmfyXE!4OkNI1?8v~+JsP00%qRNf;I^qWJt30+ju z@doaH1W`67pp89Q3;8`OQBTA{$o=09Ib>&nOV~NBZ~IBs@BH?uP9fikl8Gm5M-&z| zZzCepE$Bygw6}G!8r2O5Cz5q7WZnKHfWN@JlBy1>swt=LzQx|!Iby1{(1GhYhz%+& ziNJIsuCG-fZp0WYdJD6ibE>GkA_e9t4k5b+2wm#TL3Y%a3L98Qs;VrI=2JiFq*58&uIJF^A51pi9 z-Zqr&+b0roa&Ut5>T2$%=a5U0iMp;6BFH&M4D^JW>>n!}^Xy)r3%yMP6nWi5dTkI9 zH<{u3>kV4}0&^oa!F($-^7!W1@qc=9)*~D_mx*Zu zy9b$jK}PP~|46OJavCWZ7ZvkiH#Mr*32V2Fs6IWVTt6k-{7ZuC?hR*D$P{~*D&o#$ z_Xj`rKgjsYTQfE!kmztXv!Y^8m^tzcv+hga{aJ_~4LvD3cY35HSCJ0$(@Jqw(5Aqnp{mi{htoEaC!%-lU+r1Gu8 zQq#wjYcn5ZKEH@mJHOFGqgKG&sI9JbslSoFz@79AdFR#K90=M;Imxv7d-*=y{*122 zJ$m}Pl^4^|P8Jnji8!ELrZ(m^QT@&wa<*j%xi<7&7^lJxJp-Gil_`8rk08)Z3&`e- z*-S8(N4iY6lZ-^r%UJso>By6)A}Z2*PqHPe7IHZ)SnJR^QNK0$Pre-zLl&LVx|)5#W-a=&?_I;Oh9`s)+ z9+gXtJAYC`(*?xWIm1Q!t@FX3f?jwNFq{2r`cDqJpC7 z3~yI6;qCII-JB1!RtCBHm7{TuM;mB%hC`lK6KW`*gAJ4PkTJ}~;14e$_I(q~hs2Fm z2anL8I(`;Wn?3N66~v-ol@XENO`t;8Q7C;>6_Re5hRY|3slxa;$yOX7%H}b2U_*mV zkH;#MAs-I@L0|07pB5HBZYN{}za!D*#!U9BN3>;6HRL5mK;FZ2@L=u5RcD?CX6MGC z@c10IW%Y5|eccUh6n>bZ9lx3w7GI*Pj9x-gs5zIHv9scxnzpEgB*wEl(F< z$@eRiSE!3so%&Gk1yNqDiex^1k8WLq@+#svRz03g*?oa{SyYcqE-uQbU zvjzo+z%1XKb?WRen9n?X0^U6NouZLDmAd@c7V&&{q8gnV?RETBCtK<~lJu(VFRVND z16#XFiKu%6&KO`#GWnlKLD0%TmE%+*7BE;xM<;~&t^@s5JN^HkZU6ge^=IB<&u_p# KS}A0fwd7Y;+Ok^jaV4xeI96mz1OQ=|zU|NSfT{`+?<#l|x98u@R`QkUn<%!4rPqa~bWER*Vn-=^sH2FXC{&Q;ai)!?BI1>|(2<*C-C( zHiAAM#iSIIQcN1fkx3~gqu5-E&867fC{FTRiiJ~5M=_nwgrkf4H>S@=u{{*qqci2~ zq1YaZ|Htl3|2jT~9nY$Dz*n9R$l3VRpzcA`Xni8@J>#%Ex@Hj(SWaU;mJ@ z-Snz3&EY+@7e5=R%^5IeR(hlt z{r^X#bmk2FJk7v%b04f^RF|JY$?+MBR`Ert;xtTygNDAp$hR*=g{A4 zKDJJ301eT@y{|0eEa?QCTN|}^LYt$T9y#O25#d-iYzE_C{ez@&ZHe;Y2v_r~n3$dn zTGBW;8Ee!Z@Po?n!d*3|l@o`riauz%9%(GIu<`70BJLP~()h8cd|p0S6&(c8m=-L0 z{uJdcs@1kdNKpIXeYouBcd)$qf=EUlMDm7M;I<}_TE%wM^zaf9X9dEit?NV9i!=P0 z1!tlBOy>n3ng2Yc&Gkf6G7g8H5GmTbEx>wst1DZw3URB%L@x^=Y`1+xiB6Id$7xWx z<%>WjUy4Ro&Qdq|Oas;M8DMTJMUs=*I489N-JFmT2&w`U%GfCyiLmXGiz?!dy8TYcpwc9R{(%Azc zwU5#N+TT~ddj%p;SL#{fl4W|@ZMUCGv2N)Nl``Fk$7^Yc!>45y2P8Fd}XSNXwZ z#ej%|rYTrzTMHvETxXr-9kFwC2^Pp&Nu_y&wsd(R=^wZtQ2MBlq=n?8?elNpJ9#t1 z8+w0OB8ffckMMl+k4S`U>cAQy?$DwHnvQ4MM`k;i&O?3X%+% zge51vL43QBBz+rB6t3QcZ+C;NKDkjLZRm#UTgsvS(lAslP=TJ44Pg}$q#pPfB~_;3 zxH=#7#JbS2wopZQ@;t=lS^^W1j>YzSapOvF!t8RwVIj@PrYaioULS=8+vkQ_%Wjft z*$l8bkd9rNJ;>F)opt8_yULc1n1m!tHX~{CHN-n=)Oj)wq3lX4lpc>khG;Q586M1t zB!5YEc_rGHNBZ-(-Jr26YwT>hu#ngxo7{NOBFr=pq;d2k>Y_H#=rf2o?hBT59{~e< z*+wZEPU9234l$a0kwpHf0hm$E(46I(NrT}agC{!79Ycb0` zgucbvhcWU0!-AlZklFDHnvirX$Z<&%XoAF~{n=L}YSodruX~yE?-NFu_zg##9oz9X z--e|c!!o3Xv!wokC&^jX2;9j&xaQJ6lyvGp5G8K|UTaTmE=fZrTmOJ`UNEGmtifIr zMulf9zTuk1t8qzF1`?Gt6UXXZu>YfnC^17m=Kjk|UZqX*VA%{IQ(x84Uz+=sNQ%D` zm#R6WcWEq$=ns5F$RKTYICYatT;7s|*#Aa3% zi#E&N9O!$1+r}zZIK3C+T;@Y_uq&x`n?#cStRXckwqwhurR056&H|H^x5V;cBVtxn z!j^jj)a)uV9Os~gF2%idLcfb>+rYj$s~86&P0OJ%|2*1W=#C{`Lx_6dP3+96!X}?a zp((i^bS~lhiFxE1_H>BfP{XRf#Cb;)4C^HFMQmjs0`i_O%e>_(qPlz38i*w)wHn)w?50<0O5*3&;I??^zidD4!YzOwm@H zvuT5ua=kUY74voZrqP&5nE3Dg5^?h!A{ICTUswr}QGR$1w@}0JYr`V$Pk5F+PFr0x z39n?{29)>B!5-YHu<&I^){Jw-*M*-?a!MXz$4C(#mEf5u39y2!;7Q0Mco@!{HWs&U z$RpPJ72Z~oIp`|BsFO@x4mJg+5wqYL7N%Upg7C#qmcA5e2dxe%nYTmeSu_yTuV!Oe za9{EVYZvQn`6$c&81S|&#@wPfykQu_h`G~gPCr06wug|WDI41Lhq1os9M11hh!o8O zNKKqOlBOL47d{7R#vg*p9)66)$ zDBEQW_M742P%BIUcI9Qn-1-e!xu-~)nG@m(3W;;-0ql`{0;Q|G(9UbQLwo)AQ7iBm z3}P31f zceG*WL|u6VOVrEvQ?BR=aUSncz^Xi5)5d$S%=B}={=@aG=5YlW^`Rj0O(pkUb4LnO zpF>>sJZKBZ!))J~MDy+hKG*ohA#P$D=6za%YgSZXk=s-hJ9l49;G0f-aTimVrQ2+; z9vX!#^(}~B_=dD4dx4^`iQRqXLWp1p(rRoL66b;yP%G>X_mX?Cz7=01BxmD5%rz%j zk)a^&(ixa9KDcxy7mQN}(K`1A2%_tVdUYnw`zT}6IM+zquG>Uh-w|1*Iiu~ybztrN z1=_mxz}vS;iAH2ecr8ZqwSSS$abg_tEIfb|G8z3zuBaq%OkJNQ_ zB75h3NUAj=!hOAf#vwDXau^{o*|D2QR}F&fzssR1&EMhal#aSq+t;i)e;VRM`+#Vq z*zI3^!^}fOw0bdYUwj4&zZD}*);L@zyn}uHE+H%KTPU07P2!dXnHPQ969xZaerjz^KBw>R}kjiT;`)0Vc zQax!Fvh9%=%GK}GiITd5Bs>fFd!w;c)g7Wa*Myg?L<2li@^EZI_)x1DLew*3y)5Go zLYuoej`{IcC+@9&waE`qTl~$+yqqeA8B>th!9vigOXy>?f`@ zP6x|F0l4giRX~m13D7LMLo%O7(EK$9IluG>$cUSXxqi{eO1p!IA`_9+zYj^x;uWls1JN{xx`~##EXJZ%J%gEaAD&iVXkm-eS z;hG&gQJnLAoT*}nN6LP(@7I5^1+u95N%M9IYUa#>boCyRK7I>{=N@3H^G-9qf&d6R zmW&iBb4l6Bn@AkhNUB?-A?!ypX8KcpQa&D}C;Q`oOQ*ft4YLu)><-$-zbVw0btBo+ znxOLZ?~v_w5#)sbT1KjxhGGkEv%=J~MALK$HSS7;TD!lb^x_L_9?}~**>;~5*FJ!a zTRR=8{sbZ4+)c#o-Y${h=Mij`(hsB!YmoWi||%%=052y@^?gRo!VF&yL56bBv>n@*9}pM$Wd;>v20o%y|i#`4n-N4ao(08!Cm3Vv9}-gnUgcBEs3wqt#5Y1|-blgm0W%o3r9Hf9+HPVkD94QK zBd(dV2*R?P(bWFuhFRM7#@kz_(wZp^OBg#SKfE8SJ`|!4+iwhb#z+z}ZQl)H+|Lmn zw-#CD%3!kkE|Yy9Veup{@HGfnrZpdbT@uV(Nu0oD#H}VGl?9gWdqWh{)U3;==g3i` zAnJQBfk}6U+y^g1+hXn_C%Xdj{y?^z?H&k@A2pD+CmF;c4k&6<25S-Pj?~S)kkM}+ zv<)x=>rSPCZ8BSAH`m(3a+e;N-HGsYQT;(5Ml zFI|4@oWuG9;$iO(UtvmLQLd2nlki?&#$w)HTt7#JB^x@TWv2`K^*fl_@8O%9i`%At zCcGXa0u)b=vWgw+uw&CBFpr)@GCw7Q=5aX@1uCJo>jK??F(0Kgh7-x7)wnF(2RiS~ zOW-}|MSR7kx-(Vk08v30u{_j=>J;UOrAF~1$~EQ49VE`he)Tq2Yu^0EOyDnjqgjb2j?*M ztTF|KxlZG3cth8C706TXV|jFUB600N#FK7g^VNHiy6FXG4m)FR^A^Gh-=!0?EWA6Z zbL{%2mGVZpujc;$AglQUX>;$2)b+tcRo4&KxGq&3-?WS6*^MBETgSkR6Cg7W?1;su z7ht|{Fc!|(hdi4@kfGnY*&f`{lpFXFCP9kT@8*HZqczTBHgGrjG5(!6N zMTQ+q2shXdUkvFKw*7Mz$_{^mYm6bNo>vK?iwm$UHHOIbL4U7%ZHaCzo)41LN13&b{SUx=bGR;Z;6tPe%O~o>Ql$74 zPQR}!Fo!*{rENTx^7kQ|l54~ocH(2FqV4P4x?_QL69`f*g>hRRM5r zVInKaZO7_q(=o5023sw-LOiSL$RIPzG5=zy`j`-juLq-cMut?0H%QImrWkFgL0A;s0&(9K(0b4W{v>Nh zmE=_5OEKw!>dv-Iy~#{0YC4E{W@hB`so{*!u7IWbD&nz4Xz6SlhF8@aUc{sgjr(&6 zTliayFz9EHvQ-3ZLITi%)F55;r+UmTxQ^5pG+21diD>o=!r}*mvAT*w1a}{kwy&cI z6R-*!}q*vCX+fO;H@>CdIGjB<0egs)$ ze|A=e@dweT77_N=Ib;!j18v{C1WSq@(3}&E9%%Z9NMn4^!49oR$X|slZZCru#l09o z@CS%p6Q-T>Gb|vhVgON_oB_wSl~BtjB42$M_`0}zSas?UT>oG(4p;`uG$RC9a%dXS zENa1$M+Ut8#2s8RhQ$`mMdXiP7 zt=+v;y{&|(1!K^oXcwWzLe#Hk#R<`0-5k5@L8*>uZSVmUzn{?HN?MNPegkyavm0ti+B;cSmOSJLG4wtj)^T zg0HBAydt7|tHBsNjIK_tWVWaN$lL|&0w-1N* zn;s|*@us=s+t?@Tw2L&8b3hdqfJ64r{rRr_rofyV=TD7}8#jbr8;Y3i&_0N0(j6BAy8vZ$_fP z8rBONR;{Az+Zy{QH@T+`T!4r6KC@Ktl7aI5TwuBZ5e5&&4*wZYRfkmpb!-Aj!UWiE zR#xu(u(EkC)BDMPo>OM^ z(HZ^z5SHsqUVkFP;wm@7%^52NJiaTj5EN)N$D*-N9*&u3-Do`*C7T!;t`v<}iQB(C zgW{bwj3WFfPCGITsa@?**r9`1qV*z@n?12Q`XUl8dkc=9TOlr>hUL7qW+h&c@Hy2% z7q%9GR5cn&t2pqiLq0P`esNff!(-i7%Z0k^uUkl)mrkK*at$(J;!$!;@qDY1W9dGO zM&dnGGi=&KCiKb;96Ek#v?c#E2*U26HdXOI-i|XZuh2cdfv}g4lN#dz;LVSKubrY8 zLm3|_J5NWoD^}rj=nGue14tQPNu0aQVO=UN0mp9)QFk1GHCa5IRV2fL3*kDSEzg2@ zTp#38v=|Jj0;C>#5i`5D;PS)uSRd_6+AOwEo-hJh&tl+|J#MlBb$qR|ajshg<9)n$ub&N3M*!YS|(y?*3 zEAU(wqQ!m0O6lS4ct&EUS!NtEmb>>1vP|hptUioV2-a=~P19EF(JF?-W1FCZiAt+! zDMAkJ9^}i+M6G4FU06^@HKx?}NHD`dy0M|`KOKSRHwvkay(1H*o>`XnuBW7Ld}4z1 z=3s15Gg^Ck;G3}Q0lA2YFvG@%?!@l{W`mM^!pdqFp_guhX&medjoX#bGF}v9)qe?| zV%1HnuX>CVdsPwDMlK^bxCvM9H)r@Qme|)_%v67~k#NnrBkAs*m`%A#LJseYmbm^w zFHJ|!Hs^DZ)9Wc%XMi|;iWw?)x~7(n3?QW=21EOlZ$$n4Csdo&V58Y)Byje|8sSHr zctL|Sm-f@Sn21dDKM}t=SKGFs1f|G3v#PbDiKR+Gtan~oDz~j76+dU`a#WR2ev9fo zIU+2Lu0z&~f@WJ=h5?T|9J429fS79P1}_FITLHK!BZ-7@By9t%$+o>Gh6u}6AP@db zszod&qLfG?wjYk9lIy_l8clp{Uy^jeRl=B_L5{WCAXD&_NadZdm?;Cz_#a4Y@{Hi_5$*Dg1z~y?LOBF2pnE9%3B)H=(Vsdg{ua0r}5t-q3&fS{-W(hDTeFRq8j1xabGxk9l0^-$hd1U(t*ul_2cfyD%gum{D zs}SFbp=&e_H=2kex$-osIsK2;1b*N+VJA_aT1iSi9!55SA+(=2lFn-{VD>eD!uAjx zYOG>64>{?zerZRw83+3^HRdR*V=#{L31iH;%d{V6uhS|$dn%Iu{M0U;l+I5I8wP^T zYEtLckMfXYS_4hRv&?M*Gv)*nVdZ-Keb_-ywtHWkVN4~CAHEJ)|Ck+ByK^eGDvp6M zmoi4PbCZeKEF19}obl_lRSUaV2Ly^7uh8CzfMo5lrm@-;8;Ty{%!)Jk^3Qszf!rH6 zj6I}HQ=1~)x|&!k!zAJoEP$!&x3aw47R02DCerR(kkii3$o2Dhp_ROj+3WP*^W+G|vLF}0_5Jn~l14T(kL4BkKYs}7|YHk+Fi2ENt6SrTa zjvP*?zW`^?X(pM?t5B)SN)kKZg1^oE;m~folJHz7;bnqGM$G9(8XwO@VtW;G43sMk zOc=6&LLxM~i-r6SBuyehOv7>9b~1%b?VrMO8fvi9>u#{I_BGkynV{aGFz*#8izu47bVCq`eE< z_g_N_)kCoSau>R{9cDGM&ZP9!Z`zxAMR-C2OxYkD`*s&2?>C*+ zEteJYV~CpBOy~F-R$6TyqDc;+@6w6{4NF?2Sr7Cy+L>~_!^r9L zC^`=_sJCPXDRCJ~1h&61d|k2R+r*t=RxA4<@nZ={z8(Ue-9~MCZYN}EHXE~Nr{Uut ze`#v$`eT;4f!6G@U?SUGW)UN4IsL(tJ!E}LwH>I z!@a}m(&wo2!#c|24@?M2AAc9KBmKcS^*UyDc;mdmqelqzn@Cn$8HiH?ftB9?>6>#& zGi`e@{bROxWj#-%dm$R@Q)bh;dNAb!@1ZpRJQgf+Cvo@_;x{+Nn{iskUi5o4OMKuj z`FW=6nBgb5^V8%H!J+axDDAd_(~8GL^!+&!;Eszp6$wz=l7*$g=TK9LE%hsO!fqDg z=oxQE(0Xh=j-C8DNlYk54WAC<>g@yKZcNj9@=xL!5zSiXU`rys!lGKmPb|(Kh}B^= z#9+}2|D0wMXfx0or2CSPziWgxu9uPa#h>E*j%xx`qyJ)QOSpo$`4P)1+>tXfiB})b zA)oEXvGpo>tavd?&JNkCk?yi5?S`jB^zI)1s1QW5Z$874Wi7*{%zKn^Nk6M`<7s$$ zeAT~LMF~WZt$%4&$UGdlCnXy1|5(!bR3Qeju6a5NvZb4T(}{{ID~@ z+0E@JGdYgtr2=3&BvNkB8-%Kvq?zAAmo#G&rc=G8NlRW=i?erV5{cN|7zD%EIR zn`mFj6MNWdh#++%^>r0Od(l>8y=gJA2zxurrC}yXvrgxuM(e~pBX|}V zsE?^H^_Q7qrsYTy@6#jrzC6dx*nJc}ho?{EHQKHUBF9(3Ra+62;$je zAuBu@i(b*5rvOo1wg@zx>*;;WXn$CZtR$gW~^Zho5DD~L)`YA5mT{Y{q7UV;V-x-Dp+xH zPl9&j?+?1na8E4x*%|S;|B_W}=PhIdAp%oW;O zizOL$>xhu@nkVAd^3HZq%hi*qC*;=LrJs%U+JAjG_Ru>dN%sQLyelw!wnb2V}gekG7Rr#|AqbbK*(%W9a4}2^pz!^{8kk_VzJB z)q)6AJYtHD`^lPmr}mL<0T;uhs$?u;mcX=)PN8iUW07)l7|tB%i>^;CTBsMSM}79M z6IYi_hNa#eC8AFf(4=~!oT@3yTqaxU1eGT!uWj+=UimOWG;}}J+7Bbv)CttTeqizB zX;4!CnHUFj_*Zix=VSx@t+SBDrWsmwVF#K^Xg*5)jx_}}v|qMGA$PR_c4`7~=D1R? zmK{E%{-RY#{6PKEgKFKam|fKadh5o!tHQn#Zp(S{ChK>?%K?LRn%lc6x0pyiaN7bj zu5Xd}xC=6br-H!n5lPE>qr}xO!!)vqU=2!ewhcpWZ)*HC-#>vVxe~bvc*DghJ$- zEJlikOVB)evbN*cUpi64PBfyXFI$?kj4bNy5`VHq!?N*XNIbUU9p#6iX(lS$!`e68_t6Ji2J z6A%4mFw!-BB|dqXBH9i1Djv9%)efaG;`=x&*>gNDy-901cR0!k6l39s(NH||fsQdh zOqAOvAcw_>Y~Q;R80}ZuGc80WU&PED*MyOsGbZ@g?>&lpw5(T}#K~hax-LMc$G%!N zeZnucX8k&*ZaoXeic~ne`py{Rr=q}gW<1<@^+cEzd{gQnc!JtSoyD38DNILTSBagtq`vw2Jw7OSo^+(2Jf9%flDF4pUp;{NLfMX&|5rciAMOV`IFGlg>Dj~B_h|22fqFlMj~dvA@Z zoqQBarhG@gRl7%4+uA|Rjhk40yik`hSxr1uld)<>G_0^l(|Y<%Brgv;iT zwp-gM_m~Atz*`XAufWn(J+bEW9U_%=K!R^voV8~n7AJe5Y=0^Bw0y;VM=hEq(yu|D zt)W=_=_^(xr0Qf1!@>N>c9giq6u8vG>5vi*Ou-}~UOt{!y?KdOjPzmDTc(5LVGh(9 zV^MXuHE}YTM{*8cAf~GWLhGcn3D4~T7DaBtR;GiA)sE$4Zfu5|`uktP8r+F;+ionb zSV}ZA#E4@%A7ve&I#|ab{~c-T0w&y^%<#+8@XicLBLDO?ozU6^{^ib$Ij<2*YqQi? zUZ6&w4dUB9!QsOk5LG$Sb!LXM9xIVZ=?HPlRzTUl+k!MnHKE)OoC>d#ZP!m~Y+SDq zr?EKORxP=WWQe+=1Bm7Sc<-jJ4kEY#;VA2U`_+AvQM?^dlOFFFhGLN^{PZ zh{=Dz`nAJ=d10*N;Z;yKQa`3`EEY+!Y3=Dv4o+{>n)CeQrGgdc{rkEA(fv5WleCb< z{SIp_`{&@s5B}7j`x3`>-6bi>e~X=Fd9#b2)VqaE$|u7{y^`8|N&@LE6OeYlPAoV) zVD+1^RAq*;z24#4_2m%S&D+sK^$9C>PSrX5nu3$=xJNm$3s5aP0yP$fpdyo1NvqOw zm5pwm$iHo+*7#^YDgFK%?)@A&;JeSgaAWRl#I>D(qpt6EvT+@PM6dTjdO-oP4yi|K zJxjQkBCur10hsXnR^p(K%Gq_7in03RUtof*a9UL$1U=Xi%1XF!+~}m+E&kz7wM2R* zf!52y0^r{GfzNLp;;MdqaG5Bl63<=`wYa7{5}UXx38V6W0Gn6JvfAnNejh*Om!a=>z(O5zKx)0#w zoOvv};3|kLmm*JjGl-LBBa!2HD6Q`fvkp8BtC|$16b)@bdzt)MYCa2^F%xil@*FG= zu13t2j<{TR0I|udKy&UQk|c{MKhuHp4jyUT@NIs4{u-A3M01X93U1ohg=+6b@Pd3` z$}N^d$#-weq`gC9I{P@q{Gp!Owp#jZU9r)2A2RH(hqyXBB8K-M9(Wb80wZGdiFEF4 z$5LM+?Gp^5ToF&}GQ`!t2jPW0tbDx;GIqLR*^!6TKRg<73pe69Suy^C zlosk4i~{CoH*$RbT6aZRHWmxU;A*Y|wu(#|CN?qocSpWex0 zc22_(VskDU3mQ1M_Q5{7p8U|8SaS_)SV1%m_Q*z3iCsRO1rBo@OE@P$BJY9Q-Om$E z(Olx-8j7WlrbGMJbi$2ZOUgFRCAD3{si&+NBs(q;wdG3WG(Q=0f15F?ml~MZnBr!= zgAd#77L%cJYi4S{sB~~5<&GO4o+t*h+Rxw>`k$eW{ z9lxd%eV1Y0wyBhZy`nm=Eh+oj1;q9P2>-1>EP6Z(BDV&I#a)O4dBs~)bmwyDon?n~ zCD(_Lc7J=S`|QVk-g~-Qd(0BH7i~rwzk#GYcivJxe+ho^&cUNJ>kHNJ4?xmgM6#J= z;vhSZ^<{F_MSmJev{XZo-y#|^3YUx+35k`PP;+CbTii?PC3&Mp)g3lyB~wInEz(-d z^dhn-`2t))K5U=75RXYJin5H~h1+Q#GIjbcM#QH&C*_eEb#G*8dKFo06SKG8zGY_~ zh5)XyFKV=~r+V0F6xL4$IrBK6?h;BwA&5Law?bLC(Os2zX7n=4hj!SRzYa;#Y7qN& z2uP@Z$b4L)Zld3FkyN6f`)e{TO)97PF^>qUERlKcH6;2pim>6OP_wNIW}aS$;-N?V zHH)WW(f43ndtwF7ptbF$&GWS~Pt#>3E`|8baL3t>{D+tgkEbKSyi+oC@3d zG%74-Suf;ZxJ#6YxhP9sKt1&mRO{4CoZLK+bn0*HQ51q=r}d;gm_p)V7>KS8J;s(L zP!4>bP_N54#8Lf+O1@t~*G(AAbZ|)3ddLc?9@0vN zY#B3@A9ox%+A)ObUy9m(b|;>x=BQs@vC_%+0m>{pgGKVS=wd>#*M0l=aEFUOiT+5d zQXrXtMGx*l%zVEvgY8Gc9vY99#m$y;cg{tk8!hDep)QR1t8ksPyD3~X85TLc^9X5} zM>1jO?Ilgu@AY@;I)T*AUjpUr!$*qWO{e~mKWzEbt3(st8}U*X(VmS0iIzVg{1X>I zUC;%oGV>s3C5K2W%%My-3>#aMkokD3K?YO)wWSY{r5K1YVk!i@UF{$?IA9+2D=B1d z$k^}))qL(m*qR&o)10?Km(IWROjnQBa?4K;o77Io|HGaLqiYtadHn~gqyGn)sTsg& zorCs1ED4O0d1z~$N}zm5Fp?jbGDf~*F1DH*f;RL{W$JIV{?mhq!-Z1hA?QoeTZfX8 zbrQU;w`Npm%Dvd^JR7|7z!O$%^aXXrBpeo?qkXIkxH{Sw&A<7~NmBIepZ^DViWVBf zW)M9!#=I%N7jeSYj`l74BcoX!Nn2-dGUIrR9lSq5C&_&Ztos@~_9S7yjGm#}{`oKU zJ^!NKfg9x4+K>L4jA~SKV*(Pc4I|ajb~v*+8jVYTqs@^80NOIchw542@hOUlZl@i)Hsh z4Gz{J8=>syUFx^oNo%6jKBmILS7{{(tq3!T)+SloYuf`>6j~BPl-qU2F3Yv)IW&T*4YD3R3^+G)AL)V!3 zBBfsi)h77lwdsXKA=jGvKud`@mh$@@)xhLFqCH(lqUX!8MRY9^6}&_3eYn(H`4EW- zBJKPQNY2ecl5s0Qylxl_7`RZ^ZaW#(Udl(tCB-EF&z*$qXnGz}B_XLMmx5}mHCSB2 z|2LmVH9D5)4Ihcs!~3LZVKjZWX2@pK3!=7lKy~wDL0-lM$*p}!>Xcxt{_IbtMR6E$ z!5hMr*-?F?fZTjp%5=M(>Tk7~FIT&422oihWIxb)iaK&|pSh($xAr?Rmd*5>SA;uq zIF+7ceXz~Rxit{gZR?7DElv-$Nx1@6qo&}ph<^WKAFks~AvT68%$>DdVSaxusbcCp zCH=cYw_QqxJ?w*TU-}!@w&>k6jC)|a`uQF2cZY`Uh z(g{Cr|4$)4(jS~2G!W~@8{pU6mkYR3bFknZ(yA*?5{vtL(A5ZmE_l?`MER}6XlC48 zB$;v>&AG87%%kEa%3*SG`Ml*wZ5sgZ#{AT3vLit4=uUkSpYiYxA6T{XMKUeblHr-v zfwN46GVCVM=iwn`%w?RGJB>(A-heiNIpp}9#*(Q)^h`$|{CA^^f97}{TI5NXDIeg2vX0Tn)kyto zGcxJcUtKb@7$gmYNRD+QSg?{9L-HDYweVGcQHVd0q?b~kb1c-gTqDO%4GX2(EZqm@ zV?`#3bdNarI<1c;qO5kDlaq^iEvBIHDXWwZ=OpE}C6e-c5pY8key3Z`C;dsv=xSSuYe6B{eGk@DJ5 z^w~5>Cq6=Z5Rb0@>kHGpT}8$cq%$dcL8KjW;KT@dYJNM&I4rO zT7utgl{>DE>OYjOViZN zp+btHNH{xzUC!KGOw>nGp{@U4(w=LMEvBu-F0?26b#Fe)+t!9GbDgpH;v+iW`MzH( zX0Rqj7l@}{B$VqO5pnPlqqSa^ z2PS!Ks8gf`(=*{tKA>S7=-Cb-TJ)@oF6+Pn>h+T2nmE%TuWK1c>HgI??{EZD>+}(Y z&lg2VS6x80)ng&?j~n#@gyOXO4!CcEyL-nDcXgs8ujsrMQqSf(EV3-Xn&285d!kX_ zBN1bySNfuo{3vKE{sjEV%W+mg8M3HaLDzyGQJ!@f!=``2YT0_c`NAZ2@_`uEgFZJe z#U53gB@mJE8}%dG61mx8I!9*oGj2%TD-YND?!?TYxx`Y^5oJc`Ad~L8r@>9elgo5htmPHbQ24^2X4XT$S8V5QPnIM;x z+}lF7o|>i0JaRiQW3dbh3o4b81;hVo9FXz34GCX9L8donEm6}vpx+v#y_PthH9krq zH6~@m`1TXY_NoPoRyAP*AB3rA_QvUtGeIIT;;E)12jo=AK$In;dkAQsAr3L|8?bGC zn1eLlgix;=8d?~-G{?*b=d3zRYFgGozq!Q`28Mb<6??I4lUedS!jFjZ6ObGnj}t$)dOyJE;0Tu=4bP|WuE4`pnNq4i(2%<^(2 zt#Nvyw!s6b7kCuq)9aCHcqA$9y%Usggy{14e0GF!g>L&CdRBtd5sUJv7Bs*Uc^qlw zTfi71Qjf-RJ!h7tz7I(Dr{L@5iGgaD4^)%c1J3dX5U_gYV29X$bwwelF2rHYRxM7N zaT)9XucI@MhjM-YIIW~pGmNDYBV~zdCNs=XAuZa+mKJNJh%z+8^UU0fic+cUw4t3& zi;ALEyOwhr6k5J0Em}_LM9owuzsv70ua_5QJo7yFechky^Lbw-ry(D14FAV`cowE2 z#$o|(FytYfQ!V1}dpuqtv4Qte3R>GBBrQBZmOp;0Vr!=1zb9R0%t~&d@Mi|3s&6=X zaPNbo&x~s;P5ImLo2zC*QPuf+o6YEDs%FH4{t&CF-Aw zZq!Z3V|3Tm3f^C|F(GJT(|VS4zTq*}Q|};U%sM2hn-96{SQ>V$7@2vUo#-p*%jUjV zLyM<90k1|G&5K+?`P?_ye6J&9#FmmeW-(28af0QpzXj`l@>GT0HzM6ugCy_2VsZFj zq9}&Cg`Yw?M_gv>_~*bYl7|&Kf5ff&j_o>nb!h{9>Q_RL9$yH*rz2rbHCV{Gj7(mQ zqr&l3q+gYfb9O`;s-Tfj6OE$W>bIc1lz|^+G-#Ou+#dv z443t;$q9B^+`=6J{s>>p7*vx+>2kzh{E}Atq#&+^09YBb;WL_rY))TAUY7ZyAquHF zgBQmrD8};JcEn9^iA;6+%07rG3a#p^K$1T5aQ))p;JFAPVhN!^kN1nD^~34Ry3>hP z?U}gwo~=;h>xq-5gkzsKW-3KT0rav)A?~bm$nUos%d7o}goPT&XYTdUa=ws?^L)sT zWa4=*FR`2MURHOR{6=B_rs1Vymn%AMFskM652*iLD-3PQ64K(WVWh71B9&M~(~2H7 z9=)JIZEvAOcU5Q~L(TVh@w0p5dDEO|c% z1)13Udu8q=@+*s}t7HIWa!k?eW&NjUy-p+7%$w9TWdzQvHbaiAewbj^&!zv&s8ttNmSC-k z+scV~D<)4r+3kMUZ!H_<34VZ)C*l-)=RszykX%1LG)z2t0_;7dNb3HE-1Pe~Ss^zf zQlIto=W&Nm1LrH{QmRN8`u zK`z=H%Uf<@>5`-WpVO=NUPcAwp~M8=Q-uq?sL9?}ggwT^b0a4NW+{)V1Os$%PD;eQ zlqRaUR7)?M_n6Y~;tOe*BvZ==q#^SX*xOR((x^A6sQ=DRG% zacQ8KDMy!eOOtg5i&0a;d2FKf#`>H;QMO()BcDGJ_7u5y1)ue5Sj`tl$yF7YJFo)N^-VA_P%;#0UTa|F`Lb;REHC06(bP&!lKs z>-QHG7<@vF)dtk~0q8gxJ)n;s$INga$iRIjdFzv~B6$u~IR1v7*d8ohT>^X91MfNq zchVYROu{;vf${JgX|+X|k!(WwUQS5Hxqzh}w&10WKyKw{>FA4wtYZEB zI^16uv$_$h6mN>qTl4X@!ws92qB1$MU(}ye9Gyg}0z#4UYBmmcsDgV6JX;nTDsf8f zt#^GyZVu?_k4MSUnz6KbbF?dGKZ0JQ6A?Wehz_2b!VZjgbFy~fWAX8I*tKdu)*bvr zw6&o~DEmm=yo``w$6>6svvCizuWNuk5R<@mjyi_5|@X3#ANH~KO zqKR~Ib6H^RTa)F*?Cl#Ln*!o6<%l ziK$e+_B?LoK(6BW3?h_&C%5fasq%Bp=!v9(YIElcNbx9$%+aaWNIGkXsqarz{qrd` zbGE>yZOhR`_56^ASpk%@3!$ND_neX%mGsSIGqupGkWMr@sTSv*a1{R%;0EqXA~*Yw zG9$8S{gZ3BAUB6T_%I{E+|nDh>|2Rigd(#3Zy{6pFoK+pcV%=pqOkQAFZka(yv1)P z5v%yMRQ%{D5)U;d33bQRTy`ha+gq^lw{5Iw!451;8bL%3t1)k^0rn47s+!Ex#(nW# zADXaoGD>Q3Lvq;f8zPSr^N3m0u;~>0`IGO2?sa8I@xm528Rh}!;yWEzd~tbRT``UO zx+b9F?HAC!vXNB?XyW{5MEbrAo0Xq}3|b2{b$Eb`%?i{zHvfYB34G>re^8SLnS`Bs z7_lGT;I7Od8W_`OO`U}Ss&_mCUVQ_~LT zo|RPpV9knu-1o8*Q|(l+rqd#*$!(jsG3Dz9_vTz^j^1sYl#~qjnIT-4LteazGclJh z$AV@TTBlb*H6@@$R2reG_D|I1CaaQ4s*wWx%q-|ml{;;q?~_j}XZAvjR|1mslbD04f_Ot|EvjZ21ZcweoYigQZ zLdI3kQ|VP1qpsm{sG%H5lm15hW6Y}1#sptv^`9GJ+g<3|?$c@!e)Ie}e>8 ztC5%HGHMxd%0Zx2vVImTu~h_*>`B@hzB?h;(W+}FVt>q{3BMVn`dF~4u;D*p!Gl%E zgf|Ig52|A7g|}(G^Jmy!Yf;}NZ{u4Zv?1Z`R9cv^Vx?b=U(kltbE1?B_LJ6j9qLo5 zj6RT)?OI(LI9AcmnTT5zP|s`t&(tcoFYgjfW(;l3oq{^uz2i*N2O_hQZJ1v@g(NLE zPiFYQVUzKRq;NITF1-V_*m|GHhIHpfX$f`porzzL_hfxS9aUUuF>QKbff};@qpde| z=uu*w(iLSCENMNVz@TaZI)$Rj00W4p{cl$%fo{fU#v zvd_+y3fWI2T@Jn|gWX7+dJAtP5q=F_S5;XTM+n6;9q5BscT{#MKA3Z{kP%DcFn9l1 z+H!9pcpL`Pu%IEt-fJ3m^IAmwmyTeee?#li|H4)cYQkBx2nTyBlV|jMz0j>>3^us5 zLcMut2lH<8!_{?+idr9aK#EDPa9x_Oqq)GFioBN~$;DP!PiI4Jg^M?q_V9Q7zOFLo zdt%49Woji=5su^%70Nc#>`8WXQOdq3PC?otQALFQ}^Uo9XJ zI6Q49K2!1la+$NJYqSU}?6a_LxhpwYvpi7Od<`@2yOC?n6+Fl2SO_=aFj02M@%D-H zSS|AbNjj9I%4Z%n{WpXjIJSk!SexmRGkPAjme!AzpQ}Q=bQ_xcZZAEh7`zJZ3*2gW zL6N@who$uXW2)?^K&;VXB=y=saz17g>9Uz<(3Od-z~Ma=MNg+WgLfgj6mKG(J(Ehm zBDDYY&}7IiqXUvDY8$o<3yx4C3FyZ4TY9iw?HJ^h`6rPu&!P%QaVLN#rh@u>`F zmCT`ow61)IO_(;g?tO?bT21UUTq?<)M7DGqjJI39(~mXUNE8b;Q*MohNLN#Qd+D>4 z=6iD}RW+{TMVzL*m+OGPG!Q9s%ZWrD0~|L@h52{k%+-OeXH`1ceA$R{s&23g4%vX8 zbuGS@JX@vL(GS^e!O&N3^VvPo*OsSQMJ$KJE}Sa9xJo!b8He4Dr+QWYBgT8LV5wjk z$q8vBLG)JAp~e))-kdtyA)s7?Nm%Pp3A%+2vOSpaXZ@y}FY`cWzlPihnfx(QRy@RFPobrqg$2#-mq|_H`l8ns$?M!e5Au@*+B^biNe{2)-M*L;4`~iluZ(pyCobe?zm#v4*>I=-9yde z2nj#FhwxUWS}J(Ai1gwOB+(osGc5Zvhur5fZ}dAu?MtIcF83kTMxRCEOaGAYS$d>( z<|-;5u%G#sdUayU6n*R(5r^uSbyWGc8OmQY4|gqN>0qa+9^GR{0LShtnHLzxG`_H) z%-KxrTB{`NSjc;oKO=9~2dJ*?x$dl;v!71r+v()HYM#ni+d$nq8;Q3-5T5W`gV>1Y zM11!yR<0;OoEQ@-+~kK8J+>sr*N?V14x^2cZgkMkSu)ASWsn70fvTLsfXg-)M>LKL zksUqFp8mXKf+y1>G0=NFb+)=k<%(YiRh|zdd0%#8{-3k`&iETJ-_GlnYYm)XA1FgS z!EVsjEI`{ZL|RFGZ@rAUPZohU6S4!2KD7IunkrlLs9nu#V#9gI@*LW*^g}crAbe^DI31m8duqVTVr*ol6kDEKu^NQ#2#vI3rD*K{OGz@P0~ZaW{%8t# z@|4uB_8MW06(q-bHTZ(R!u~kjXV}CCQpi6Lp6^G94d#5^PoO?v$#q2<~{@cwjw zp3&k{8E=B>^cZ4($&hUM(_w74hY+zNj#9I|gGr?Jf1zTg6&0N}A{S=*y0zx+AgzCT zLJjs4=j)Xb<3;jmTeo>M?1b;?261Y$np>47P7;hdnKthzEYb^gxP( zm!zHeeR8m3mUIwdVHG@EJE#fp4ZF|orT06&udN^EN?rM%QDnc(o}5LtM6vcNe2%`@ z1bomY{hVpn@;yXqYfY4GcEp1kj+~eFUvwV#6`ZRS$U1*8_*+b|X<{^%x-SRKZ6Mn4 zpS^RoX#>mbo9}g-^eJwI=kSkVQzmCS9E5u)(aWq;PL)0GNM7Y_TQz3_@h-Q; zEte)D1@s4{OKy;s9rf7U@;wKr+cR7l0>tw{dmnfQF#IAK6#5&FjV&07IC*k_-A@&+6?K`S6)UE0p zH8bcTZ2MANY!;}1vo!hN?~YJi+db@5emp9>xq@^pT7%}lL2QfnRAkl9i?A+-(Q&JR zfh*$&tjct`&sfLq!ZxgyXlp7+WpEC@&YjDe7A8~01S28>rjq{l53JP5gHA~GhD^;s zZ?T>^&Ea^XGa-Y=rF|JCn6}gJSj0gq6#8lP=b?}T` z7NZf=uOpF)48X^@$Peye6YARW2#I!nz}|)bVVm^|Bnp8PV8n2GsQ(4ExlBS^MIVu0 z=WJ}}^_1MW8mEHV6<9tINa^f^vR{n{=9C?=9)1X!XRg5Y!nvs1>m{Q!tEEhywf-=ws8+zq)wsMao|PS58eo1 zCn|z}0P&_9f-8TrBo==NeprSsZJMOiJd$EPEnuMzlF9AHn5C8llDsL~fQJ}Cv1 z7g3Cr3meg^8*L8OH?EMQhaN{U9xkMCy^Xwkl$4s6FQYTkZN@W+ZM2`BfxqZxE4}+q zrJA`Ii;Ie%lZrbpXp$96rM{kM_Tmu8EnUZwugl1uq5cbx^m&H{UtG@eE{sB~WCeLx zkQuBzluTB<&j z#m50izKKCy%}=n-sD*@YdxnzF-NxosZy_V^h-_j;qN;-xpf5P#vZ-F<#JmOck)TM> zoA3Vj)g5)?t|+XC)5GSu0+btb7)#YMEONUI>y{(p3KpRRyK1^;!ILOh$EbOFFtw?x z!scx!ks{?5{0wNGpb^$Xk731uWn$6C5BT<2q~5>aL--$k4~#osYUbRyScd25d#RFl z7-Heyt3eCc4p^f9fj*guHEoz&=e>?!mN+4qpQ>dai>0kzFLE|G2(AwS86z!!tO+~JIdChhGASAb8vP8G~B_k(c%`}5Rp(Yer1 zzmNUoUr_h47`(d4ORXPq32GFNB+)AyEc#xF%=TW!9h}W9r}{TNyL2<;Kzu<!AiN(0t%Jx?wwh zE>Zk5I9k$|gBfEXWv1?SW|w|Jc02B=F4yqoM+Y@}6m|0nZ^K?(ntj0~cNiD$)pXij z{{{RW;iRO;YNQ|n7zv*y0FzPMd;Y}Q`;a+U^^DXt7Zc{-UKBfh+t~k(PYckxETxBz zsh9JzE2+(dJatG?`x zEiyi|mFevf7)J~S9$pYp%64KIXoAYCTM)~c5BohZF$ZX9*B=#DC0_=xHh2H0uuo~H zVLcusuQ~|wd539B(|01#Bq6i9{hq$oqoa?WWK`zB#5Juyi_&bLGS#*NXm@M|WbbmZ zy`O!?Y&nH;=^bM-NHWU2+jri!Ov8-LqBgoKYkg5^CaJHx)-I$i8p8l6huyk7- z<=#G|GK(9FIn@jidpan^Vmxn-H6zU(KFGW$@N zM+vGJT0vh8bB`#^4rgr4=E!pIUZ#eh+9G6n9#|27leBDFOxuGLj40Cu>+6|e!P)_& z@@f!jDtkz)ViyBTQbM?fpNNzb0N=Y0XR_C1mFLY#oG*}p`8#ZqohF?AW4m6ZyRSrN zHVjkQH&;=11Rt{#*HPh=U&O@V55%wbK@BmsIBXz`%KKkZdBL6}=)4SPc0&{ndnVs8 z3;ZOYPq)oO6`zM;4u3ihPk&3r4<$re;za5y10A3*NIBk%=)Jx_*T_Hpro2w@9bCCh z@;iX*wow8s^gcw-bF)gaybDRn%V_h3KSFdVn%?>f@#N`P)A=4(bWLUuHD5+i^}_PN3r9b1aE{K}|j^S2_NU_H<2g0LI&5$X}nO;^SFJnmv|e zKQ~9bXz*P-P9Qx~?AO)JnZ`8sIT4j?25gn*;gAdBVCli#s9mgGH&Nyt2pnzjal?D` ze~J|mKPYG0R+i@rY*bj^yEYzyy?qos8>RS#-~WP-z1jT8x{XOQm9Se zi>uq?!MCJDqM}&!VAb&J%MceI=sJ1fMqe&Q^ZTMym?9 zGyRN%{h#!STBFmJ;5Ij*y3z3|O$e)oYch+v)qceZM@*^mW(++XBvGIJ)}OWN;gfiu zy%U7L-(ltPRY>;}o;^JSoT_(J-K6_XUZ)Dxk~SU|r0>Ao=|*a$bvcfF_d0aAQ9YmQ zTa2a69k`i&&q`7 z@S7@pBk|}*ZvL%FPszH*uc4v>eX_>S~-`MH=-_12g#s4p-konoJc|9uh(CQiiT2 zCVS-WKZ~`^z>0X&LOP6`nOxmj+~Dnx%W7-Y?&z&tQM;ASd>X@E{5Bw(6Zt{Z{*JqR zynFXTN%>fkcW!{tq^6Ma*N-H=yMovczelkPjAyGGwk)K6HEYSX3Arq1eIu>s`FiXK zcZ69G;n>d_$m-*HA%z4Cvi_%Fzp=_8oOdQF8_~ z0YmykF)xB$ZH|RrmzV>itkqL3m$1FMOU3za_+BE8jN8lD>)nA`eU?|3tc7X=_7Y~! zJ;F%t!5ZL5tph#Cm7`U`*UhyIuS!9b2?0cy{|7yB@+)M|4QXE187#EQM~v}lsxaS! zh37`&7F>kBo|LErM+VT$=s|qzf_Ts?3$UUhkh--w5!o3RM#q0i5>B2%6{mXmT7xXY zx?h0aa|cnPETrH37qW>=1Xe0A2kLVOcUC<3rMIGDrTPDBr3v|up*K%ywaY%7+W&rN z_q_?YB76ywIjkUcCAF~EmC@Dd1Jv-$660U{u&dWCTxEAZ@zd}Y;Q85-@Bak&0$b2am}$m^ZMZ_q-21P(x%K(GI$rB@zsoOAp^`2$O#J0&BK_ zJRKhwQtdK>UJu+K_{`wnBthZ|=6A-TbsC3sV*Iv$Smmi)Qt@yZ5?!h%qfOQ(iJ^bH z$?QIx^!k;Po|l36wpT!yCUvB$PSA>))(i2_7c*FWo0zqJ%`?#Yk{Ca(R84yJ1UP28 z&rucJjHA5tzW6}F>ZETWE=s)=DOSz77Cys8J=Q>PrPrS$U$L56SCpNKVAjAT)Z)67 zDl^X@o+=Hsu3w8xE`{Sit;Y&QDN9J-36qmUhre)>+(yjQefG6&hnrvj^N$N2dyAO;Rc3H?Us`WO)BgMn_r)IMheh6H(7D>{b_tP4Bcn9ctX>=zeuI0F%~`gitQGONHJt`?4rL>z1rL0Eonln69d6x z=ZWz1CvjZIwQ!!Z^vPK<9=O$6L~?x~9@I2gWZtPGz4cjx;W4b&S&6dT(p1SUFM7R8 zzzI)9(g;^NLu?(T$ZZBD#2PF$swLTJJ5-f#!?4x8cd&2o1?F`!%oH)g*S9sQ1)4Ho zEPW!|*L_kePWAG_!MhrJ7weVJLfviUNZ|?`xU3hTN8QDWxBsBxnKk(4i%WckWj5l- zmlM)G&=5mYj0 z6WL!|my~yH4%O&E-S#4wGTV$u>+d{dn%+ta6xL`R_m*0E@ev&`VT0PFq=#~CEU=Q= z;G1- zC2}BhlnJc##!ad$_JTPsqltKxEgE@dmrVJ~24*T;KzV+d)bB`5)KK3X;6)hX?q%nZ zX`v2hTjb#PWfsnJUax0b)XIv)<+H| zRcC8y#T_Hi4lhztt@oFDl;w+H+MD>{kwSf7CdY(y#!2X9D`rU86FWi_qqW z#cciw=aK#5cCiC13*CigE7kn0&shFc%4T;RK;1c!G-nZmd8<8%&|(PE6egpwfhCNP z--qPns&MA|N`}!q!OZp5s2+N4r_Tqo;zBXxYGB@hcBRV3`vsDWN+66$8kN|7Cq-*7 zB}qKQl$FFGA&Ed*PB5*9xghw(@v+9`*~@tn@IyG{6CU&hB~{a*&-@&5n-942_^!A? z7>26X!5Q8DG-{Okpyv3iVHMx!^k!pd!VKU~$Nh&BMxJNILPOeeIRyudeyT2R9!M&# z0+-~68RUh=1ADFpc<674&8!#5zIh)hDs7@&99N>O?dW}`vC7El*#JdI8 z%@;fZpV5sAHU6?SCsmTt|G)>Dil&>o!yf90`RfP6xxEa#Y4WH>0zKLrYv_%~6=9$U zkled}0|)vKx?$32)>ZNxvm1eL;kpVdT5E|-8Ti%oPE+xUU@9jTMErn762WY`y>W>@ z`=JlL{tvUJ);oRDzq*|;yI>Zva-djjJ_8@R%CMrNn`wIAe$3ar4I=x{gG_yq@5DRT z7fFRx{jf-rMVS(el#x%MW=qGLBhIJL&$3;%A8Ga1 zRKjaEqz$^K^qyMhq?mD?IPD4>dnYnp-9f%OnR`iy$R53X2J6*j}}Sk8n>vqZ;3_Z7Srkt5^rKPEp_^##!eeSF_@%&@l+e51&0IA+RBCY!HB^5` zQvYsjQ#~H#y*eh&7yU`|Iiu;;vCmwq)Q}_4HWP3DO(GLaMLx|BoE7I1Nu}F!+FD zs8-O+6z@P?CK4=u*{wNP5vC8mqzE+bhZEDWE(!Q1wMh9^gY4Tx*ssGIIL9iI@aa5CUKTo0S9JvP z@Xmz0dgjPH;A7TRY*!}_SqMJh14zd&M55xyh*QeosY_~A_L*C#xBMQn0rMxX@rh8~ zjqwc0b&RD7VL4q;$XDfW-5y+dXFbf<*^74`ikfKLZwl3V?V_A(FMz=pNX2hsk;pe5 zw8&DNHf0bi-PVZg(?bxiuY=UYpcso4BM@)wF05sME#mnP9mjE37r9Nr73LkdYt&|> zlbk@ger=Tbaf@a=880jP9Uf`wYYSR_2MOOfIeF)(cE4U-*(3FF2y1biR(+U&?yPbW zuumbo&=ZSR>y-s>ikF8JwefMrHY4WEiQ(+0Hmi}R9KWa)4g+buy~|`4n5kiHpo4f> zlH{DW@=Yoxh@4Rinjq4AhqCdBztMlEZeEk5* zD?dV|f>+RE_r&J?ipT-^J9pvyIuyP(0Jv=aD0%!55>}MWfA{JbtBmPSlI;EiZ(J$$ zt{sosre>=7Ts|>#77*jM6vCu@MB>`B#5B_nc$tZ)#Uor9{h{Bg&f)ih+iwk>C=oyo zZ0|DY!B4{lAz64`$4Z!8IEyH1IaEF;N}cU1g8t=2%$vs#KYqe7G|YAe<}FJgX3;IE z_Im4TQ{x@D`29v=d#k~N?Q@h$YHoo?x{iP+i%e{7@XsGD1HD&)u5Y|#mGJvBwNH7D z7r!9KKplAi#m5P_}}>~*?e+tTyoe4^5uCX z>;ZQ%|7?5s@xoZO?~{um(bvl-@w0}*XSNi#8T~2k@+yaZ-Z>v-6lyyzO&NEibqjlPKNU8$Q{q8JSyB$#Koe-C5V8VOLSQ<7n9%jC^ zA^$0X%&Xw5z~>n0&GBkOW_sNuH){Zu$`8WK1TRuN;wLHoJ(5^|2VTuIE1Wlspg&6I zt0V@CAjf40jAn%D(wCD;@GvJ0`3^o2$e}n#6AK{|S8DYwWcpaOzpnWZS>C>uxjSXQ z;`6yBlm3Wl6rI>_HL<#HIB-pl2Ab-*qFdnu)YTrp!3#5%>gMRk1fQWn-3~l@ciY#* zuRD0-v`z@PrVEg1`C-s6(vfmLc-pRp<9x}VIR8)@>aM>ItfO!oHT}IRX=Ns%r%yGDlG|eYa;vK49{pUk9>kvklZIZxr zt+Qmib&mCj-lC%Go{Qa{Z|Z2c~jNYsN+cHmI- zW$?^k#pn$rlJ|pYTEa>md>$y|zp$fsoTteo4e=zmtR2gucVcdv4%t*oaLc9?s6Xyf zE@uobIolM*v52FhRM3o`CF3TY8CkVxUnuvQKh5?EriLFMD>>z{RB14X=sJ7#UJU2K zCsVuCM}Wybl}Hb+Ms5bpSo(uUjQhtj+VY2ZUP}xsdi9P9Ij@Nq=NI_f#$e9kb42mV zm*(f*CgMIB!AtM(7mDV7fcvu7!%kbmPwkVR>Jd6#~C&YY`h)VpyU%L~0X4k*sQ$K%BRQ7A6P5SLb>M{g(>z}Yv zWb`F<0$0*v%csKmC0OKe0K1mYps#W&8NS#o?3Cjf$C`DGjCnv_p!BJVGWP;;mqis` z(j2wMIO8oj(i*&wUAhA4yuQPH#{o3!<`u?3uhIvdyc445^)IeVe*zpUYhpGUdfbAi zICs4kcsl;XHTpYsxOIoofKN#RIr9Hq6D-$#?VxfiE9~059Q?vC%Wi)-5;j^9H_)3{ zqv1&L5waZftugOkIc8UbUqEjqHECW!$GW$wa!>am%F$_rdwM0=R5*a;@jWT8jYnKd zUemCz=KjKxKq{GIj4sq(Nl+fzigntHblICU=2)Lm3cbX0tgG)ha%J=MHEi~1lxGkn z`?mN@tZDf*q|5Y1`Q-(4>*hV|fl-4b#*%SZxn73F@oB`>U=XrP_rMzP92#(cv3;KZ zQuodflCMm~Fk_JvJ66FQH*3s4cvfYsc?Y$E0Wsa`k0f4tSVSk`8*!A)xe2qv+Bz}E zHxwn2w?ux#lQ2{7qXYk*_7}EEQ1W~T*uKwzeeD<)KnAUNn<2`of5ccn$UJf4D zSj-s9WaxFZdR?*f0+yUT2>V$rxz_njrI=+;cwWCC`!Ex;e=Y={@>y6*Px&->AA&x? z1EjFhLN=p^iq^#V^Q9?N7wd}Uc85u84vQ69OWNr_!@1Hr2(so^5$m)CShYqpC;k~@ zeXazjAKuD+0uS&=K z)9&bm{S)ZZ2E(R5UYjZYak(i}Y#^uqydcoW?m#9PGP?c7d$u^XhWf!h%Wjk+=0_VXUizB;%KjE? zdH{I%!*`Mb?E;cuR|mWYAEXE#PX0B2%}BR>hnWMA^|r9aO^f4*X-5PxXJ!+r#{nX0 zI*n)l^ovv;eS;F|aMgifn}QktFz{|WV_r%INg9xhmSxOTi5IOT4cso2aPYlabd;ed zU+0fgeT|s(@z`1Bgxd_p-XImY%$gOvMRN&XzY9s*j>0*28_wI+g=FrXAvWGp>UVk^ zBlDGFQ-j5zr`F=|e=Nvf@8i_$*rCYd`BjCLsUCR7tZ`0A8qpTUlN??nk{YxF%it8r zFFZ#3PxcB^y5$fviwfAEeX)4jT_PFlj2ebrgC1Ws)k%O?J?ELKvWLKYsvV?*e~L+n z7_lrjayu4|UkYnm8Ig3Xq(iSwW!D%w@pUp4&W?Kpb?Pi&-I>#(b1Ucu%j(!yZIdU6 zZ%XI_k18f%{!+^052xwVO;iV(4vmrw8U^#@M8swH*Km8^L+G5t`_)~a6;gqLE#w8e z=709&5u07$H)`Il%v1O0zpI6YKP1+&29lS~85)1IT2sVO3zi5X?} zJtL$(@2S*j0Mai!PK%Cu0TV<{Tug?r-I?cL28s>j)BYrO4go|YU5gSv?ZpkcZftTZ ziZz>ijTBu6ZqZ9%3`bT;coIL<|9pw(D=vkzL^SfZ{jQD?FOU5+=4S{8<`L3B8F>t811>-us<>W(No~pifx=dOg1|IL-II1ktsrd6zVDG#ldfG&)tC~W_ zW?c`K`y=-iCOM~K$hcgAo&tfyqXrw@+X?XkrC-t=H<7rhr% z@0p0DUqPQ+|CP3;e}#E@El9t{hhBAPm08#QNoKtdRp*^9qYXO?7-jiOnw}l1?w%qJ z*R-Xfr=|YZ(hu8cfx~*5{R(Q22g9M(>j2L5d)n&%kgPHr><4QoeEe|L!4X&#;fmuQ(wMGvHF!9l zq3-M@$h>16_<8DSciUEEa>s;W`tCz776yb(+vX6V?1G-OWFO)9#bRL<4-1=nXhP!& z@YAiLzr!9(tgnMPMA5D^=Hp^NR_#cWexD|Te=Jq63>qH7b%YsRuOi8Y_2bma1uD#R zt|fxojli_+CL);Q2Yn!7F6e_y1^Fc5_jj1hc$cgnziH&U`KK7=!ehYq-UDojTzq5A z6Ln#_QJ5ld3zG7quqcI3jcdGcr}!#c5gtrjImO^h>Q7n&bVPn`2j$-Nz*fT}XqWqR zTnGF^o&z6=2Css9a)*~H&|w9)qmkVE@=zu=I1U~UsNYJHNUmW8N&2*h4Bv0zD7>wv zALgC%;FKT6F{fK6A1VG75wJL3CHQ1a8nfJC_K_Y+($aC&4MJeoe5X=}INZcqV3SLq z5Ocqk+BM%IgEE|0AuuUSyOoNhLhlo=26aij~J#?1Ml+%%r}}&S1tO)t<_^kMJ;2gfeIm(z44EtFb8CKK=GZ{0Ty>WWTVNs)cYeYZpQGWkipJJs z2&_>qG$$42?_RCP_7NYTmL7*)HPeuB;b&TX@n6z7EQ(Yg|DRQ3fJ^ZGvkuN0tv!8X zInpz?E}e99DAlI@5Sl%jM#W`a@fVeIu(qa!3N}@drv0-puM@n~OS2GnaVnf2l-^vx1zXHEfYi6Pl5 zV%6PV?L>JB*d8}cXz~%LBl}*YnfxgAYP&Jal3f=(O!?P<*_;nKgLjk#4||v8uF0~F zE0p8-7Y(ocj*9-yAcEl&R)vN3V9u_8V6BNk!pOf>+!fbCp57g?Qm3(?+Gd!^9U7(j zVf4^h;L4}02?ue#t7zuqeQchi5;g6AO~0I+&vbtP-t_M=Si8^FMlfRo_Iw|cpnTI0 zdqwYq8Q2wsTNO>Y(La!ae;rNRlM({=1XO~|RvVmB|4McfQBH-+B2cMr@?Y=1QT3@td`z%$ROb7Q^6yaPOimdOZ5RJf^2(_tj9)5+CoJlaBXBHLI zgrgvi(w|><2{tK_{!C~k%^3RyV5m3yIVs;d>i!`C*-@oPKe*d0Gv55@5M*bP|c;VxCyhRjS z#L#QxpK)qx%DB|jH56OJ&}-zMF^5w?zwZFW4$#jYpr1WJzpsLRUnRvV={+jxeJjIF zI8Ecac4?tl3-edEmdGC6Tf)2d;EeC~m+8XF9;R%UuBMAQCZ-F2a|Gjmb6hCq!qV&F zKV!~s`FPIn=@gsJ((B?s;{yl6#~(NlOR-p%UKjrvb2usEIh@TD+sxAI;x2Sde;>t; zQtT+jjxO#-#~e-t#qLt02*@LU4*C+uU z)A^&Ah+-m&iK66m%;Cr>=1VbOiup#R&@r8AiX~Dkkz$Ea9Fs(frBE!BVwn`njN)|3 zq*wvP$|zPwv9c&mw=#-VP^^w(brh?M;+WP^tchaZDE5tF-=a9&ZxrKrayZtDDtlP# z=yeH4V7-X`K8ndGCZm{a2}drYn4DtsDK?*C^OtZ^=2I-3VmgZHbS50#l0Rem`zW@X zV!L%+IJ+sfn_>kN`ya*rr|ZV~AI1Jhu?mVcP^>{`%4wij1I3ys_M2kAbzIJGiv3=s zE+AMf^}|EwC(TVz@3bKfv*un|n-EaDvH(muf6FDeCqifOV{-rb3Z>k-1<5OxV!nMd z)V>Y`X5bj$y|x3i=3H{=`tI0%Sp9E(9c0~0oj>$_6hx$v4z)314GHDn# z<%qmB!QO~xG8((yTRc|AIHLF1$GPrNFv|Qla)KfE18|#eVt%odv|68FY<^e~$??17 zWz$>MYIg;W|GJiR)}9BRVHfuDiNr-+TnJy^jdY%K0j}#dVb;M(uz#vE)2}W=8=7eg zJg*Pfs@prl*bgS^z;9r;r-Wq&%}0(^l_Vdoken|Cnv~udf`b^dM~f8vPZ9vO<*!A92j=jSM=}qaF#JRAX(E|WMRw) zb$%hKRlWmt=Xj75em{Zk&Fdy;HM`H^_8GerK zu#?uG4mBR;f?B?raD5zzP`?&WTRTYo+3r79b>RVCxvw@{=!|h;mtx>PyoqEd`$DVK zQrGj@Zl%z$4`n+qCwa#JIB9nX<9!v0AI4!Od?nWCyF*deR$SLS9ArzE6DLk7@)Ed% zUS|y!!e(@_!+vgPxv6dPRvF39*btaGuLl^J`M~TP0h)2o$QpGbKA1GT+JPm*w}6FqFMhTBjn=ZH5~(-0;erpIBMw@Z>1H*!dvu=bNzAJT?93Sv0M=5+?5__l)4<%c~;-ChwEhHoShK3jBQW9}g}X&_&e3T?amDX1#X z;-aq3n0F(C)C>S@{8B>p8|H-OFZ4w2g7w()NEyoYTNLu|vj+}JDMA(ro-GOMLdLZ`J z4%GN)IJk#vkz{axu#^3ORh!=Eru4bBqOi+BbYksJZDH5fuyOrg!tCg|Wb})pjHC1s zq?dA`!F&rjn%Or_6O(~+n0TV@p9`{@vq&OOM26haIDPkc=t#JU99!jJ`EDWPt(r;N zO`CwnG{b=RM9NrIon$+@bXf3ZQ$^g$!T5FJS0wfxn~RJ?4fi(iw6A8!AG8YyAc1@EZxQIh@4j zrIDhpF4(=zjHoMgsP^b7Gm~LP1FB@ZnI?^5>NeTl=>oP!a;yH~)2DGt@ zGb^kcfJc0;Vh`Q8tJ8Qc#p*}vadp99h?&ZS_}ZV;i6gHfY3dpX`>YJ(4S0{r!fGMZ zd^v2{yPXmBeV}De&Lm;>-*L@zmbEgCB5m^1y24&}SZVJ?y>s352GV)J9W1eA9ZwnfL*l(*!8R;lH%_%lln&l3)e-EYu}#C z74W?AD*qZkSx|o>?R^J}(!xhM>PKVlCnw;l?hy&68GqQj%wLmq0k@or}qAPWJ zfch_f&lC*l&Zun0qkb^ZqmJhSlHaSa`p8(U&N+bO1*>p>efBPy=ob`a^?C6@yC1=y3!Un8qQp))DthYA2WLTg;&gn!+Tfo zeG71CN-{2g!U8-0U&MZ&h$S(*QC)K$)G2pR4!HrTqD~O^hkT?iUQKL2jYEEtes*38 z-w<9~u&uEIXnvj!2mU*=LUZCbVPE)xy7>uV6bF!5%sj0~bqoZZW`rp?k9iBN(5%Es zjJv!qQZo;L6TJc0!{b2ua5}zqu$!H#@FPwyeuWv|8NjWOllS2U@w4^EL+Dz^Oqcms zRT)C+*^gw4ha|Fb$^$GAnL<`)`kx#Co>@m-s@&R^7_VHyE2IL2+Or7>^`G#D_A890 z{Rh_A#UZEY^B}!-70%??NATq(MA-cZ`lohJsG#{V6m>aB-**+t*xj9oxXZB+w*mj3 zB&_eI6ld{=YeT5p9-Q^59Ym@g zs249LKx){56Hm5LZuCrU)8z-T5zU6@+C33}o~LH70*^kLp_}|X&Mk95-^E<#JhVOX zV6a7cDSe(8EO|YWF#p>S&7{$FSNs%Du{Ixl(xgM%?7d$XHlD@<=Sv_7x`#xZGsLk; z&pK6PL;bW?tR8GmJpK!syWTZ1i7i+N?73-#r;LRmLu1CV(S5O6WrBAoySR2{WMT9D zpXt4dQSGI@SarKETRTmK%%8r&>JJfkdY2=*$(3_hQC%0L&+tXu=s`pj&LWFLEr^@g z$T&vN0`nyI}*q+TIS6}bZZF|}t);eJxs}{~i z>n=$cg{lej4z5L#dA*Tc&-oyAcngl!L0A}+jnyrMU}>6!e4qEw<-A@uUJ}kLQ>vi(yN&pAZ8De^wQV3`e84;Pj*YoOWh2mEs>;bsvb*&wqeb@ zgGk-2h8V2N(ZAk-3~xsr%-TFBjOSHDlqG$^WXh!g%gq%eJEc2us+ER5GS>zac8$jD zTLGH&S7}(ui?U`)FUypriy zIMN9kerhStD}~^P+Orxpz)lHI2IRDPTcAx+4c_+ zUrAeH)%u$>eBOgK91aeBQ-CGS?pPTeiRxXXSoL)*C{vc=ESJrovUsNBn~IV2W(l_A z@6wLweqYJkAxFH57x?_6*$$x_M-wmMS5$m9Fyy{?Lx6{|2+7QMBQH}GyyU z*1c-djY|Bab(!~Bmu)CQwJ|%eaTAYtg|9%Crp+WQWlQoYS%tR#U=0@^*pFK_f6-BHH+O8qef)hF2`OKMUce3K2}|tL=+P{ z7)^Eu+1WBd$bMN!EDS=(kJdxMm}L>bD{?p1rd_d?G8*TWSPP) zrf8*hO|;Cg1y3uEW#yMD!nxs9M3GwrjqFx*Bc{mLSZjmSzZPJ1Ogkz$Bw&Y)9x5_v z-3iKym8gC6HmJXG5=&;L0DJj0W=!9q@cpBO>UMjS#TMaBXk@T5_Hf!AszXqvwBT*rsQQ-^3%lf)=v1WJc(>$2a3Q z+UEq@IQIs2@oiPzj{l+drIU!nqyntu8|nVt3a5@m>6#9Y4)+lB#jGEe@Y=Ev8xe-4 zI}Fnu*Z*LbPko`y8J~&2d(Vi5oXgP1*#vU=s5asbno~PO+hIR+jt1d zbvJ?LMDoMsr9;TK#6YEdD44G~P7;GsyTeD^(S4DL_VtU0l0t3uL~J3;!Q zfyklCM#*~j_QROnYXdXW)g|tI)bG1dZArk zc!5z1)I`j=Ld;)I!NOEmkd-tbvn`Kx*;T!;Q|@mZomm!=^lCogRU84aVhWaqcSUl> zhiFzTg?dpWQ55e-V(VpuZ(IxWeJ8qhmgEtsnHoKtUKT2-K0zeqt%x!GOrAUa&BjNq zBT}CuB>iV!l-08iOQ&~09o>5(pD4^XHA4QNOsvA!aG8gkSiM|;3%j;LwY~xJY#u}1 z04|ayx+3@RQAlQ8i+=1o6lf5nU|y9AlZ+SPcJpm8d6Pb{{7NIS3B0#ZS@jq!d{Tg0 zvKf-&&g1wGXVDqqJZ0T%+a;XJkAd6D+L=5P4&;Of5XnqA5t+_IHYO7wIqws&tJPQ- zZ~@EStR>aLIfT|Mpb@*kVQo7j30NL4PBtT9BmId9yx(?CR~hF{kl)gcE5!5pZ|lf5X%52Z6WkG zvDVVuOk@>9(6N5N$coz_d(wKeBGg7Iy1N4`F8k2>B^v~L`(yirK}@&UON_W`DP+Ys zQJ!0jEh?0#@y0MB^|plW%LnSzdre4th6fg-{)t%H{OiRHm)U_fGW`BY!NrkXo9IjEQY18IfC7N&VoUB51I3o;FZD>tIUIL z4Wr%ND!uRN>Z^r#)Jk8S-HH7~5_1{lL0?GQ5bE1F9udapG?`=;s@+vAAUHB2Q_g}@OjkAfc@B`ZKI$5i_n~0cm zzwy{YiEonQDb%pvA;ij;ztlCd_1f@wF_Rq^2}iUxg;Ff&^%7v z@&fWwPQyJzH=RM4ggSZ!yLME$L6i5o!TMXDp?)3%fk`(5*qzV7vEUGFwf^AWxJ?Ao z8P+KJe*&h?IwtYon~hpcvoA{vR zHm3Ys0ctHO7TDUlVGE{&2)te+ZjdR~c=_V;8C(!G`5}Wm6@RH~(Mhha2L2lsYGk8f zt6054l%&BDufOobSLSvyxAE9AWgY2wu^qVKTOqS~07)+FLO7}vke&QW$~=3KHc^u9 z$Dmt^B2>kcUW~yRjY zN&4IZy_*#lJI7+S<^tHoY{Xf6PlH9!F6fjGMPl|Z?AS_qa0D0En0%poM>bI?*aX>W zr{U5I1N+=eXg~3mS3Het*mlwf@_ghvwe(>q#J_$Mqd)S>PkQPtHg8puj9f&@yB>qt z0Rba~P4s@9k5N(AR4i^b(3n|Bm^K5}G)zO5DjCR&8Sriz?q|5n_q1t`0rhb{k>npn z9opSkQ}=^7J?uyK&>qy-@QKJumJ_~oBsq3!u;;kDS<7?o3m|!&mT2mi)7n1@+qQL} zPQ?Z6UOXP#YWETGsQ$Qq9+&JZoug)#4kYRzEmm-ULe`}U5K7+z6I6f=icuu&R}*2s z{ELLSTw=v+rS-~hq%o|gYyBmDntyhoHgaRUc*!UHzI4biN&PPH3MZ)4s)9_o-WFZ^ zX$0$^(`+(+a z3~FC(22#H?8UuSGL)9^o{9z$B7JLKo`b1)B8i=Je46r^Iuw;KB4t*y8iCzJcM@G8d ze|xEiUnUl3*HbQ<;BCt3>XlXg45uI2kC~KbSTi9XGtoNS{^mNCx;-a?m>Qg2afHUX zX-KUX7Z~W9V4lnL|9*ZArE}x-k6s`c+D& zhuct{r^gcJ`F*7NYEQTg2@Dr4XA8Sd1LmzYQHO8GFS=Fh%)^%=4d)rHiw_X9=$oLP z`WV=;!|2?^Ai)lA62=B1N%BZ+ruA6s z`^;qhna&9YPl5) zuuSfas(%$FYld?Pzn3@Ov~0anb^0{qpbf~W>J+l|4pG$kS)4Oob-RAq`cka?{C+1?53~8;?O|)`=^00@8bXSKD$eNVzv7bQC8W&Ungxs z#9_0M>OwM@>$Sv8{+eh|G2(^a#|%T`$-{F{>cOBK|G$w$WCe2T|pMrT*O8fOkD z!eJzZY63T~bl*obC&Y8CDD^EAT?u9Cl>vxt+DO#PCSkV94w7DtA+hN-TAtCL#(+a! zS@WLZoSx6m$l|6Q2w!c)(q3z@k)gbVJDg~y-T{rN zD`F;_o^V%|{)Ut!hR! zF6M-7^20&@HO0wHfpUvfB7XZ&({W`A?Z*(vX)UC>*kmH=*9rQ==fR@58*-BRl2d`! zY{L(h+&MjHoK!J@DAL@qX2}<-Eo~$XTRCLn{a7|9`VC|)?2W|Xfyk`r3X|<0LVx3H zoczIuI4NFWN%UA$ek%pBXMaGa^;=XhVGARRJqO~!Q>kuhj%1uMNMu-upT#(gPQ(Ya z5AM9T4V@)In)V~G^5CZt%ziW1%mL#;Y;d94{eM_#+=DwFPlfVfO{Am1lC&piL7H(G zg}>j!s0wW$Z}2bFz}zHGsR`(B)oTBY97lge>S|nnqm{;_9^R6685TFiqV4sE*wQ&- zy#4ogb*aY{x^G-?=i$Gx`n(Wi+_R7_-$nnwshlyV>qTw`8g5@CEMAV))-iNHM5AK^ zgWYSqWFVQQ0iH=4l6p6gHm_>|>bf7Oe8xZce)IW}wXcKFn>+4o{*wYc)3}BWeY=t5 zS-+<9+y&iBU74hwvm4BAdq5|557kij0@r#d%|p%Dsnvl@6DH`)*UUqu{f&ru@{VeH zrfAua*hqEDBCz!si%ZuR15f^x)IM5>nTW4Mw(ki@O7gL!^*J8!azW^+gm9M2{e>-V zmVnLL2Wb7}2yOQH;}CYQ0Mz}D5GUpsi7#}=f*m}PA#}iOiVu?Q+(~;u*|;N>*5j>* zv0Z!^mZ!x)qlSYUa~30|F`eee6J$+!jKoB79cOiJgOZ3ll3H0I7WPX*`-8j|Dij_l z2z3qAte2C;|9J+kc%HmWtx7_*MFRGGb6bE76GR%50OOSiQ5gxOJI+XBpAx)ql?T?RIF8DH{R&2)Yq;(;|46^_mAwXilG|Z zPOOfkxhMPzSxfSS7IF(>&L0IqMfn2p)kWkV!^jvmT1~nhy3K};oDkA}Xd@QL=zD1j zClb%jKQ#!%pB{;(6!i8D{aZ}bG~bHZn@BwKEpbx6ajBW7gV3$qKb(^8=O}E2rjX&e zV-xO;^6sfQnpLT_u)ZHK|=mbfh zPGY`!3eKpNvt194(zf+_;>#CEh(@>xaZ>!SCi^7zUDFa%(0*j$s(;so$GhiabG<9^ zFg=A`T1=V3$zk@UoP%=laeu--7m?b%^(5nG84^xdNx6?NG8!U4b1xWWKRraO4)lr; zsp4?^t+iNsc^=iu?~oA327kt39%{E&5Q~iwID_*U;tMwF45s#&?M-uAt`&AK$i$`F zT4=4%2R%4^UzW5r8L7J4!J4ENp_%kNYX2_6mbtgkoxjDpx&cRt=8+JqYo`AU{DsY+3}k&n{D4{fQmm zIcC{%i-OU33IE1YwL*i{`s=v9=OPd{O~zi*nCUlIPTfZS4k&^?KH&<`bZj5>t+<0^y z=9Q--p>-N`>bj$(S5u+Wc`>@aW+`it&>P(_OdnU8KNE8JM@UAWN|M2>Me(n~(V{Z* z#pS!UfplySP}}e^FJ&Go-^ZZN)HsNY;)X4GU#*kau7<3G_v!wdhBdQiKz`&!B7OKT z=5t@7^i9i^<)1VB_?&E{=JkO^g_l=Een`_6t%?YEGpQGo%ZO$hB#m?7zc z9Qp~nIu=zb^O7A)s(ZJy?WAKtqwrt3l`&`yuxIzJ{5_5ep0?Q!D~%cucjK9FN7vn5bpcktn|e;Bs6<9We}`G~`#5wtB2|^C3F7>_U@VCSKhGF8%PyIO2F=F& z@H8~z-`?7?Z#(esNvbf}n4T!($YJuFz%p+neXJD@kp}BGoe!uJ>lcSo3(oGe}s^T(kXEtH=@d#opIT1$d7My1Of(c8P zqvLa0hDe(VaO7#ec9yw^uBJSa#rAPKN*0LHGdywVY6CQh;dMid^&6+IS`68ZhP5Q!1d&%4yyB*lh#0}Wd zSMiF*`*Q_7Zz56EF2cz@POPHeA?d(#=vePzF_L-NxYVi(Qhm6KnJTIemAU~hcOt}J zG1Un_{J@dBJ~Nu*k0`&~fZC(ONO?v!NU8;}t8RX{Nd5@RoDWF4_8Y?dm_8?=|Iayt z6^0Wu=FA~AA|qi}uLo)FVA7g+Mk_C_!M8cT3;5?5t{6sm=|A%J%Yp}-cbubUykvWroMvs*_~K6u?5$an-WQ*FD`Qu z0H<8#}ea1knOaD;pHE6#*%tu{r7hpnO_%V z(Hf7rK0|4~?IO3E7fd-@E7jn8;yzo6=Dh0~u);#V@#u>K$^?Ou1?*N#6_{o~* z^k=+UxnL=g26z;RK@@iY^HaJayOm>s`{KIx{`Q_>k{+!n-qH-_b*UM9tIAHxPhhdw zV+JbC-w%BIZlDP|O10qEXq|D4wzje-E6eK}SG!?7F|NHdTr?#Ds~q1#M;eX2rW5cc zOVEnR0yx?@B81CzAfmi7FrOReB2k|t&tJ>5wKrNIWYwn#WA7orS8^e)JJbOtmp+d;a~1M^$= zk-8lM#Qz>l^@0TWWZ_NqWFK;dxFA__B$1gv}XGoaLD; zp&!F=Uy{jE_a~A;eg)0jb+ZB6q=2!s|Pe_W4_3?An3x z9&H?wu|JD&2GoYsYAu2X>yHm_JhTh(S0x~Cm(?-p{5de`gRf5T=_Sb#dxL_$XLX;c zq$cJ7)l;g0%S^%is^OrSHw@)9CgYB(63j^N5cY5{B>s4i=EYXXN27_O9;5OXOQ3g0 zH>oJefLI+DG}jeKrZ+|P+f{_ubP-<{^_O-2_=e=1OvJAlPWk?yweLc#)L%fB)(xwk zE@OpLdLf^8r^aZwji~)fJX+|pYOuwdLGhC7RrFrPsC{D(!il~@{V8jRz+@sg96zSZ z?8#y)Ljh)QohR&Q2WYTqkfuQfj|YluCF*@>^(HqrhO?afePmri zQI}{CuIq+8a$AYGzK)2Z74#lGv3|x+l;1oH^1L(PdDuHvy>2>@)f}Ytk`GCI?9Z$} zp5<$BUPa_h)Y}&Si){ZrER@rlM|Hj%P+gLaTJz=92UJXiQMBjNZ6#J4&SBY$6rAVI zAh6CN4`iBxRloKH$cLv zz+KFx%RHE2qyCce0HGxI$WBh4R zZ=Ism8O6hYjI_58k(!2Mne;a5kkftNJPXx4vVm5&MA{!w0kca>sv9DioMkF3>ElZM zmYKw&U?z5U{w?CUyh3v)>W^BV{TQ=H=qh z8~cW2=o6viTnZ8IuLm{%3uL|i2?7%{%%;C1Xw6f1$JQOduX;eXU%lX>DR08}yPY2r zwR@&+UE!T&7pLDITYKUK7OU?f6L}x77%0JE7nae!>LwhO)QR6iy-k)wYOJZm>vKWzqX&`+#LnMEGT3e^JMH|*3jmgERGqC3AYuo) z$!QRCC*p=rx2ZOF3=6mR#W_DKfN{v5(sgj7GV(Q@UqhIzRXm0i~)if8%}-n^ga zr2TZAnASi_MKj^;=#A9=_dwPqmMG=3LBB7D`m}aILoaW{#?FC9=yaI)U?a_!KHwU0 z+@5)|8Th@PkW~v-+q1L=OBeS>m+d7CccFqbYeq6uBZ6wqGor?8K(j+Y#$5W(S2SS> z)j8a7`|32z3b=pvJR zt5t+Kd6Ae6Z=|1#fzGOPNM=}t?K}_aI8BxyYx;?8U#%b}*10Ihc_xbQ{x@CE;a;6> zy|JL&9QU2Dab%HHpzA1hK;r8`q%HpotMRx(*oP@NO9mv}ewfG?Q0N%su-Fc}0tS^yH(JdfJokio# zTHKLhfjXuq(pY(rKKCBE^wvyNTYeHt4HnqZbR5-6^AH9-)%Kl1D!oo*#hqxSB1kDSnV4Tq#nwHL>oNf;(+x^xr>8>0kSMw<6dQD8i6(FA40J4HO zh@Y`qDSAlz#LN1S0QIKmbpOY=&x>x1?c7#kc5t7Lx8Vf7Gk>Z!^yDB^UGNr(e;z_v zF*PLKhG5B&t<;B^NF)Oc__(^vQzJS{H2hewiq_zfOZtx%PPl>6=M}Sd%6-VPS3B>B*9kS}&P+eq_br_#| z+HFjjkiy3$VV1?Ga371KwxaMX;*$7HCv|oYJH+=K!mEgZjLZ`t7P;X|?;KRM1B?hy zPEHe#43Cu}TJ_-2H^T zOnqqaB}5e76Qq~@NZE?VU|FK1y`W}riatl;C)A_()8{}bJVd!y02aILH# zmTvsho7_9``C=CtpZc-H^%rs01|zB#yaJU_875fi12wNJ(HlJ{H0kSkB>Qra^!;qd zXgsNIDEu3w=0Q{&FeP@=Ot5)oD`98kk$?wLQbpzAJ97yxk|XwK4Y8U-BQ#QTK_)u8c@-FTu>&ENr%nk4O61 zG5T|7QTm!Gl-s2u$C6#hu5%*V9e2ey-#UzFc>CzHRgv;@6Y=*i$71<4OL4d9PuYz7 zKdF9Rh1fBDv2n%$=qShpM^!s&k629m@570H*j=K3u?NX2Od;FAL)F}$bk2NePqv=U z`4VCX;u3kvXCk?D3f%nTS&g)g$gWWDuKQ6^u8Sm^!6S*~?sp{IbunY%yNYT@7fG3I z74;$fOH>xITJ_y9+#xkb!srf?-oAi{1K*IlvwASg_p&h=FU^R%eOw?@(18B>{9gh; zMNG8>N2qz^hj=d3m!DyR#HoX!Jtdzs&PxHe!e`8UiD8L{a1h)(rx?fE{)y&?5v0Z? zoy-x6Jo5B!kXmsCmt0#E62J8a(Xcw|mD9Rh;k#-Lj3t(cbC9w49kEOm5@Sje$bEj2 zFM*$RjpbvB*l8|t57H4q&pB|{#B^yPOwyJgPQa2ic}U|_gR|KE#G`l@ntEYSh$iPQ z^*hoUA-We5&Ynj)+`6H~Sy8(3FO^7C5{jg*0t^Yh!t{p=@#E}KA@N@ikn#n4(01u! z#>p@QJ)2*qcvkc)me=rrgn$4icg|T_vixI^^ZOO=lA$AQL9)VTnY2cN6lZMVMpt&l+y$?KO#peOX|N2T2!|j}J znO5oOIwP`#c~n2RO4_|kv8{6&NCe#w^RNZXeh$DUX&iFm)ZQ?SpaH*LK1iEW^%poc z*+6Z1Be^&F6D#{iMm3-qx<6uI8Tb3hnjgEhwz({gb;W4nl9IWaZDUY<^G9?w@peLc zni^&6hd^FTXK>Zh`S$$zEP6FXqKghqkG}rdF{-d@6;T#!q^t6ZViX^v}W68_2lvBKhp68Ei zh2L|1^Q%6is&i)At670Mweu(P#G*-h+vy#m?;mw*EX@K$7E*V&;lB)xGzE2CW9UVG}avHV|X) zc%&Iu33AmGRGK!{m!EP1jUCd@R*bTtxQ;Z!FO-A-u?4 zx+g17N80t=3x+l;s`G66beao*#?Edg5|ewl=F>lz*Q_Bz=RgSkJP%83zmw;y{<6#1eFEL{Tkpxq zjlh~_A!%P4NNbr3G_F6u9!=#$lzRXxq!mbv0>IY&ERiZU(Y@(H)Us@JSBE1Et z<|euidMZo0(fvU{*^@589KlvBJ-G-stnQ7~ORACCBc(g@kVBY_4-g~lgO2XxX)Vu> zK#fy|q%4s^~zx4cpK)|FJr&wp*au@>6G)&83=V6-rkJA(Pxa;9Iss zGHHlAbLsE~)=E`DE_WHD(_FX4y+kkEzi^VT`6+iIy_7Vl}QauddFu$L}&}@;MiYH?m(9Nw1VxQhb)?LKkFpejPlk54O`siePh{TbUywfWfDQlX1JfrB0uP}%temphzkSti zTvl`w#SGr5EuHg?$ljbnWlqP5d&^uq)-Q=@-d!hY{i?NG)85pZ`~osGKUnqDA|jNA z&>rsrP~ZOwx6Wm0t&GW7@9hamXCQWI7RMzM89lf{`J6Y^Io$UW^Mw(v1QQOA2RhM5&i zeq;*KpIS#Wf^@L5E+R}VAGk&*6x^dFN;-cTdd$(9VMHqu%od?*gXt@PZSg0wZ7G1?miapwN9NI-SW zqwjrmrJkkqc{;IrrWR}D!AK^g`CP5n*190VF0e$&>pp<`VksJwx7NM<)N8_|ZNqn$ z?q3+&@-0MtoAPJVBS@IW!OvB0E4WTGbPdWQFump9QF7BL;CH!+Wez`x`J@bFK5q&m z9$rMejMYfg(2IO{E7h`Hl90G<6HcEr3`=8c5w~X=@Oo;A+M}2_NfUrqAV#l#wv9I* z{DJ)YY7#4++80C(O{o1=6Ovzz3USoavv_fL=-MxaUI`_v-F5-3*Nc%;+Ca?jH5Rnz zay%r?J&4`BMA|1DL_#|w+{OA-EWBu;OU~O#wVvmsh7AR#$rOaCb%+}yLvo+b)RR+A z1hUnLKYtzxFRF_WV#*DDso%1K))x~1gj)}Q(ddLZuZXXdo`-FV%F^0RKB?n{ul)ab zsCiY5v!-oAuaSUF`r3k%PWy@4RtR;CA-9tAzWP&d*EOs^WyC|Hzp$0kyZ+7_dqnXI z0_nM{p~NKm3gV`6aE7xz^ZuU_h3Jbi-ttQofSL(goPDq=g(cHwl-Hi6Q@f zNDK|VIS119oyeWzj_oeRVvmQt$?)vJz`;FpMNCZv~r?5rUFJdv~1(q%lK!fHx&EtpR^17Bl?x(3x);|$XJU)wc6c};h z?k&1ge)dcj?<<;R_C_mN@rpjP59SuE$BmcgAiL&XB z4nG71Lysh=*Nh;qUdVLnHdm7m{4H#`Ztf zQ?5q)>hYz_`p0W0aJYe3Z$1iJQ4gHvBi)0`VzGMfVZ6(sB3>9?j83V>>aIM!9A7gn z58nScz%)drAgQ1ne>x^(WlKv?hu>$)(VSuXYME9t^A))iG>b87>yD(ojnL8cBJdQi zh*IARKCTJTS-d%fjX}47p}Z`;+djxhRVG%f$bdcPDhVqVK)P2g6dkY2{N=WX$Z{3k9->!WlJ-@uu&$;4)= zJudZ#!3~lMoTqw=U*BY?HkFEV1fFzGsYdX^pp`rY`k5#)&_ zIY*Fs+%PN(_=H7KD`b!E@eoMYX;jutz4qM#S;;y+#%Y3gsRu>J)x zpC8)FgGvc+06k|nZ5Pp4Hsdg<21Y%xq+Zk*$lrRMl;%i@a%!k7dRQSa<%D=kV;>;L@MO~I)`T=i9^%X!2Tr`p&-V4=ETSL#i^+8MbXsOKJ-NkX=$tY=9<5k-(Pwp;xpdw=j*xN z0(TT~>LVRF#ZbLYC|J&q_e0m;4+dQ!p3XmYI8d8rhGWNC$5;*le@}bfV ze59H)f$l#)G|XOa%IF^B*RU%6sYn#|FM7o*o-OFJ71wC55Lw$<{OW;fxoSU`WR(qD zX4~dNy95ugiNZ=#cF%ihprDD(m0aoO7*Wop`=rC5kYroGBD?+euV}OX5GYS8#Nyz8 zurARZ>E^GeNkV&vF70D-gSTvK!2Q)4)slTwQu+_|@eWEf`QFcCn*YZIdZB#qJ?|od z>;FL>PC+y8y^9oDE~Bbh)^yw1drV0C(?z~sjaz5w@!K6;eBkb8& zEIo4saT@0!v%dTIIon4Pc7u%azxug}nt$W$o9nQ2$Ut(xt(Qwp*K5GRj!4xoj|yhq zrV`BtRDNs_QbY{bNUmpwTd~rJh>n@m^s+M+#ZMw`-dgZV=@VuC4C1a(AenoKlpIdlRwjjKZDX=~|>jrF1$dmXKqe+4VZY-GGIm63+p z68@V(NHnr98rsl1Siltk&m2H__PdF4mKUA6eM1sc%Yhv3AlxH0pS4Z%z$W8bk>!;L zBu!pSdxO=^&3gn=kS|mua)GRJF=7?xvBLe0hV8kP)cN$l1HP_KFm{T?S@uIH&pVYC zRvL}V^P7WJw)4q@jqd}Mk+YF1?ErA!dbHES5Hp9&HZ9X<(dOXb>Tiz75BnR z7ZouEoL3W-ujHtFmILZNZ9o)1$q}1B>A;n)Z?R(CFlxW4TweR~Wuo%cIe7jYAdfAk zRz|6yVZ9-iAI~E;;~LrD+Rw@9S=orBQ@x0wPQyq7Pa<<}I}#_&#s3UYvFSg|mdRFb zq?xi@+JDa^jjH`SIn+2z<74pKNqC_Gu1_^uZ83u7wa);*$9yZIk*?pCzi&L=Qi?<|QOxHG(_)VjZcc2H*e3Dyn1Tgo0c;9||D{l%huNFKSW%0;Iww$nx zJud&F#HzJ*pshXwE^j35HNA*+S2QB;ws89Cc0=H>F_+>8mQPM?ejP;^8AEJklc>^5 zOnITDpxfRk2d7)yhS*)+V>!EG#(dV3X8nr>C~lvB8)hRnw;&iL>ni>qLL}Nt7tpUTFXbm zfnhi}q-;^P(E&W8bp`8gagkacV<7Xe6}KPKvbvyF#QPEnd#F9iwjPQK-wKH!W-jJ+ zZ9}H^gQ-%nAB&D<5Yz9qWa;=`A-4~y0Dsyeqm0Q^S@8=?IM>LB$tlbdzfr7E;(^>H z9r$4tfBEM%12oYd%3vlzpHyh{&{)>M^D9{b{F53tN!QRrd;emUW8WfXPZP~c6H?~+ zXDsH;LqSo07`bc-slQZ)yB=2|H^obQI4_s!=vz&#jSwws$fBZeJqh1O0s8PFY?Lt* z@o)NK%ebB>VT)~`pwtfhcLQ*)BAMBw{$x*>^RCBMhqj@@_mP<49)UiLGF*RgVW3gTYtSB^V`b?#T6-L_ z$n!>E@3`G$(`Y9zMtv7s_??@;XMM@jOId+k?TcY{?vK~LF4S1-WuVS8p7^D92xN(j zeCy<(MfpsnNgwZ`!hJXJYyI}waU%w*_19*GC)H2Dt8b5t)wP?W`kSXnYM(E}edSr4 zObbG~wA1O$$8F(earZH=(Sp|1454Ea^VE_e73w|ev`__EcWvBQ!o9SEn)jcMUXK60 z6f|(qHxsE6`km^3)F*V-&4v4Qgs3Ve(gueh`rus6Xys|>M`+hVMwrmf)@{`H#w2yI zb0VwgGYoi^H`GTl1a)e>an_wac*ehZp4`v@A6p#Tr;|Z%@qRT&B6_1}5-UH;}qZyKqEYBdLWR5AhR28gO_sBj!qHt1dcW z<*afn&bK37Ll;vk>33|~PH~c1JThuKj7^k&Br9w)uDtSvs6KB%Chd8kJ$R9|#W$F` zgX>A=)kxa4a0m_JN3zSu+>K^W#-a{^6&6`+Ma&L=$T-i0b-9fATybO#IK($1>p_aO z{0*r+=myVb20P&MKWv9~IOfKWhMZFh%y*gc-e-G3c=_28?Gi=ay_l7mL$^_6mq`_TN51q;egKgGN_ zF=UDNAeli8#JV{VX#CWUW_PpR*V&9Zap%Q1y&#)FFr!K={KZ#C#W!KmZV zRPy=kMMmep4p;7+Mxnn6F<)*-H7FyfZfpvzI|OWNPoXdF(( zMm67fjWZwg!CPc&1IOM^kxKW5(%kGRR-iB8S5~7|G(^{sdPA7gwLJXQsD`Oa&lTtH z^Q5}9QISSPgYh;Gv8JHW5?g(>v1TTe21=(<~R4qRC3iJvavNLQ}m_YC^RXIb)(ZO}VwPwSt@CF_I zyI#`)-uje2Dr&^_qjKQ)n0|<^w2W7pv=k6_Cx>`^PKWHK1FB3cq4q9^)gtd4YAdUw z9BneLH+qLJrEQ-riQ7P=+G(h~a4?p7x&tNyzwDY?$O`6R-TXehGdGD)PM6vO~u)N!1wiq7#>lv4CESq??q z9R6sO2RKChxg6(hx`?a>PC?2yYsj?P(nw{-O1gJiRfv1n3Cvx#iRtwJh}`o9_>=W{ zRvWsITAJO*k`hO%`mB%Z^nPN=Eg@=Hbq1CF`PKb?VAFg($Y5_k$`F>S;^z^iWH9A# zx4{*4oNm1UW#g`(+kGvW8hczmelZ4sWYG%@U zMXF^7)vJQcYt05E`l=sOk(>+9>Pw=s48?W^-?1HEPp#+^ObzVsvw{l^L=xwUjJUJu zBtt8ej{x>R`5pZ1S_Y$vvxW{XW1{$|qqXwKgwL8I%Zs3=Ew{#8J|W5n&;;`P0`N?f zIQH(RF5J?NRm$VYbaX4>g1?~S-6I;Y^6b)_V5{+t?`<8xLI?VovxXbj4mk^gdnkKG zen?Mp&h?g)@*jM8)Agg{l1}}Gjx!!L+Lld5Kaj2vCtW3~Pp^>jCq;P%GvHl(gED`H z!I~Znn#?XD;y=f#W?!nB?hLrJ8s%lLrp#q;8gcD0ahJpr<0{~MGdAGrsm1Zkh@O}+ zF@>DeGvt$BMXap_xavm2qM8=SOB60bQiE!exi21>1^>pOiEBbE_q;|8l_zOJ+aWgd z!6YKIXn?t8AWpe{mFO{I)S0ycxi`ilzI-&f^)_F5V&6&Ti?pAnPB$5uW|-6CH7|iL zNQe9yzZ)OX%AHcoDLRI{>W0cpeZRt6o|TghXNwt z?j!9V92sTo65vQ)qWJb*}Dyix~@`Ffh7$(I6)&0OQv?*Da1H?2Hxo~bo8^EeJ!)M&B3;J zZP;(wxBnpb-g|J_qAW?iXQQe3`{Ntan-O6g{ z0K15?ZbV3%oy<_~+BZlRB`4g_C&+cdD3-^xqu%c>TV-|J13vFAt@M0Crk4F@ZEMkr zYA@YJJnte@SNzxieIjDnPtrVi=4>f+BwEg$k1OXtrc=(`ijpS0ragA5mYL>Hq9)*9 zU>b7~_mm6Ux$!sQ1%3ows)kw{TB3lrD$QSghuJ6P=2BIAD{gi_3G)t@nuJY31sSs_ zbEtu=oP3iJFX{vixEeWsy%(aiV`yy+f*E-^c{H=f7%tPF+&}&uyqSGb#faTRw8=l! z=~+=!LsAmarUf8#J%MI-o(U2xo}tlIWst5BcG&clp{p{=6g}nlaL>_A zCfxQ$TChD9*H}QtR7QxlsEBxEUW%|eyGz5&*I}t-9#+XV5QA$T;m+^Qsr6beIx$y1 zArbE?GM;&WnZEKy%mP;?+Eu_nT%tuM^D>!+q|w+pagjiE zwTR?~&ZL2t{@)IOJ-rqKUJ`2#8K1l<&bMxjT)XS z=lJ?9^Qh?Q0si=K%!YmK~0MbaK=kH$vIb<$zfeeb~}u^5@Ba zA>u#1yVnOx#C>G6nFx6 zvom-SQud1I9%m*6MuS^l43W%ol*WOsEV&~G46RerCS)WnlhDdxxI?JnR z!WUvPK8gL{y@ct`FEYl1DQ^QJr9&sq7U!EmXXSakH`p?z8!xnP$+F~qF9FXL_%c=+ zqeEKWik`bKvZ_We($zQ;?RyvzZsh%X4%Z-`8sDiy8po#PwrRFlERZ0P1bT(!{c%mc z40>=zA(opA_d5>O#wvVeTg3QK{RYpM;UmPl>U_Z7ZKTT}0S8w8Vg>$JP)f{pzy^Bw z+l62&1I~Ew+ll2#f<7=S?0SxLJwc1>Hy;=7`V%FV&%>S%q@HDidQ;1*{b)Z%Waasf z1iq%1w_QGDXZCIaJWxmW&s)HD&W{YW%62$~pYIzbmx)yxECWp^4Oxt^$9k7==C1IHd!q3x1z#W7}hQviS1U#c1zCrX%L8TWrU7wmPxdeT4U$Fa-e}>zY?j{9I=cv2A z5y}H!j;`bn&EpzUVGM^DZvtO|UkX}P|F7J;Vk#DGnh1M4m#mV%92pokuQDB zL`LdS#Y{tNcj>5_HA$fja|4j%Hr%pzy4+G(o=BLWHZze^#>bC>#&k5 z#L9+NTIo1}-pbH2Ck$0;NjrE*xdGI`XsSlQcSGFbO`sbE;cc!rnPpeYG?izEVjt+x zdU<(BjG1Bp`XujC>-NUei`!C1D@iiFZgE&$xOg_so?D5ed%hvV_E|xfTuN9sdsF;% zcUM@Ejge+ula)MSdNZqH9H^>|K{l(3mK5Gjf_{NfBqK|!b{c5RO278Tsz3L!>8)U7 z#959~Y{A>rxPy-A?XZ2sJNoxAaWZi9LvX8GuCZ*Ys&+17y zMWyH<@3xw!egvJLhDfmP15Hl)&GOV!snTu-5|#WvONTAFkC7y539jj?COPq6aMV<8 zq;k*zYy>ZRvw3T{V1E!b>%Rk)eY!w>LTj)n&I{I>cqFe<5K-(8#3nrM)}Nts z$!50k&nw_9*o};&`hbT%A(K0~NW$=7T}{Kh1*Xso?S!*GU!$4+4D3ZE#I(_btQaU^ zRM!_1`}}hvi6A{$lxju=6F%W}>2||?ssqr{=chC-szDm5?__vRtN|n6#BOcAw3$1c z)Gf7AE1$T*o|{Hve;!S|mNFxmUsHhwUAevB=DEunQPeQRzU@GTuO=aSKQ>4-cM4_a zaS&g62)a0)(T4OuWd0-qv4Q=l95jf$>2<^eq1XqcEcvna7I%*?_HV~W*thayT(|K38o^glp2>hV{a~(l%4j#USHou)vononLT_vw-Jm@Ttcp0z(ow`lh(@aWJxVX;r7h zq?3Zwi@>K(r*+%*(OxTyS;5J0skktR=E^Lw@uD28vKmEFn!u~5)WG}+x~V%$ngeEl zXYe!@75)NVXgD$7_YO-}9>&_L?oJw8zpxjw>XMQ zM~}yP?I~1P{Qwz(uNOFD+8kg>-P5Fi`|^FBx4j57NmyhcSsy z=foayjE4MsHPx0vjs$)W#f5&rqrU@PJjGkibRzK-FYIG~7WSneQr>ygk=bhlYi%20 z1$8vD>f<=^pKY|cem7uc3Cip>igwmIV&iiva^vn*g}r>W=KX<2bxPAs)NtTwpb!5X zW;xHO+e$C+zds-<@XcFqZzcWDpJgM1tPo!>67c+IEJ}S(nD1UxV0i|ak2?Zuk00e; z{mGU&Z@^6_cf-%N&4<_i4T&x_kgl#jq;g{?vGrdBy&ZArRj>nNl|FPr{lP2v>ZeuU z@$^S|S{-IuZ{S~}M8hgi&LB)s1ASKR9m1W!PtM-%OKUihSQVB)4C99}52A-z=l=YO zJ2D4g-j`WaTQvzS*?eB3x^x!_+>$Y)J&o(Fqlt1KbSQX9T{qa}X%u6>(wfte>iNz& zO3CUeM9U8&H!O^7-c36fR{6Y>aM?vjyfqR0^@;Xez-~Ni^wNxUz z_FUxbPgm^6JHk3goW*k|5BA!3Yn^7eN7;lfzA^ZTwjxvh63V^{AY$i_q~qiO(gfYp zJ=dhK5M-2L>ws@moC<#RK|N&RkN?CoS2oh-`+i8W!wlwtMjy!#AMzo_(?Obi3pG5f zLq^+`q%8Fgbnjy<%7fn3CuMlHTOSWqQ55iPwW#i48!8MN3t7h)(3}3oO^pHL#Uov) zaaCWMwd6fgY^gy_e^JujELGcC)KQ+zZ}5Zvja!o85x1MB7Ju3Rol=W&XBtA4Ci$R~ zL3c{oBWg9S20T|Or0@^Ly;9p5T}B4vO-iWh2_g&ehvY1a>ojv;lv-$CN{oN{&^%5p z5fA%{?EK59=bTew_qJ@@EH^`gDyGtVhtTs%VG2NeQyG&_hc^M;J+uf6?&|_G-aQXW|~Z7Kyn# ziOK5Ifgjip!%feeg|j4p=iL@h1f}pUY<5XtWuvJu!y1blU66E|2Im+KMeEMiXnG}m z7z6tx(f-Yk5p9S4lb?p4`HW}Y&V42C)|HEXz7DK$y1*#R>KrQ1&cJos{(*eg0O)yH zN^IU61NY=WB`(iV|1(XjPt+nT8F@6alQFqSNW1X<&+r)0{ zNb128Y~{UyNKB3pBDoqbjVh%k+;&+11F#V@p1K*lA;u-pFC@$$nZeWO>wl)H3l|k( z{x)A?(w`;suRjxZ?;C8tW3)c~J!;MkBYFORhy;2KRX-i5p6m!=!AD^EE)_kt+z})o z_EZFWROgjlm_0KR2W{>YTE-4QcYa65m~whydH%nkb0#C!*c-Bj{^-Qtdzb-T_G(T= z1nF{Yq7gfW(W5iIsLk^OsItu&Sxx_rM3v7;)O0Z`eqn*6ecw?fgFXSk z=@zlD<~I2NE=^VoDM@aWYVlA3~%WJDCqRyUEV#RH33bF6E-LX_2SvH6P$MD!YFw#H$=ogbv1 z&Td>Jjp|LsBh^R=9&OdnXOOwcA|;O=s!C={=7>JMMK1gMvvwB!;k*qW`f0p(lChvC z8Ly&qUaXI2*%nw^RtDo|+l?bk+gF3ew+nEaJreWRqU^#ADN`R`P?digj^CAS8OwYz zC6a_>T5j$HIIbGIbAKb@%3hcWQlK>JO|vtP^aCHCA-dYHV617}adh(X&+$V)Ukv6; zJ>mMOX;VIjiv1Jlvho(@Z=Ys0@s5x(bW-y+qv4{`B}u3$^LIBXCdR zi8QbqTO0&D@Xc45k&nyWZD9S|MMb<&=sSD~89;w@{oQ2svVUI5vRBQf);>kVM}IUE zKWMFW2lNoLMIMB8g#1@(7`{6uD=5z(iFS-w3E#U7*04Zi&CZ7IlAk1^?{&=Hnonxu zt7!A*I%>3O2+Ov1;nJH{Hp2hbA=S-U3!2-05Hlu#9{QXfy=rL-qx4^l^Ey>g5^f+8 z)tn?_W}Q%r&bdNgLIsT*X{`~b?!{*N3?V;v-a9AVkLdXhaWAlTr(&Z^I0bs(yEIb5 zIHu8$`(488lBD1}C`G>R2{u+KXZg(PA%q)nhX`cp|Gy5ZP2g91G9OtC8W+KprhzYb zB94hYsZmXtLH(EOYs8$}sQ$y(6gHEu5ad>&J+gu7$VrjZD#R?7w|X&FRRHg?^$uDP z+%&=@Z7JlV&5+jO13WL#6}Rdw=%hzbtrvWshRr16p*~fe3#KpbxF)M~6H)Bv%Yc3S zN$sk5T;u$ld)W1U^H!mXV4H!ISJ*8}HjKN6=jMFJOY zNo=3!U+)E=Nk_D_Io0N6%)!D^pGNt#>YVE7u{# znfK}+Bbn%F;=$v(Idoav2*`~uK&1wLD^z|>kjZ^cn;(v$zxqWbYr7B~H@Md7pO~JB zs+r%Z*VDUWjbs_n&(R8<7tO>NJUoTQ$1qQ}p0ayyL2v8^tS8yk&Aa0NtU{fvzJ+6X z=p(pKZN8P$z-PYiEOd(gz!DoVWw@h|3Oz;2$W_GH`&xK?^eq};{u^*(FI3aA02!I} z7nk_1A9iV+jissaS(Ftz3X4V_q+c(ORBJ=K`^M5VqCdmXwu2LfD_dqGZnO(dI=UQY z7ek_wb!%&B-I)uN^4|uWDXOFHZ4%(-Zo*m{Kt$^gAa3gcHDdr>*%kZAj=WF7qW77k zIfe(HcR)v+sx<;x72>t4u{pC1>F@l(4BU8&(OTG3cmJK}x#dEzB-ZyeC;uwIpIRxBvGh*s}Lze3#p~l6ZtR} zaL!EzG9I^=*0B%hRzCdV7rgb+5NM%vONpeMlAnB+p{yu9#yw&CrNa-*R z3nMad&hawB*Y$vB=Y_9?3-4HA8Lq9IK`VOJQO2Ygi_sVQEcyPhj>ey;UiS~R{5%9J zE>vMFv=q3Z0Af6BH8XD52d9+r^~+WIIYj(>ma?nbhT8f2QgP8os*G5T?79Y`Sss^S zn5ZTizHT#|lVC!^T)!+*x(~$>F>wCG?ng`~6A$SOLrrl^pxlmqlG1;4yE+rSp*4bZ z%AEO(7)W?CJI#pMxa9;cpNFZ-I@sr`Q4@{EWmEov_1qqdlxs*u-{%6O!RE9~-w`oq zCQ;R39T66RCvuxUZqDk&ofclG!KV@MTrM?z`<0kQMc^vm<4ni95Nvg)9o22mhJ5Eh zqF84@>BD3hH*_PG2ue}&x%H!cstifTd@T_j(wgs{PGik+6Oa**7 z6<^snPOLpb8n(}&GwJC=ZsX>8aa{#kLUKfwl~D0kl~(3krY zc78kXZr$B2)!t&6K8`3lPjYwN!4s{jSfyD5Ee_$RyOL*91xcsvjn_1TE^kqfG~VT0 z_a+!yU-H3UbC;^G)_iua)g1$VKc8u~3dc+Dr>0mJ-^M0)^wEZ|z8anO7ZtiKB@LHs ziBX&qnFB`zI@CmE!9a9#&c~1;s|Jq$H(RG<-}WPBq5Tp21qQxdwPa+EtFt>e4^bwk z6>-x3nr$lZMMCHuES-EsBR+kEn(Q5<&Xv_BJ_QG*$nP4m&3Az_naJyQrxvf~t&MiDWf+Kt>;>?X8}SZP8bhRDT+a$Mqw*W*g;Y&X0*Q z+lge3`%Vi@2P3N;Ymu%<3jE|jWF7F34l=N1`0R9CHp?G99Mi)?`gjuc<|p7Qc3zIT zD?O>ewv|{-+l}4QzLLzUVj|${X@sTsQJvl*@>`W_1Dzd2JT3yfh>4it?5EuLA;@HU z4`RNkH~RNOvRL%uZxZ?L3hv6kj)Vn9@O%0A@VX!$J4H=IgPloE^jEx)Z>y=g@_~`G z4MIZM6IyvY8i{+!sBYp-@Fu@PqUfzu*YL$jFj<9DC$A=19gVoY?2k**mJ)2fC2XEw`dLS@XVpQ!?2fd=Mix<%!XYyw6Bz;))yC2cBcx7 z8d~u+7n=nq`#%d4*ml2@;Oi3|#J2yoI7?0pB3yYp7FU+i9G_iC^&$w%s<+{-dENPG zdn5>2VqbYhL70AzNOo+ai?(VlG4+N%2FXpda9X8WX$R*$1dfM(kPg&kaF$B7`83;b z2>m*Vs55tE5$O&MV61q|lgvT-^`$N~5-BSGZV<#5rl7eAk0S@ZPE;eW?P2D!FVHb~ z0M}X7B8?bj+hv&dY`xjOE3r>iKg=stAYuDWlGhGCQKRX^%%Tig zpJpTmoH`%SBPpqTTct?3DH(@fTr=FLbPl##Vl+nyy%ap{S|qh8!AYc@v`+1a z%-Q~nj)}>0E_}HK@P0LJQWW9?FWQ4doC7rL)*~-T(Q8zB7P=1)!%XmCJc@T5&gi}T zPm?qu6X$y0hK{We>ds|I^!{wQsOtn3{H{V%=d5L!Am}8ynklc_onXs z_K}tM`@23Joh?_^^rhnWV~H8}GGsdDfEV%|GSP0J`qy@+EF8*H+d}V(z$_Q1Mz|ur z!*=}Q)8Cp3*AX}~8#oPLUyh)n>YQUynSds^4 zA@Yy4v67xsvGnmaz~Vm8`8^V6K8d7uEj@8V0FRtk`;E6!&m`4BzlC2m=`B~SZ^6AU z|5;joYyzskq)$gB^^pm?%IRgji-8?i`r*8l8|dD?R&kQ~L$Hg%BMncI3TMAU|6Thi ztYyYyvkXJp`Bp(^Tue?(&0UVmQg4wEK+^2F#rd1{eTPBX{VV%NiyG;YN@$wEf?F|!;j z!9hB`*Aj^$yn+?RAjsT31)oE>+QuFWl=O?GoHcTYl!sV94_#);CGChiyg-v z@%F3$&e;H2To5J;j#ko$Uk{Kp;}uFh6-^_YwqgmKb6Cr+hFRBvibf8>M!G{pSn&ns za@Z5TPlmO)A2Ho?kcj=^ycO*`B53@MO|%*0_V2zyVnGz-*tw|m)naFBZGvNF^&l$! zYEJ8<-@qp_#;a?ZXLmO!?0+e~Vu?QydaptC)?yrVppYG6Dq2{3XFbDBYo+4gY$86l z6zTX2@ra%K)k%H+1O79gln)v}jlIn%Z|YSdD|$uJGKyJc(R?CqpO1uQK3K8;0TQLw zpy$68visZt?Aq3=Ou@#n6HHgG$L^B%xQ_h*{DA@SX^#Rd@rH2QhhWK>iE#bjkQIC9 zsoCTbym^jIDsOV4%y-9!5w_f~uy(zpGU&Ny_8z}F6>k;7M_s@;Eu8^ak~Ckl%fsT|f) zX~j|`X^kX8ZC`RKXRw=FmkDW}-jDEBKSyrdZB(wONHVfmM1&FM;A7Z?n+MM&Lc!nU zdi)2cV>=szPiP36vnmNoT?&Xy&@))r=K-104*e~=9^v&j7i+vTR^dX6yYz31vrB%y z7Y8Y~_W>->pKLH>;N=^!fIe@47TCk(aOxr+gVc!g5jrW)=)ZISvz%)#riq z>ML=q0VmogY23eR<=4rJI3{6gW!VinJ?`EZx3)yo^!Yd`uz5>G%NyW)>i$?<#6i4@ zcW`#yH6raNL!Zu+C2O@Y$a>pgs)KXAR8k!>ZRL~Zbwjas#vZm(<3}WqZZBN%S2n|D z-lAqz91lr*BkUswz0De{h-Bp>c=lcs5%Vu)tKzBh*m~Sgo(rC4bEG^HMpXT;!AzM7 z_tJodocri(eW{DcD{kPo|Fp9+xBc-_OolU211=KD2v1xWAB^%UPSOYtobMbu0>|0e zO^`Ug!2*si%~U*7r;dG(g>hZ9zBfwZsmB4|Uq&)p7cj5r3XSCOE;_3rYFO>T^Lb=1l#QNbyYO2_Re9~+wx5W?ipA4Ek8He$s71+2j0eM$_ zB&q`=aOy`n(#|W4X?WvB9FyZmNQ%Z|%l?+o$qDBz$lhU|w=3jkJZaOBue9856q5eP z!g9$gnxpp^GQLaDpqN{#%E8r2(=Wx;EI*#ywA7BVHoA+A`66s;{Dnm1yn${lN0{ZW zv+;AB;LNg?iITXdkfj|Z1t>qO-^(xO>$d_Bdi%ym^@myX+s*&;%VLYCDDTc*A}J+Ar0)rx7e3T-K?15boC+N+-*Bf! zA5EHfb51}?>98!G61%$g;Mc91MwnVmJL=rg)Pz6GLhOp@vxCriWfE{IWvTcA8WU zbwHi|T||{@LSFl1vAhII)@123x_765AJ!r}i^=4WkGUly>p=XPFk;jdjjYqh6Xl-? zDCN{XYIGu!(WUv)H;x@*W`{lWgjV4@c|#z|A;leU3$bbYaVoTp$05jKfv9UQoGU#N z{CM}U*-z-&;@&2vXNznCVpi1M>S4v@3_}f4LcQZ|Ll<;1_Se*V-AMl~ zYd7zs&eqMR;f#0H+ApBJtDc1`SH2@QoLzw31CXqBqFPil5Xm#3(<{mZXSPeQraahPC8$Dd z@^`9iZlW?lJ~kVfhTSTzlCy`6r5p|?iuulgJp#H;{{OM<{~v8REtIGG1N(Oig@5D! EKRaMge*gdg literal 0 HcmV?d00001 diff --git a/tests/1000.out b/tests/1000.out new file mode 100644 index 0000000..bd3ff8b --- /dev/null +++ b/tests/1000.out @@ -0,0 +1,32 @@ +REG 0000000000000042 +REG 00000000E0000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000001CE494 +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFFFFFFABBB +REG 0000000000000000 +REG 8000000000000000 +REG 0000000000000039 +REG 0000000000000000 +REG 8000000000000000 +REG 0000000100000001 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 00FFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00FFFFFFFFFFFFFF +REG 0000000000000040 +REG 00000000001D5A80 +REG 0000000000000000 +REG 0000000100000003 +REG 0000000000000068 +REG 0000000000000000 +REG 0100000000000033 +REG 0000000000000007 +REG 0000000085000040 diff --git a/tests/101.bin b/tests/101.bin new file mode 100644 index 0000000000000000000000000000000000000000..fbdf6dbb41fbd72316e6a3cacee1ed84be79afef GIT binary patch literal 33468 zcmW(+cT^M2*A8F-Yk*K}5G)iMi6)RJB6ci44MjmkKm`PAkOX#Aw>}^fE~+gdqIPZZ~Xq??40KumSuNl?tRJ#1OkQlOINbr#FYJ*kyIlA5eNhx z>?ibkdjZF;VSmR0ftX0B*l3Pj!~TxV%|kuR&F3?0KF6+M zf5!<4@g4~Ys~NVMW7n|1V}T%t-ESYm_OajH$9{JoyI%>r-*tvvXMf{5`@7dejRXxI zCdO|V_J(WQ=1o}pc5g!4wHJ(N)7EtE_4cN`v59H8z{qrNt3W)WRp7!f7oJ_i|BeN% zULypplNdIMXV>t*<9+)=N9^0Th+&I(b`AeK76{@;2m~7$wvlJoaAP)R&&RMM3_HTG zBjIh>SRg22SQ*307*-Z;%Ekgg1H(Qs>=VO2g$vo3y)RFJKsfJud!de9BgAaX-XFuH z43jcU8sWvp0)ZF9d>Q7;FyDxHHfFDyVKEGgVOUIrz$k`c@eE64SSrI(BLv2&49j6y zA;St8Ru~~@Q^>FqhE+4Hnqk!u0@G@SH8AWu!@e`@dxSvvoneBJ0)g%P>+Nmz?7Bc8 zww=$Ok6}uNDH*0*An;N$%!^^O7&ePxvla;AXE7|4VS0w?^+p2yg1=+-d<@&ku$_8i z!A^$lWLOTv&NJ-1zK!5K!_G6Tgkg0ItJ9kb>KInXum*;;GOSfE6tps|b-rAcM73TN zHR6RR`!E?&o+azVbG=FZr*1?xb_LmQe3SOQKWUDYLJW4Jp{I745alDEP-V(jEZ9*yvYfG0Ee0mS{ zGfU`xV`tjpWetCv)3r^*mqUi84c;ZC3lz5RsmA5#T>0q+ly{vB77{&3+)`=Q@Di{R zrlKU*hPr1a5~s{)sxEHN?>A{g^M+(p9@>FzJ`40(ZY$+V zu$uP;7ddAytiKPn@#CSOR6`T@esxhQaMrfrv<4rz|;iOuESXrWP(=sosgW&STn95+ob zyEq0V7Z&55ZkP3m#nL`?W!6yV>qWVmWUB1_FRc%YhtzGGNy0;Wy(S|Tns!?Pe?m@F z}Su)hJ$r+zx#EpAQw4@BQQOVT%{0W}}#!;9Y=t;C2&!W{k6**uM ziGTM$K5!fkk=N^l;%7AY)nF?0S%&7~4KQ_WUauB)DY#`4bQ3@DjcWg1Q{O{OjVq7y zZ$_`tJNOL3e$!2Zq%*!#zDFg<-(*rzXd})39!h%|`$>7{yHvY)3M3v%R~;~MVRP7p z$R;?0o8&$`Oze!J(cZLfbSw#8_M6JgZE;%o7(a`oEKbr+L;0FBDDBc2?AvZ2hH__A zM)o7?JUi>Sb7LJelAmb*=N(i@Bk_PqA}KiV4~V}0Btx@y40HS9TgGN>CEn&U>g46+!Qz z9hKD;P2^a`OWx78o^YR2sJNvqHK@*^w(BPlk9r4Mo1fTa`ZT?JZf9!c6@?X4)m3huLKmHMmI(|o)W)UqfGJ?FUj}A_smx5f?5kh8O z0kKgfYEz$*LDCl9RG=O$s@V@Bu8<1Ur{HO)e-u`|YjFB|zy7I4V(PHs0V-w>gv-Xx zz8S)mxH;L;tuW&$)U9`+C4(DAC>1BMs#_IGiq@k-Fb9gx4yHvf&JxG`NK|^)V8Oa~ zWY4MHeDQ1#*!1$JKDv%0U91-9>lOKNS+_l?c1juDBfZ0gYPT)Z-jAoeUofqzm_$@b zwnX98k0jl6(rNZuk?0#AJj+dQ5Z?Gb#C0*HDPdYF$p1qv&iP|g(N3ZiHqmz>r}XBE zS>zwROOVXJFOhuKQq5IsV2cx+#Q#v&fl)!4rTZ`=un(q~p2DX1V3PXw1G*RtBZ{ur zVnN(cTCgRbPJH?*z%u_3RsRu_3z2W;MgO@*lHWAO1M`F!Qu&BVOLl?c=A^x3_(qWa?w%(~EnPTX=j?&F>s=b?!m z7P==nLCO@{oL8VRv`JEfM-}o(-dzIpr{$2H>3?D#YY)MBI`1x^< z3ec)%J#v--VAE?rG`G@&L?ut+#w{q(+w0}%u%ZwvmGfvq*JjTHCV5c&IEMV|drZA0 zE{*3G`G6$YmH3=A(^nO5Mw1Z}f=*WSlcd{rW^*zZ6_yf^KN(3BtGkSJD>+A$VkK=h zo{Z6O8r-<|RCKFi_J86U=Fc6?T&2@n(*A$ z1$4Q6Ki*+tKhmO3p@M{0#A5FNQhEF)U_|#Vul9GoSowY%6c`*ohOJ zDI$g*LrAqxB+3p~L3G_vIy5|sukh_Bo7?9lUuB%(o8C!-%90$y%_Ar|b&;6&xVlK> z{f4v~Zlas2x9O!kTY^@}8&MJ2naIgc&{o%iV~rMtwF(ka{*pL`PJ{=&It7T5K7etT zQ#`+LD-GGZvwud|2y*z(&FSThL)qS#2(j&KAlvm{`mF3Irzky26`z-(Dk+sz%FH=s z?`)LgV)mW#X#U2p`lDNtIevI6dW!~o?CX6}Z@xmq_L!+eX*dI-%U4k;d_^r5x4`;N ze^eb*-E{{{OhFO&l~xz82D{(pq+h*AS6&`N`_7ex`EE4fmA$_}^|lVE-8cimW|(|GT{k*zr1X?#(6C)sVLUn?AupY9K-6v z!xW;PkxR@kd4siZJ5}4+6P08QxzyvfuPSLY@{g1xd-zjqao$9v-cID&ktLxvd)Je+ z#C>Ganzlo&bZe_)q@1?hUDEKVqILc-THL5==+Uy|Zk6RIzX4OscyrBK+BH_azj$a_mWt-hx-SIwdy>fR2vXq*7@ zxWRb)>}o~T<(r-lkN2T*uYY2}#m)4?!6>QL^Crr76%uY(7IA19N#x~=KyH5@IA2fN z)c-nli_gTW1GQY|GGi|6!d6{|S0t3HI5cFgLs`&CsERtqo+|{b5)>dzdIeg=7HX5Q zkeKJjp;5UX7*zc*SS?0rLph}HoJ#hUP0<{5l+5w@oJ%C*`=KS7!fIeHvA8PIs3TlJ zF>4%swkevc+<(>M^YFXFxyN7WZfgs@TTLtl)fotd} z;d4yMpGCB`p2#oECJNykXxmX6@vGxhhr-srRJv!tAoubT9*a+~`OIUQbbG zI78~cAEgB}BH;$&nmksXc&w}eoXsti=~ z@D`-GNTK<54E^@g#G`7IgjPEA0;{B-bfDEv^N9k_3Ida+A4C362e)b?DY^+x(d?mT zNy^n}L^z;$_*MSJl-3);C_C^eeTkh**(}iYoz;4B0>H| zPPL|XG`NjD%AAkDvn8p$w8}{I`7Vr1dv=oW&-2l*YqNiI(h9U8?;vDc9?||1!`XnD zy$-N`HO{RZEJbsu=3@k_?Q@7Ic`H?1-bGR;dV{RZDB6?&XnE%j7N80gkERk+!Fexb zLJmFB>*vD$xA$?ib>BThR_EY)$IeSF`_A%99AD-x^%_Mhl6BMDq`b0jYV6^<|AUHa& zmeZc|q6JR9plaj=ojm>}@Dex3G#fhDQMiF9S8X84386%xn9FMDLe@8Tqq4?Z(A2@7 zl!sQ3^!#s7e{4H(27f;K+ePY=3s_l8*j|%Jx%W*d>JSBzKRHxsxg3JGj-=Aad6=x} zr>k)Jxj5y+3!?dDN~NdT(xn?8E^gMRgH7pKIQd_Dox{WdtgcOjrrEyGup?NfHGIS9 zr79xI&1Af-D=PCBQ(^8J!bL8p?vg6fEbt+Yl67O`h$jU_(Tvne|B=P&40A-&CC{GO|O~%XU^{rcnn@8_r&s<96O|>9XVLxwIe^_ z61T0KbbK|~bh^pzr^JE<<1j__N+*;kiFAAozPrAV7ry;P-6C6ww&!cg^>;%_`g2T9 zl2B2Rh*n20p}|Yo?+QcN9L&RlH+tIaw}v>CJOp|DKXCiKxjNd-f=Du75aYK!_}~KT zAp6_1Y0Knt#vRSjJaIOpdTPRwYaZavUUN07_&uPkYKLt)jpd6T|3iayBSGZ702GnA zxU1S@K6i2jk%zUTT4ys9oZT`~(fAC-K4Pkn^db_@j56*8#T^F{s~-h4^Q}>I(|zo6({LfTFFu7CM*mcFXik2o8WLKFvo(Yd#zdiyH}N#jbPKX=B7 zwkZ6eZXc4APFIoZUxGJ0t9xrAHbLs#Jw!A1wY}BzInZSlKk&%FLmcmy2(qF_;Ba#o zYM!Lf*rUJEP11%Izwo2=i=Vk`N|xa8v6uX=b)CBO_+#XX{+mZQ^-@se+#%WnzG$8L z4GtJ5K>A)=rW+(c?4Pz|)xj;iCLokFH7)_|4QJxyb(0p=rt~&-I;4>{IMU~%s`ScX zLm`5n$n)NQR4SdV*M@yT)A1|yh5_rSrKb9h)_?JCqRn*EViZtqRUbt>f#m_*^K z;5T8Gn&%|TB?hV@#&Q{&R?3G;L4J5MRgGxm9W$>%bi{9B$T5KZ(qEYUUJi;OQB+>> z5~4pg!aDt6?tsZ#sPy?xqwOL zpiS5|EVTK6?lmV!>WXeuvv?JVXI?{jrVxt{eJ0YfdN7yXC!+42v_A&bEy))(cR`T=O~ASuroMr{I3X}WAZn=5Zg_C_1J?C@fp?7o4TM~B0jmT~&R zX`evpw}94f{0)=uxaqlaCF7v%{~7X=O3KcmR56n(SN23r%_X#6W*JfN&>qtLYpM7D zKIlXWOK@*IMWVksAm4pD*=X;>@y6k#c$GJO@vd}HhfDK$^^7TymKo`{#jhYV_>w29 z9|_bUd}f>~QjYd=Rj^{f8{$@;gwn=as2FgYR`2q`<~~VaCFn-1iabb~i-t%OVu*OA zlv?Hd!UYSi50feFu-Bf;np)?^sDytS2Db=bgSKfF-KBqQ=l11ljLhK%s~;g$I&}Le4%GXbz4%8zx`39vuansAj7#QJN-Gjl+HF$Tg#> z^&Gz0w>`Dk`H3{$E~iqjUtk=#+ATfoAu3k}kqobk#Hgqkrdt%tOa-o^Oa;fhs$Kdp zp4)-A3r^FLaGwP}4HVv%UGkKaW{@MtQkV{4h8>>X93h)IhBnQoMEDL>ZIQcXWYI|elkfpCr>8&n5K$+`slo&Rnwf8CH^qa`ni6Z{^md2>^ zn$4(e>`u%j6R4!IKS?*eOk^qvGb7*49s)h2^8>p;c3$c;yCk5^OP}3Mo9^HBv zG0o`ty!|FoWK`}=9Dk#F89zIMaidydo};I0?;Um9xpL;>yib8Ti?AoKrQnpVE_NRV z=psU@`KN6D|E9v??SC~7bQBiSLMIF2Zn(hyudz@#VKFJMnL+xxIxg4VIS6i%nUt5U z@!*Cn!sM}mH2Rwh_$f{Ot9=S+oV5#kmkA)LS&hntjzqI@1yqLBY$}1yj5ZVpGf%^d+y>VaZGikR(>-4rqUtAazdut9hRX(8Rwv$O( z-U|>_W>DU@foZ$tpb0oYKTl3vTzM&yTBysRrD`7VY;H5{fTq}Lq1`!q{^8tWFjo(z z4_;kY2%ImWFlRSr%@_kQ7-)*OhK{0fE>2$4Aj89sN)GRHZW1&@ zOTti^mN*~mx5x=Eo=K{d*RiSlWUv;`fhq?n$~(=We`}a>mLAflPn_qh_i!^69391Y zA{9zU0k`M|QN>r0baE4lhdyBaM;Hb=d@4A5+=z~m{TvFc_a>(9!;+_BS) zhsFLF{o0AT8D^vQNmo**`AG^g^r(#;0@8L$I{MRS39qOj$xl2{=&VF9;dP?up2PHs zD^OLJsZZb7O6@Aw!`h5vT$<7enm(IiwnsT+e|$zoUUR8wxLl_Zg)*Kn5oD3gI8~A0 z!#VE<#}Xe}Ff9yJrLB-wyOX$;CZfgSS#;E=2rkv744oP+$*r?XxR(DSv1;piY%*N{ z8_!?n?AK4hZO+3(o8BFuT+VH@O4`i&@&F>=IS{$thv-N7ow>soKj~$E;V`tQU0A%pbp0m+J5tH7^(AyO-Y4PNl9OG~I<(k3Qi>t@q+lin*-5o1yY{6Ry9z z&aFJZ45eOeNz-LJTBqqwcGs;Kd`stM7jR^^%iu{)(h;>Y!njZ#IvJ(AZaMmFgj;+y zsjHVk%C8fUo${VcQ3vUdycgM-^xN#e(94vwHYmZV1bmnA4NEP$3=4G~t1MdhT!AbDV+5teSCRc|k|wcyVB^I%$-aRv^U zFny!uJC--Bq19WfF-2`oWrhQIaDM0B(KEi`T;87lIw_mezD&TTcVmDv)>2XVT_WGR z3rz)^NAC(Bp-&mOoH|7wM``RUVz+)1c{s|0h=d1;(BT8N3}-dD_9w^_PC@!hrm4+7 z3#!OgXzn9GgJmAH?=IqmwE|QchtZUf1n%tF7>`0+Pg=%&C)&_AV6Zf%aasN0b^AUs z#O391spk};nK_(HQ%9>sUlZxx_h?z)3I4iR>rARVWDH>ort6y@%?5tb zUC6ewU_55lsFww0A*O5EgWz|q#38cMrRO-SNj?g8=}A4#{=yL03d7f_in2Y0lYEIWW>d6QvF?b$bAoIMgX zheIGem8~1^USK$Rj>gn(Q)DEJAVv@MWfnR@@?h4wq+>kSllwqEmwfX{TrzK;*y)Kb&U6uM~ z3ny4OR^)QbGiZdW<0t9veYXeS zT^+ z@$K+u;Y)kFe3?FPOx{gT_O7UG?;!F`S|Y7nw3(CRS|Y8fruhfNoLfRMt=ig1Hk}@; z($CCNUT&Qc=H%Uxc^r4?fVOActR9`C!pv(_Zu0`I4LM|i!+1XJ%QR|U6iHHNeuSUR z5xqrT0bpLc6Zywlq9K&d^eXn%&NOD<=q>uGv-tFLd0?d*Of!CcrE>S>q-yI{TEG4@ z(K!DG<)axSe(-glj%Jg5RwlpETRGn)v;MP~(bPjk%1bsAes>nGHnu?_KDL5ztFy#5UNai0gUvw1}s_zqt=@gJ2 z9Yf_A5tOeShfWPXROKaOK4eey$-E1d$_aFt>AXIg`n4z%xS%BZhA!D^go9)JN_2{+ zzzyAul`EEmvZNylvu&3tQtq;OITn11hGWL!PINQ$);0e)KvIis&_?(#m8qDvwxT~s zP7SB>1$mg{K9Lg^U!cX4@1ynM-R!xYiB`CgWH`5g@bh!zezpU-;2KC9XA@2RZ?-?( zM4O%=q;pis2=fQec+tYXurfQCPktFk;?lQ+JhBnE9=|Ze^`b0v%sxhuFd+Dq?*n|8g#baDA zotwHlw$$uEvjzX-_W$lUDDABol^+{II8IN;u52f*v)h7|A1gWQDQdD^HpkUHx0dbI zQ`o(>k1kgo(}_wBqpW@tx&QmK#^IohEAn$e3t4ZfIXnkCq|I=Z9czMIwRhOW;CQY@ z{2!GxIIwaHili|L(G;TUuq2Q`BkjvT}l*Jmeb%90#KQbVZFg!)D$d*_FuT^(m!9QxtR;j z*fQ8(8{ow_QUaQnZbM0yM&C4>t#P%GzHV0>J^xgg)!SWlkpnSA5XX)<>8S(AO?uK7JTxfUd^Ej7J zzxp0p1cOOP$4zLYcm}2~`z^Q<_Re3tVjNxMT+zp!@6l zP^ z&4BXwB{ch54*MPoFMhr$+GEtvE2}#3@2_-`Xo?V&#{E(8xRe$z>PECp79e)9C0vas z$VxkcRY@Z`yka3QVE!gJ(?&&CS#s8G))RTdeWJ}e!*tVsK-uR27Jlyx$7c@>RrPtn$)nD* z`KF|f4Sq1{+)W?H#z$a%ZZc?24}_xIMO5C^f=UKorSib5ATj+y6*V$YD(+C_j{uOV ztRUppU;kPOHaq=@(sn74jhu)Q&zZ=DcB7Sf1ONIqxI<)!I~d?=cWKu>kBYvHydm-v z2&I#$JbpSAJ@+FmhkCO9KN*cot5LIeEQ;cN{_0{x9+wDO!7^x)jDv;w3pu-_K$>;D zfax5GFzJkk^v1h2LA)*wmE9G<&m9!qHtdy8Rn!Qo=ul1yZ3-c-I)v`)@>rV8y^2!S z6oV!yla#cH(0g$a=xDIS%9%rf{Msy$d#BM=Y5Xwjpuw!y%4PeuoLYPcfTX+Yd0FN# zcwfxv%BE)dS{a8>*+UOjfAT=laGYqTJYhQEIGW=5n`wC;h$1Ey<)-}!pWFt!Um7)E z)mQ+syjBb=SI0j1?y2G??IsnfG$Pu52Q5nb{C^EET-TjS>|AKFAs_hsy`=KeWM1(# zh%U)fELPN*fm4Djl~1XL{&&f@z{Uw)JYJ?xjF{%HTrUH|fUVFhw1w2f z5>z|ZL)FYLXdUKCTkTYSh6XKEaQ|Y;uPoO4=Aio8QN8Byc$6i?f}17_ ze|t6GvT8kOk1d6&FA!x8>bJZjd`)|jGKGLP+mTk~@zAH|aL%ub zP0Wy4w|UE)Al;&KKe^PIfsBLB#=DWX*_^-Nu zTJk{y1_%jyN?ZJzNsAzjO8-nGQv&V;8Ms9F+^s7wUQz#7!vwCefY_}#N5_xetB@}! zqvlD)I>&qyR*y=F#MTmX)zvea$Jm2~`-Tuj2YVvBKN}l|PUqY+J;1Obn;f2EJ~C}r z9U9H>7}_S}icTFdkn!|9ln*S0%DiKw+mQM0a?^`MoqUFGu{EJp%1(^4i~{YY`NTYM z7`B@~k(VFnk9|5`=fv9T&x*AHF zBUj_cPOtdp$FES-@B^d~_TZzh0rfpG8z- z+d_r$7DO(N#rhxi)JHg-dd=F;{ZF<|S{MCTQ5;hZ%Ptv0o06>ObK4)X{Vk9xBX6N< z@EX0NdK+rRAy8iW6Pi})GGfW!FO{=)=oeA zyGf%QC&M=AZGgr52m)o%5b+uYqsi2p0FKR?9H-cjL1(NK%o~F$l zNwhP{**c#{icOAyWo4n>D7Tu*%uMLxD%<5&C7+mgk^sWeSJ-}c2Tk<&IaF*EOj~Y8 zvtEns0o}S&fp|11%5N|~uK?0^F^xd@22?pap?=q0s(k)}7IyVRY5rhR;k*pQH=C$d za{w*;(;)7;4ccGR(!G{F`8gdX4vF-UXaRXUzlIDlQ!8tr9~&hh|Rerux;UURiRS}73Yay-t^eetPTIL zxz!#txlSa#=m6L|9Ux7!XQET!KFqrB?md@gAN)}!yGyK8-B9HgBrgB#hf8~(36gEq621q^b+l_w zqthqAS5-I4#X+a4!nx)5#dcCNpq-&&OhhqIN>7Z?`=ax znd@*vAk(UYUt&_vB3<^uZN#d#7H;2pI3g}Yh6+^-q>(j2=911-))GQ(&Z(ii zA)Cquu4XwFBVsl0Eci`{SUkqr*Ud*f5*!;3L-d7>H09-UVjlS%6+at*uey!ASPQ8> zU%;f}GF_Fqmj0VxsaF}V&?z%(StjZ>RjO)9^4B3U(lOA|Y{{PUb!#HphmeKI@JN(c34_+MdKTnn? zVHtxmSZ_QgzP$p=gYsPMUh{F(2a`!>V)}m--KX8yPq0OV2pKV!6{8Tbd?s1dp zRb|AkyaAu7T|FG~R3V zX?5JFhP&9NvOQnDwG=d6-qEB4EziGufKH~{nNRkG@-d@HlYqmu^E^12c9@@5wVRkr zyQ86T5AY>CP0@tW=(?%cJ~7u%zW5}O3pNs2qKYay7Gj-l3vAr)$QdNB*}bk}w%b-H zPq+ffl3E(on8H_#uGK$Wai6{k?WeaC%q23X9pK=woHSTl>a~XlVP#$yQrLAnYC=w9 z(<-as#bcO%^1TXE61=cA@{4o5$6S*3Y!o;cjUkT~2KTY}umwBZz3Cfw{UN5Ljv#!= zWcp$1V;^_18=B`1XS&`^sH)vY_?2;_T+x@-DSXKOxpNfSPG(>iv>ZhtWTetCoRnt{ zCCRD7P~zr8G%Hpz{kR>|sa8Tk{aqq6dJHX%2Z+S67i7&1M|t2T+M;rU>7nfd);}Mv zm)&nio8GZ(VB<%{eiLuXYtoi=-{TrSFKe*oaE)wEdBbSbG?=3N%>z&-J;M4EPZn7J znn$HsSMcg$C!Jep7vfmck!s_7fkk!9)T_O7G zzy5#gXY&Y8*GjV=#C@sM&ONCwsU1PMta z%-$jV$yI12X{8lKXKA`-DOqFt(nnbY5c~QaioDlSt}!3po&3x-&p*uSM?&v)U4@Er z=i8vH+=G?7;#hqd#XRB}%#%m}?Zg_YVEnRKH;szai`aAXEF(9XrabvheGImYhmB!9 zs-7rPEJ@k_z@uUCga9qu3+?bc)NczVhJ=q)Q~!Zzq_;^%xg}KPX@K8;49qm+1}TL9 z62%^-9~vhT$IS^oR_Y}B-0)zyq+tpB+h(8{>wY`tK^9Fx;S|6WkqfnN;jYdg9>GM4IBNGBNt^y@I_-T^TG+Z4wY%=mbMTo)Kkrz}wf7Wr z_OU@!x&AFlNl-$X%_-;2L%9LfE#~+>)lDZkIu6U7=MhOTC56T#L5NP6dXatlz4q+N1)i>B6)!8g2g>IK>V?nURgHR|slU-yy( zv)(Cq zKuJ4SJi54zTUECw*x1#0y198hwv_ZB=894hy*UCkeK%oUhlxZz{$FCXeG2e>7EonT zCcP_pqLT{#W%=E9X!W%N;bMA_Z0#cA*w}$CRF`nv%4OgxE#_VSOy>S(S*<@5qkCx{ zaSxmZ(MJQ|U31U?^>sIvL30E1j^p{*3sXsE?LuR5vD`` z@>^}5AK@e~aQDD{Lz^?pP@9A(O%JDox=rZqJEc7*wVgvO^{sx60yTYZ;i=D<;!8NO zB}s2mfFi#qSY!@{@_binRXU6`zj+W8lW)RhbWzVaVA4pGI~v$pE=7UqBXpiWkdx26 zz%rOWiI<`lW!yg?%`c(LA2jRT%Cn)G<=@3W-|5B5<5c4|0dBSd@|l+Hcjw+&KyBG8%AHyqL;d-xIB?Keak| zl9UHphP!jS!P0vQzFp-RapTY@{fqmidRbCmluxwiDcU|An)9cS2g{=a)b=O!ffE~- zx0r6Bav#=GMd==hmJ02 zKkpHFxb-8E+iUUf{VF7-^!9%`E_#1oNJ%K9q7K(cis~op*`mSXTbN#Ku+S?lSgznC z{i}CTJJS?MO1~m2_{6egb-uLr>HkJpkT9?pg<|%R2dtM^2io?=Aa52K{xxqq?m!?#|< zN5HbE9iOu`-3_$dP`v6ekXLvwr^-cxAkDmH?$tHE`WJSu9K1po6GveQjIu2PX;v{f zMDHMT^LOY%WXGUr!04sgr5@Ph!GuLD8^L;FDg&I#utWsA?~Fw z+1|SZgWuax#rsT}k~x+bR5If5R>022{6dL$Ev7eE(D~WlxlZeP_0nEkOAA_0fYkXE z%Dy~9`ThSftyu{bCEi#ylW90{-AS`p1ai$))b?CWm5niI-)j)lmRw+z*Hn~D1@KAe zjQU;sqGg(UG==fAY`4EY`r|R;iB>di6w7CS7Sl?zVN?<;r6Pd`l|nbF^~@vHH{DTe z#KUtz5dYs}*`OhI#)E|3GSqzd$?9q;3eDPL?9pLV`Q8IFgty_wp5FR`?{|RPO|kCD z9+Fk?4|tXSAezJ;sB!Lx+`&Cm@<$IgpChTJET2?cw)#ymNgZhE97+m84zhhW63@N8 z5K_OQfoi92qw>ScaL9+%sFrFW4VRmaWbe#rq9_f?NH0c?R=Pycfb1y@8WlxWvARKWab40q55d@~0H(*%>RS+SUU`Ke+DhwY_c* z+fzv;zXBC8?WxZSfnM(Hi)nL@qQ*5Ayh>*I*7cE%_&`xtwTD0kV~a% zGm^h4PUjUFOq7B`Vl`u*XUMAqXs!}dOXtzx7+MKUmUC%F<}%u1kfS=tR_A2+1U4S) zASA+z1g{knX{H}G8J7`x=}T%ZzJR-H7V_zaM`UapEhqiR^zJt)Xms$@oVW}5;C+0% zt}k`eH|4M7s+u`A^@lc0{P}~`isB#$Ycy&n}NQZTYzO0^j*&tbeBmx+h*4^sd0(bOLz z@-}s#@ajrNnZ{G^Zoj$UO99{>$O~Mh@tN9SAq6|}|{{yR)hv;sfb%O%^ zN@JZ~57JwBDab!v&d;gxZtE-b-cRaRPoxTqWhl)Y2;#TrvDeSI05P9Knw%dq-=Pn& z4t>nL_m_D)J@bN^eAe)ue@ z%9~2l4Fia_Wj%10?^3DYf7B*v5DK^PMBsdl2$h{l!IpkRGvyu$o^Xuu?_N-$7|ndF zGB&pfZIk+RkX$nXIny$deNs%{M$A&Ctac#kwK*Zpk5*E@ke2_SZ&vy5h$cD>tCp45;3m*s~WQ8Qr(%KQ(YJO|0Q zv!XsCwFu?UmY`hhN|cg+Kp;7SitevKq54f9))s-Ngq`~wT?N-}PIR`(Urk)!bmJ{d zw=pilq5YSGR2aRLs>d&Y+Wi7{PWXkFCg?oaA0A34wA~<)m-wPp8KY5@uONIB!p3Ez}cY|icZv?r|J)#l6 z!{#xmRK0mCVSWxZpWa)a)@=jnqr9sB-W@&Vnq+h^Ux0SITFC87(?Wutb{O?~6mz`p-}- z0PHl$jCWV?#G>I3l_vdW9JniON`6TU10oqOHKkfI5=FPv;N*3Ot`OejMQ0}CBJ1C= zqqvjMq#udgFvdqMW69ij87E3OhAu&AZiND?P#e>$Brf(Kk*s|JdE@VdnF{Eb;-v5q z*A_eazj;x`#b%ubo5SfKvAu)BFLxj$Jc-T`j0@N12-!J4OVVNS1|2_yo#$&`i;9y% z2z;RQD{!?3Wn&H7%UytrX@{fc_=#m1PccJW1*-C$bl~|IzS3bijT^j@RFsSb$=Yz* zx9*Q~RZ9$&uKfV(n=|!F#aejWJbj7q`yRU+ZXrSPoSVcdp&pgGNvQl`iSH*p(iIIo zLz?41qq@>#QA=YQH4-{gbHf<&?C}J>lQrGfd(H$+pkmDq<` zfSZ?)Mwgw{bMZge8SeKek6epQna`QVw~5$I+P<*p@f6CL{6A;GXuGDr=doBHv6jt? zn`G_9=y_I(j>OU1f>sy^mE_+f^4k;UD02o8u6G->`{Ro40r4Z+JN(uw^dG>qHQKMG z=nmme{D1>PSg+K5CH~uHyKAgl4vK~>r&UqiVe$~`*!t`(L}4PNQq>}wl5-s#KVM+q zdm{6yS-%uErKb>0L>Vgem7_ z1leF^OXdt&$q{tRJxJ^ZB*jQoIZ&|WCFB(D)vYY~q^oO5B-+g}o^>9kP?Rv2(=>Xqs67bz^&cNwO@O`;sUWGi`(K zG0o5vHHtVwyS*M@lW&A+mzcN1N9x5B3#klyFi!Ox?mGy5d1E2VsotPg?|jJccY1|p za4k_xen!OnSYmzNXP(d9^PKQYKeTX`QYWDr`4c@rTkw9FtkX+s_}qlD(EilElASFW z8ntxm+G{$^Lm9ao*mwRx|5nca@eQI~eG@G6mlBDD1B18%+*Om{$?D`eM)^e~S<{=y z&yB(8h!EtBbu`uI6Y(j!O~=|PdRG-E(qvfzo4fy4(p85w)xQ5>V!XP+1_rSqDj~S( z2AhEs4D3xXUrI$KjDZ`TvpoR@V!TR&1=xs%3OY<|z#5=n0yZ`%D44&S@9z&UypT)I z&N$LH+(r z(v;NSa&Z+*TjGA_o`LsJkC?9}z%WX2b95;7S@c>jZJ7w?bTlel-VSBhc#@U}8f@L` zI$APztls!ug_?2#k^E~E$?7)}SCqa$QTthHdq*=vZ1oB)baMfX&scohTc%gIrY%)* zk0Q^6!>;8GbCAX4cv98cp0>Q0h@}BmwE4<>)Z8Z>@&rH|9CrpX6oN4Qa7UB2<*qx+c}LX~J4*JSe#t1s0hk|~ zjSqIKbnVCaFGv+~n+WOxsqEMqqVcG}Nt;^q7D9VGB)+@5w#c3GRWa0lRIsh?f+K3S z>gK>@CLpdl3&($Yxr|-W0~Jg=NVA%jV{4mMtlK(@3{2cY8Q0bD49(e@(KEb^=W))F`_a;0hIRys?7mCR(&pw!ch;;uwTFAzx# z2dfieQRjirJrzISf?rz?bp>E-A7)ay!3KP6j#M@Dq+X!eNvaehi1ca#W-Hy0ZannH zFHBVaYFuwpm-Tc;n0FCN&2=fnOPM&s?9^v6DTj!N0ogsiWsX_S{E z*?+7D6Lymhsea^w^g%`pS*K0jkp}x8*PrSJ~3?{JXTebP>9?@jGihD2FEhItZH7g*f$+ zfu_wFgvFoEV71f2Hf*zv!^VO#iUre+fO&@z7w_xh!Z&;@P))%F-`7CB*9!^SttKt8 zwWM~^nGw1X4zw`4iHbGHssCn4fH|jDnO^5WDtgyYS;0~&Kl1>xT*`3C{e@!2 zU8M5uB9yJYO-=2e8Z01BrO9atlI%Z2Dizj*nLC|&sw%NeI~4d*J?;={@5HftZfMU7 zA7swq`dAM&iVxg6Ir!^=23A{APT!AqjO67cBkffT-s=IBXFLZz@IL%_r{{F7)h4RF zHwt>B;i!c>1*zrbw0R;SRv+TAxJp2y+t)FqD1BtX-(HYEV}sN=FWJ;MIlSkk(D&yN z?tmL1?9D4w=b3_)4RB_NtguS+7Vs2j*hlIqJ6l0?HJh=O`7SKgB;mqiYoKrINyP(( zA?~F;SaV@7)%EN^lwl06n6raS94TZw?s1)`<*lXFyBH)hJ4%8~9AsH{f;OGFM^AV! zF-VPTaWFSqeE#5p5y>5r5NkBxBSn9hEx$5CM9+58rUeFai2q8j2z!Ro;(j7U-{)Am zE|o-mdxcb*?VtfZ02pN&_EZtfUH=1Z-8oon5?WBcycfEl*k~s;s{|Z$9hS{&Pm7Q5 zH>4*@sKRdt5de=0x>D~wQ=%F19|?N3!ArmQ=sU*hR)1=|EOX^QKMYwwf&N}f z<5xX#%MkaWi^f{9#+3e$1M&&(ixcPuE>Tvlrhe~Im<82Knbb@2HeEQ$O4s7l^$V%= zk^>r_Sf*#eWBe}QAA`XzJ>9S2b@cy|gqf#4hkc)qhEIfHJ zP17n|Ppq|VI(5+bi4TDvZx$M$SxeI5-c!$mC^YohS~f)(N~P0wgDOJ2b#=>K;O`gMie|7B;O#wgH9(fRdM66*{X8g zKnC=fC%R#~8$V&)I8Z5Q>RK|#V!l%-ZrrP31RL(s)b&Rwf3_O8o}Ei&-1~4%cC>ke zp7!@#s#k0Pz0`m2S>5ER==R6QgL3=+ViZgnF@?=zO|!qEZnvJY<-+zzDiULzT{>3z zf)=|%2s;0L*!<0&m4)9swrK}ZvT-NL06*!#guV2G?GIMC^$R?wao$0pTPP>-FRpld z0DRBjWl5Tdw%P8RWt|y~L-oR_a^?XtmE6Lzgb2zv=Ths*Q6z;gV|07JgO}BjEahDv zq|F$E-ft^4u*z99_xT4l>n(@K=YxK-+XH<~<4?qNO(mK=L98xoE$B3ll9VSy>5&s2 zL%zLtb&)&lz^krBEDXAh02^hoV!;KosYC1Y(5#`q_=#eY-BNP#%ASWgXG_UTsqTB+!xT(a_ zu?D!gzo5O!z}Ax|k^Sq+MB<$GL05Q%D7o*5j^CYVJG>;K=_%0XpQWjn z#v*(9K)5Ff$OdUW%EEtB#~OQdQGTyW8aq&M_M9xwVw7FFH@yvKs7 zX9rWhQym_C(-6te1q~V}u1yn5WgQYJ^LPT{t-lBLhZOLV?^HSY#R~rXbgG?YNgsw@ z4tkdTQ?K|JWB&A9B#l!-7KD@zNZ#TpjXH;eHjE&5hTRS_^}Du2@$)<470siq4R?`_ zzJxts0ckEaC-qq+{_-osNW%nb)9~$j==$p;6ltRelj`0vv^wrDGQLNcrx^=AfjFXY zQBk>HHu7YpqgrDhV?pjb6cuy@aeE8kJ-0^U{ok}q<`AsPpMt6{Bn@}z_Ca6!L_o_E zR@18EaBg|OL>?jLr1m5s@*gv>!aRYzEN7N}G7A`7;K|aBU0&%2CNeZV*1xTfM2)|C zF&W*XadW++n`{DbdRH$~&w3FF&I|(YBKY=4CE?1_IN(?MA?>qKxVm&d{_oE)J-75S zRXrO%>vqXxFS#E>|2fg3Du1BItZE+kU0aAxE`Nn2VK;uS+ZU58Ou@2Xd&Iso;a_xP za1wioF+I3WjD1~b&ZI+(3C`E0mK(+9oM7+PmL2%hPmq}5_}^ z=FYnZ-b@>G_0y5CA|D^D8#fJQweO5WvU)SMEzRIzpGzu^d*jroi}($5)-X)@CdA5E z2i_4l3&FDkJS<_Ea@yltEvv1oMH!oxQjL30>e&E!3fntUSpbjlN}kb-;|BsV?VFde z^IgesV~<%1=w~z~du9wY?}1ghFNu`1fp{uyF=IG{6E6`qCq=SQmI&JCL${FJXh}VD zok(|8d&B$FHTtS3HJKV>5wvZJJ57#ITb}Q=#%3&hrwgTZs|KtV4aWIV(GMXq*j{_ zJ+d#()((T*lF>+!oP(L!>BMmH%Mx*?&a}K`DD=y#@YpYw0d3fxL*FcIOcwO1CmTYH z3s@vw5`+uJzoy)VQKavluevu0(*tV~In>m$kO)GcmMwXQjFICYYsv!|e|i&HK`>Qw zv?v8_#K9@|$-tpkhP^TAmuKy$BZv3CP_59k1m0b02&ybKBh363d|4dB)Xx1$E7u!| zML-;u)|Vr0ZWvJ)KPLlJv#^f)gF4$D)JwC)#Q4|}JURxb=hh*k<#@oGBGBt?7uX4Z z!-w;_tRdRPTS)seb$ZB?feaN7B4)y=?%p}7?bQQU&B?~Qbyjvd`Ee}bttW~zwm2oV zkcgZo&|3Ci>e<*eGRbKuYuWIKuujLIk9&q|4~_POtT*hg-8iew|D00)3ieI#1u6T2 zA8{2nJy4*_Q4J9(w~P_*6dG31^$iVTACVMI0Ia!#WOIRfnD)g-vF(|?%QRM$dM2)- zY)%)zqinD?e;Ijnc=1qn&kJPSI2W`W-HEzK_A;%hnk1(tW7&?aDCJ%VmaV*m?QB1T zuRf7zmiA8jvNexGjUA01wO@F0<;MiGA4R@f_j@i;q^13QU}iu@LW zKga`hQ(BCYIi3PNN(k|Yb%TDw8R}iWH*nEViz!Hy5xL6h-e4>LCd?Ii6UCPkO_=xarwOD{W!3q95N(r<6(YuWkeO0%wr zyS^H=ntP%fL9TXE`yr?)H<-y#Ticwz#o)h`M)lBv_?); zz;DC-K%>03&tD|@70Eh%B85FcYb}Z=)uPWx`fCtqG0s8#5k}LS4iPTf4Oj0PgqV^5 zv~{TU5YfZCC_ZbUKJLaAe^Jsel2W`6+fS~emL(G?GusdGeUIae?q_Ig2w?Ur8gOgw z6Cy0yM4a4K`LjQs1Hae|-l(TYwj>Wp>e@lB;W1>zM`Y^0Dud!Z(xs(?+R9w+@}1J0aWp`2)tq};s#sDT1pNO z+2ZY_kHxkyW;J+q=Dz^WFo9^ZCgIkhqshocUJk~}KqT&AgL^i9)wihL;tq>$`=yjL zkT2Zl%*caUvE#VL#my53k=6}2gLikgwby+p#i80(=4r%|P=QhgnVQzPu(lZqo!048F zRM6H~4lT+Ap;W+Q?dJgqR6QtT#*Y2nX}p;H`XJ0NDJubFdaGP>SA4OAINQ+g_MB1 zOE@jySO1K&3%4MdsV|*7ZPQGqUp&-19m&^GA#9M@XY3Q$Cm2(h2ePT^4b)l_3|@>dYCXn>YGco$mE+Qoz~Vgc)*nF&+e9WsRje6agoeB>pJJgwEvW#UU7ANM>)`Sf3)74YsO;@;P53=&x4ea-5 zzpb{X3UJO0dgu9PM)qU_^pz8^G4d|(N)6(^4M@DuLBl5KT5P2uh9@y#3=hqD=~9s7>??67BmO5 z@yN=-L() ziSW%Ykp86uT-C-=#A48T@#mQsvPi(DnDbhVsGmGSmoL7-|egrJOK8qr(tPu1TFgaG-?&UgFUiVSzRtg zr;96w%dgyqzAlX>-|K^|I+Rc;_>_d}RxK^>&~?RymEQi+L=}}KB+{T!aP8ANLLFhC zZ$jSbQ@ZbjeRMs!^6H+!G{?bS=h;e^mbV(D&dspqoYB=`+m`6$2Z+h^6N`%W5pF&O zt{SvYmGGQE+p?r{oh!$fF^|dhA?3Myp|%1)&ZWJmsIm{qF3W^#l#RagFgs}A{gs1d zZ>li6y@BKvegR$E5IoTLJW3Z{!B zj$va9F6#Mn*V5+Lu0(cGOhSiQ*@HbTYi2W#Uq_z_l-+QMQYj)lx9c!v9LK480! zePm-=+{sy+im>&jRx&Vb5%AkA62DfW*5PZ>q@XCRE`C3jGG&0z?f`9nA!;#Cq4uU@ zVSsgjE^RIj+L%csnqpjL^U#lVi3g8g4T+liLapdKi%K)PVRcC%W|#q3CI{cAs1MC9 z9S`2wg~*KKk0}9Llvw;$^CG?(osPEebhjQj0a$w>;$bKwAW5atvCdCBo zt>f$4xYG*oPf6mBqhw!rH)by)wGlB?TVjq`O#+RY3V0IaS7_6nD3iMk-~C-?ulVu^ z|5I|?U^4weQbvKcGM%DkY?~>E4~B4c}l^XCqJQOT z%J0s?R!_=*=K&sG4gK#%3!AonA}ahFh^h|uARV@*GqvYkkmrGu{uWELgewNk-0YRa zEDUg2ZZ8_;(E)L}p-9e|gep(>WSPg0QB$8Mh&O%`!|ve`LH;=0d}TW=^vet^&%Ffq zsRXgzM!RAVjkpo z7$u;KLb}fL5T}>}{rnW%A*4(;J@3e1bB@<&*}yoWD+P`>)gR09*WjoNpzoF+8peF7 zCb||8x$J0S59pqDVqGx4gZ1CyLQrs zFW{$GhDO)L<81$bfhTvsQqKfDfMbncTO`hu<>t|tars!?a2Zv#G`K6dmxziZBeJ^A z#HHar>ybl4<^vEPvv%oQSTRxvW87;|lAGhDM@ zqzH1<3WJlVHvbQinWs|mW&z*5?YCm-D6 z`8I^joquSh;?1aF@j)!@-VRMae1wrH=OU{oFCcRbywIxl)D-p@*1r?3eAWS(j1!S1 zEe-@+7#piMMleFyd#Z|NX=*Hq?({HZ>>Gjp3Hs~jpeh?kE3lUAILp91A;NYI4>UJ|##yvXBM1Up-G8Q$RKu0z;2XL))wB4m) zdfuz+`sBSqn45V6u*hByr8jw+gnvn07ax{kB2ZMP?lk7p%*g64Uy${P{b-pw&%kT2 zMe_F(01tXjw0{iv^6_!%G>IRsPP$2IX)^IJuJe+stRY(=8L_#Ya7%~}?s9aR^Zs_> zNWOg-Sw3-)!Ryg?RfX9ZqRtFP&0Wup-_!Ure;&1CYt2Cfa)=scy+jQY`_zFV+q2 z@dT_n`ka3H7%wl4ZPLpIegmuy`u7LBq0cx-nbmKI!lVZ8#b7wg*C`v%?Ph`(*i&9Y z4H?;G(lAE#361g+Npvl%akV0f=)fPl@S2^w@o_(z+~F_PjUS6@r}oD2tD3@+*F2*! zcK=}QV3z3aOrfGKJ&;91BY6{ee1@De76+R*_~n%S5>^y75cTXjn$~3y(Pk7)CR1<6WFZ=4>rV38H3urnPLrxHx3sGLjeaWM-^5HV zpx3`G3)O7Sr|IUeiMMB3kR&#N*ag*)qV}C>>*Jod_K_E9%It~!j{Rb?e-{x;w!1+F zzSX?;b?m|)k7jXu&qdrQ8+d=iiB|Q9DCI#=Yeg${52|tbgL)btevWBLoQU`^KOxz; zimJu=nA;mP*&lWwt!FJZZXZFV5>F(Zb_9vc))15AdRS$#MkDXK9<`n=AaaZ8NSW}5 zBtL0Snw2$>SJR0~Cy${r%|ggm+=k?R?ZLk}4(kTLpu)G+TCJN;WF!Ru!or$a_ z{tPZyxdVFm764LARCanHZQ&N_VWJ1=CibJ1_i~^74U$PH~3*VI(q6f*NsXD$RTGk6u^fK#L}=B*v7GauOjP)<22~^_(fR@i@{Hf;T|sY87e!-Hm~?i zE&X>>>FF@i)UAm&*g6=>b4svc<3V)KN$!@xUj=-oIo{Pjgb{Q0lZ-W~u%DIEYGqTT z^^GU4)^$nPa?@k@tf1Xl(BDHOzs5>()KITXKT6Vuq8_j#j1YG#6 zydd!Jhd@61MP1YPq8Z{(AE?4L0-MYwv|Pm@TK6pM!E~p&L>440ii2zi76~4~Ii}uC znp(n%<>XzElLdK+kXx_$_JeZrAHqGzh5Wiyqz?NJXFFTbrerUgcXg0nVoD_T7UuJ{ zS~nECJ6Nx)&ci{kK@&219@Ygv#;2#1_%pLF5&JtiC^=Ed?AnpQ77TtvWb<~T0Zay# zan693^8&j5O|eAqjd)c@*(2HKGE%$hFZ7`$L^S7L5@j`+$UK_>(+C5P(hIEMi~)V{ z0^HPM#Kvy_!t9cc3qc4L$d)JzazqJls)Ta6A>Wio@tO+s?yl2!d$f2TBTz&OcsAn~= zv9fLo*|aY(sI{LOsX-$vgA8S-M^=Mi1P#^9ti!>s_mNwt4bzh!D9LG$&jDg55zQ`8 zV(F!wxJuFh*^AqeI97!qR$JY6-X(2aEpGaHhp=^v$fKEp#rB&gknwwdyDhsJxv+8X zhMCR9AMldvF^oFaf_5GrW0-WefKeE>qc(q>sAvrCxd!sk&P*iQrV8{jrYX!l`mbJF zzaRLd8dz)fNcXlo`95K0uMnJnmvYnPw){=I$bUj(f6h0bvYZKbh3K z4wO{CoeG&vr}5z2zj}3MD}Ekg#|+>MB5Z^WO6^TakC6*BB6XY3YyxHXEF{0@r@Lnq zr;=uq3sT*356W-9L3$+nfL<1yMr0$>A-AFt3%D>xq0hNCeI58Jb?}TzaZAE-%vyRQ zNp5$Veybiuo=?^XD~?lX;%`zExt~lE{0Mrvf1FD4=?`X$TS!X1fFy@L!YvyOv?6+_ zzQFzqWIZ*abpOftgtteuF%tf6`bq3&C{e-ZI2`n$#^1Q7KjQhG#!YpZL>LbF@wvX_ z;h6y{#$q`2-q)zt z_napM)mG4hXOctc18d@}M$%A192ag}QXQ2*t9hTX#KMwU+AchhTNI93_C!LqlmvUm zPNJjD_>tVL`DE3DiD4SWT&8)&zl0n0hA#V6Yfy69VacL_IPm+@`PznySbjx>j$H4? zSO%0}*^BXv*xpF*Y zXJli+{D(;BZDweC)F0MT8!wbft1cCR?=}$0ewiR6>>;tx9ziCKJ8dn=ChP`R$duej zt~{Ex+kLGd>?Q0SU7&Xd{edm<`qs;0dF8az1D5l3xQnWoCyk&Zt!Tcue z@@Cmq?rQT3c`3I$Cb^EgGRB`*ZjWj|Bx0dt98R$@kXvCKwYXsb=t`a=PFOQ;Y`272 zy7V}^w)@ycEp>+p`Dvt=j;& z!Yi7wqlo@k7&40+mjmzSdd!!%pjxM8wEvgf04pVUgAzaCa-kdg)V)stqnZfk`vY`x zJJ&9ieS_2Q&4J96&9joOWE;#9ZeZbrr9py_UPNGFgQNkMu;t`9B7c7tM|s?$6O(L* z>zY`^&o3ay*34G%oZ`^@u_N`|txKRT8mTlnnE^KX6$!%PQO&zYv}R^^%AWZiwd!zJ z_KZ)~GQTB{nCbUz!?LdL$Lu6m)SB;v`}Q5`awTJ|G&QOe^tOGmr78sR=iY|r^_I5k zGQ6sRFWA0k-(qcO86Bwcr30PpQTnDJ@h_3h$H-l zGN|z;!Wrp{k`p@MIXedVYgb02_jaeHtamJ`=zR_aH)Vmxu@QAWKOS@<*{EVG%qY6k z$?sX-$r({6sXoC`YA9U!j&`lN5M7nj86OkvFlhdqfcK^ZdW^HQeCQp&q8BbyI$=I; z?Eatr^SpnU=9I21+dbsy-n;r=K}&}$Yv`(9)G~ag{AO<)6ybt5-bO)o+4gim z{&hOt1o?d5#||b$zj1z{fDUZ5mUzxWkvJ; z;##ahK~FPKHP4px*EiT|KiGgT_AeQGd|*%$V+lD1ec*bUMsxBv(MMDFY2@|2Fq79E zJM8=sqZ#)L^DSUr*ra4y@O=z;;+McWi$XRQSAx<*oX|x1C^hqCIj)Yf#b%Iy_i5QV zeR=Gk|J5ihuJ_^V1Ux2Si)&G&FCIERT%RWKpjxd6+dp1`n=9_Z9-ly%u-CXXas=!_ zOEGYGB>np(Tg9GG66GZ$et{X0eS))9;`&UQ^&}N(XTQLwB1-~Vg&eAw(sfv;E)NWL zQctYotbk0Ef3TIYC*^UUql_hssC=j!p4;%)iAj7)x$^rc9qI_!zlortCuz#vi#Jpi zP8U7wiZaAWz*i>eQ{N86HC4-z#!q0do8BKZ)tAXy`<@~z-r_X-AU^-@4MF}#e0l#NSO(niKXl)#lSInT2qd6$XE{C2-sAj61Q=u;_p`A5j z0xj#Gl4SW86n)~^pvugc`3!J$O+uJQU>r#%@2*>T!g9Qy)_y+nPzBMJgbb1t#h)3E z2QPV28PM*m#~=6<{Q2 zSxa<^I5NLw86#WljJA~Y5)UXAqurwx_{r8yg-n1aw3X?Jn_I4e4>}r`o3t=rWey&_ zs6fy7CQu=qGov4TrWT2BD7)eqsxDfFlk;1krylJin=l;ooF`D#+k3`>Y_K<3=%Yb)|A}?x2>#YLLBF788SzqOH$Vr}$G#9?n z%HC#VVSbHPZUTM!Dp;?lE)m&xFQn#f3GB4tmcFoOD5>b&&A@e9P4?KhFxtTYp8|!c=rf*&Lt!}=0Kw@hp;;5$5{J;1w6eEQRKeDR`sh%{OR|M#kr11 z7djp{xk9G*_)hqQy$@jY;jr%4Q`N^=UjJnlPH*w+NIZm-h>DT3GDRS8P8%@)M?5{` zyd|V!9-o4{3jBuKNl@Jf;G^4PB?A`>}wUehjsd{l`YXy$*Jfg31OmH{nID1Pn z-$OsNo~#TSi6v9j;CBnd{D^20{-KO*l^?)CK0m3z0diq_LB{DLHS}xdq&3ZdNb&kE z>a-}B>u}GV=<1eZbz&J>{iQf0@6r**vI9bu#}AV8BNaibon{U3dRk)JrKj5vc7YYm zF!jbYX4h5a; z8+pt>PJVhp$vvcQ0Dr@dRVb)q6|poWQ2Vo>mkrGZZzK4Ogiy0O4r8?Z7g#&F43&o@ z(F~aJ5Vd(-8zu-^yg+;^xKCJ-!&{phidKDo&P3KCWDI;RMCJ8n#Mn6AS$gVb91HCZSO8y=lMdM#Gl#JDu7FApbfy!2zhR`|tMGu5|iJDtZBr!`Ztm;Z-7}<&_B-3B=HN43-`S{$>`2GAhmcs zl@(_anS9dXv^YHy@rEJ!p^b1Zm*Vwv`;447FvJkFGzRL--;{|2e%RNOeYehzu~NOI zO+V9U^Xz!6=o(DL+ol>cNp%+u!ynOUDQDzauZLv{F>FpeuRFY1Q{G3`8A0z5zr-&puH2J-#dzr zt(l}(iyd%x?QQkSs-r~be;IuJt4a21A$-noTA?t9JV$>z;W`CO<~o(ybf6pOM;f$a zF5^*O(4f{57nsMn4{G@*qa~-VVet}!;mENn{pkD*$dUpv6^wPeJf<)U8JJ+ zy_b393XmXS9IY~27|!?JMpM6@TP%7DnSSzhs5sR>h{bCZypTb#%k%n17n`bs&iM1SuYv5Lw}iJ#fEmjm{HMP$;Fb({g3@zX z+QAVuY#hcm`*g)adx-U>{7IlQ`b%WfV3vZ=301Sa;o{Bhu&E&(i9!`C$~AUKmwg_4 za6re@2y-;L{6ST5`?2M%Q?Sp3lXB%)@K}FFJY@a9Vua^NgNPjY_1OsLwzv1_ImP(e?2-@bsRNB)K-&GX{2KQ4y z4(Dr}TI0V!Ej~-T7QG4~t1n=w8W53ayD;Y?B|nA3>hK`dW<1ZB^d3%Zo!$lW7${(y!}lpQ(`^YeG3 zqO&~P9EHF_3%@b^+F&m8>RmZT*IJ~wEk%sBx*(w9Cc#shp3{I)F1 zkKp%t1Z&L~nZXPaZEiWT^v7hwUms@ZXT2M@F&4|##A21xG|;VjAwk)1WOr>Hwet5v zy0Bwdu)B&XqVM9gwV?|lRWA+I5?gA!WrBa3o@$`-2>5)b{xNfH>~wnXB#ZApqofms(%x^C%+ zAdc@|+yXfOlcwBZRW8F=W4BV&6kUz9z5K9J1Nh1N&ZNA43F5n~MY4v@s3tm|NOEGS zabp*x%KU@V(QDA?wWmA7i(O3eEri!)5ia<=j8-HiV$b?nsPB>a3#Hj`PX-3#t%}aG zvVZKrF&^1iSw9B7o8xcDU~Fizw2o#ZrnRjRT-&b(&%IeNZ13Ka>_Wv~JBze(&ot*h zsAkDg+FQ+ExZ3Bx`HJ_AL>jCn(#+pDO_T-t#qTN}TnQ;>Nd-e#j9;C-S$W@nFLl)vs9o9zuC0QGP62)u} zQvcS%*~7FSB@en!%g?YXHM0xr#&pFg`7nF=K^Uz{T84DvD)63$1Gd%y53!*55}NMY zM=$?(KGqnw1}CekVGdO&UA^Uziz4MdO?~Euk0(4B)la|ErLE>@!*q0^UC>2`h9PYj znmGL|sTsBy{8KZi+lZ8zD^`s**mZb{*vt2EiA|=5RI?e^dd|TuoD(?im*-Gx^aY>1 zz1tA)=*U*BPle2bBq}`kn>N8LWNC;cZJ&^!l1`h8+}mv*RP=rx-qK@%TDy1#5j+VF z)NFnaa|iDrryo*zo2J4sr-J4AUh|*PoU))yJWpISoI`lUDdb2yUq4g*WW0FT>?oP_ z3@YVcQ`>bIj#a*$p^o?RsmlN5nq9)dM#$Lk%*>|A-2YewXnho`ASb>2%?#y)jtjF_ z7K6w7CG?2hyjjC*R2@DS-scH;)juw3zsp}C%eSvjdEF0Ma3Gyl&pAgtyanpohGT%= zKBN+p1b7t-v@G%sGjUO|foC3yla8O&2gwUui?(xs{}vGA&^?Ih`v6;LGO2EiCAFA4 zJU~^FNBPScN&lQO=}GZdw#ob;Xd$WJz@>NkgL%jm%>Q6k?Hx4o_qLJAodjY<@iQttXpLmI&QgmH8OT~$iM4gzDc1-yfO1>`GchN7 z!;THL>)@vXUK@wT$HrLx(>sdE4L}lM9K6p?)ZGU>8X;mLQX?W2pMjqBE$wu=I6ULS zZED5MAo6aIv6urG;JGr~+{=t=k6BW|10J#%v4aYe+`#`f3^dBusUUA2;g*i_(p1gB zl}&giy8+H`{w`G9_pd>mm5Ri5pr74-1U0YzN_4?R$Ro2eIzG0UW$MS!!Ao28vL_>e zN8upuaXHkxrx25vLTjF8B5yB^i*`)_ZW?+8-8-_+S&&>xrmq^OuWcPqqk33kY0-CF z6(>RExjr~+EM#Ks$tThS1}gEm5BavBgKF=MnZ)a$X&(vC`0Qx8?@f5VrBK5=Ba!na znAP)*wj@T7pt3rc<8q2xZ8}DN?P;3P#2HVg4=-cHpZ<^`UYqq=>)%wn#RqzZ$%x5$ z2zjlYY4oe&Xvn4`qr>EgH#?BpT>KglbzMYFhm-V8^(PU(qLFki`miW?++SKa9yGEp zC$LoxWbr)MMJjedUaAo=$`PAMEKD>{4mIFbriJF`gt9fi1T>}x;Orqc@%`5;he{I9 z!Mx^=SlMe1)HrWx<+CK}ksnC2!bbyl9Yvx@H9gmVoIm5Y0cq{#k{;?Sh5~!=0wIbezSIaIME_ zBo-frxwS&9=ry14xXswXiiMioZ8ZC38nNDcpR|9lU@2o-M>$`m3z{=`V~Y-p@VS{Q zqyE{Ip&#HBMrE5?;hePdE`{tQ zEu58)9_`)Y#aI|23w0w+-5LuRvULV_r=K#d{X#k j4&iV(Amqif+W;HI ziY_QvQBko6P{0OQRpja}+GAWixvCIgLVJ5{2 zC{|9fa*CBlaC($etcqd{6lNRbDAmEOtDsq{i4_}Etm6)V!y%^$yc%5 z?F=M342Qe2U+n(KbXMmggNm@P z=*CB(_PotmZ;#DIfV_k9vTS6)6N3B%mX#_xr9`a$wYng7cpNL z15Rx#K>f=W=a{E~F82V=$^A|`Gaf=tT5sItCcuJ_-blc+Ac8b`plY)k6b0RAd-$?R zbx%ejsjLIr*38F@}s3>5LG>rxrXx*vkO z8z09#Yc29)IeUp@V+I_Xd))cgiDqAC#X`)ScE=JsPf(>zCl323Yt-ZNu-d!_`FGpR z<=#O;<8u6rQJ)E#jz&ior$Xkr{o zOeL8er8sr&;sy1i|J5=xY`|x#p0$}6#~zxhV};)9P^NGKG=JlhwUb{lm0^RBcl&2F zV%M-0&S@L4;QnLin)3=bRMwEXb;-#5>sAyP?|{|4T@iC}2;>-NV3FM)gx5z6R#qE; zYu||mRhMGnt2szlbCGnmGMM=mf+Snq5pSCaH+U|Y#uh(D>1XDH@<;?$Eu4Yb>#IOM z;{j4PxIumQW>REy4STnX;Ni(0NlJ^ks3ralNT+)coghx!Jv51YsdtI~G;20nxuYEC z`OZUGCawz#jocye=Qo_kvZ&Cg1R};GCUKT8$hQv$(Tfm3)k_2t|K2FSPKB1wCMnwXvo>cwfOb%Roy*S-psSzjg`yL^(5 z>OtH~LGq_2Beg>hoV6|y$&5cjQs5J$UlIb{?QNjqy{EAz3S`Os(dtO0B6Hh8qN=t; zr&kX1ZalUQtCk-GuIwlhf0(9Khm0aY27aVE^lBjgiG!8gvl9s}=f%@?L){B!1gfu2 z#saZ7)>X^crB|l0&fM$7Vnu71ZOt~|4bBJCg$lp!Hq|G2*#mu=PbkweF&KQP0u@rAi*RoZj6s^S}j z5%_g+9FrdGjjMt-*mb5xV@W#)^J6?fxac0y>8XvcSq_gn6XsPINf7g<4=FT!1y)C_ zvBEeJZ|OE+%{7*AV1D1QyvKIK)z05gws9PW{eMPe9rHjvM{XV_irTn9HQSI3=-cI- zDfdA9f(8&RJPHnHUW~K|mf+4(OT@L@3*NcMae3r2WYG2$3VX+BMMw5SS<6$xbNNbS z%ttKr=z#M>o+uQ>1;ilN98I`8N2}hKLb^|`_5Wm9sA=d^gB70xnCvyzNoj5)E48a4 zPiO3jwceZ$Ehj69Eu+KoiwlXX`%pY-lRkhAJ27Em$qjo+TQQovk{Ovkss(c09*Z3WcMHq|_L*ueX!9l$A)*`za|jssUTwUNJ9i zH@IwlqTwE!PfTy_L762dAV`*vofVIOF}VxsJBcvwzqU}R=oXf)l7l$)5aB-2L5kCI zt=jq;?ryDa8u)ohiS-0W{rfaT#0PVTIl+?0q<6MYFuTH zKo(koB|Xv*J9z=MPkXX*jFTq+eihx929UpZKUCfbByvF&65CA!W7`zwvOib5e?ST= z&h

h(sC#4$M%mT7#Y~pT@Kd{R{ZAw}^eZ9W&eiBaW?8JAf%N^uX`mRWZ*?)c(SC1F^(=CoVLK#$|2AzVb9` z!`D4gw@EKtWatj6yF)+{l#fh3%d~+X&Lc%`H)_~w3HA2QuxE*dhS}f^6%QYP)c6XR ztz5#?@#nFstF?Gg#lNWKYC9x3oI$k*yV;E8Q#DikCVCI=cRom))e%@S;X%NSk6sQR z_MBo}^>4v8_cRRf9>`knZpAjb*{I0q6&5~GVs&*B=tU!Oki#hOmH#=RR=Edkl-G#r z{46prq?qZH%|&91)ns<{W6jGo`iaR&@v_>gm72|8a<#{5hBALl_Ovg4wKg(#O%^LD z@J81=%vj03G6-7bO*mYCqznFtW$D}SgUzvWRq9T{i+V~JvpGo8`5M~@eh~HD{Y0O; z7mauDbSyNCCeP*zrpW6mi1n{E$Tp1!JmXJD|MzUHnm!d>*f*0+_y0ineRqI3?X$L? z4Af@Geh_l5F-oc^Ci>LFG`ZoauSEq5Z18WCC|pBL~UF%!#{%) z2ChmYlsWh`sr=LhU0>Ct*{nD)C~Q9xHE2=R{ZCMJHA5?Qu!fH@J16mUt~9pSpv1ff zsGr?AtvT}-YK(ROvvCn5J*^n&j5Be5^4LgKQXO*PCKAij{3$ZSU--})Tc%p$xIkBY zjL56Rh;R5A313|!w#4hUK38i6|%wlX<+%F80_rMgNh6w zMTRtgt8&8GX{VqudN>lh$CLcq0OGtCgf%^lm`R=}?n*a~a}t1kai*xdW+&D&&w#y@ zh88{U@D~SeCRqlj;BdrzZINLOxCR%3l)E0AAAg3smqkL)9UhD>I23j55MpD_a<`0{ zF6d^K6X%MfFutEz!e3ugv}1a#WrF@Gq`BulB-@*Z8=o{{ks<+j9;GM{72wKCHt7AS zJ}Zi79Q~E`R7Cov2>3p85GP89!p25u%?}(Q!utn_BxgR6wkD(4+M9mjE6b5Uyc-YF zZGqqKW%fLV?yqg+NbMBA&g(6>R#Gw9Nx7KDkKV+YYY&RnB{=T$4`2m{=pML+*k`k` zY>W+2IxMEXBF<%C;2#?47E>Ig6oB>o0k|t;56bDmA;z2)E@{UCf_Rlhn44CJ#Vc)4 z{e|V^WkWZkH<^XI6H@V^A%jC@1sAXZM}bH6vSQhaoA`j>e60F*3|ZCC#r(EUkmREOW33TNx(c=Qlh2yxmiL z+CsAA@gP#z!IktDjkQf4$`-XEV~(e*t?^Qjgbcu}%%0Bw(-~61ZX|BMfrNJ!Y5DD2 z;Qcu~MK-d7#5nDCR=F@ldh#pDr1q>=_9op6<3Lm}o^(#x7OxU?V8N?6ZC%K7obh4; zd;8AIh@4Dsyu7@H<*)YxiNqhvN)3P+(+sXPVwi3AA#%&;P_49e1ToD_fUG`AL^-z* zrv;5;=dVpB^;!=h&_ET5AyBEqw$9W z{IsG0YmuA@#d4hxbt@Q>_ceThE^Q>rw4V>1hJOI_={&Bl+z9^#HY`&O>J3Fk#UzKZ z!1AbDc&1g+1hu3NsZ0AKeSwTL%8QV?{TcS=$Pjn!QH}Ye%Sg7q37glQ#bwUxu~VN? zr{_ORG^VN&B3>xL%}*wv>~V|Hlf17hoeD36Hmd~q!2adhh6~N$t^5yE#T$s|XBVj6 zF2}Oq0jRU!AmTC4kVVZWVqUr*B(YX7c2+C+v7jn*8{X(Ko74@y z1Kv%R#8!EjME+RFum!HfTyzf0Jbkf+r5|KhhoQ{M4M^@@kJJT~;BB{(+QH-*#{)lm zkC?hD!tBs_q)Ilxs=y0KCwhTHKWD2FSIR)Sz!*h-516WMbpu&iD2@*hF=~UO#Dy40 zq~Qvz-ng7dyw5^y#WHNWumnqe2O}O=go3^c2$Qx0>J0Q~P|uf2+x#sh2f_+MV#~p>G)PiW-3Hlf}lI z%WhY0ZC0BLY>-u+3z3KAg8iP7AfY!w?2T%Cbz-45dsG;WsmY+=niA3NPsrSGJjuKJ zlC)G8AoaKua$sr*W72P#AGh5INacn3vcF^T`Vng#%CBk(&psJFb6+5g$?2_~(ALB# z(v~uk_LHQpawUjMKN4H_YKU;z&FE@)ui@N^Kx_XZD_dcS`Jtic66s z{q1F}lf~nz?Xwuc6BQ|HEeMlOJ^+E_G?9`_xKq|1z`tHV^TKH28Z{gZI`YSK;e`}% zc3BPLim}97HXVK(NLWxkszz&TH<`vsce>|p6IrW>e0$LpzU`I0dd~2r+PXdy5TmRo zakGl><3juRN0*|4nd5P|VbLdSUNaumw%)=SsiUwYaxPfxvqvk#=4&GOB4yiER62kdPXpek(`v zKHDcHj8zZ4_%XDFgtb! zvG&B6U-yE@d=4S+RAZD8vR~`WTmqSo6%M@q7+v&IXgbsLh~WMsY$jYauj^YKQ76qu zUgOfoH?RH&{TcqnKfRzQYL1(Rk4k1}Id%h)a6=T69UO-T2ENqVa;BlYkvnnMbwiMh zN`xw8)8GL=r_k^wo-+}Auhc|p3sP(oSh}g0DNPNVLav+C^t*j1AzgJ?L z*3S@Ds2yHtxDID-l@axeR$^{E2`>FrJY3w=48@Wd)mqbS?9m_n!?{1(L)A6zr1Ia* zm}jto^j)#aPs;QJ-jnyp)#WM3c1$G&PQ$c?M#W%|b_5ib)iAMT1^dY=U89T2LUrpJ zpnb>)hIQ$twtv{A(r5yRYm(%h+BxK`{~wV8`{Cs6HBO?Fr47l6nu46{YKSUwHePRQ zRR26lrK2gitq`Cx~gER7M*MGnGs9;9nXEKzSB zN2Je>kqR?QqUvq{CNvyWqY#RFVMt^7I$+GCbe|^Bu{~Dh#^9W^02H_R6%l1ukjvEz z!@W}(q^YYP!CKnlt))mLy%~X-5NC17$}^g+QJ1vpU$a2+)&)*}tXE|>RUujMUr4pP z4a@o(AtU~~$wHnNQOo-g^Ha-k+=-t=eRU0z%BTG1sEJdlBQk4tikstpS1lD$5;&Tn0|1OG(4Fzi{3V0gclP$lc^5e%POy+6(VkgKjhS+9pGda&cd#w)7uUDx0g|xe1qzTo~bGqo0Um z3ylzSV~W~h*bZon8v#3n>S-y?9vaCb4=|AWGNL0>(Z+qlgrZfOG^(NpV1x|z#xA4#(Dc#iTNf_==PwI8G+NdAps!pFeB!sKX8M$2w4O-5b@_G zNG$9^+`&DOjuGIWwnni6o)KJsDzKLC=>z(QI@CNR8OsIRh}ER0SX>NX6MP0+JdY-l zUnenl;Vl%OF+O4M!s6vBQPnuH({9pSGJf9XUgn)7cbytoyZxv`J9HXT9C_9A3k{+GtZosjhE zhd5)Amd#xEgXY@B(66s2tCsYEe=Ex48*1B8;`^~k#T|pj40T>+(fSNWUv$;(I%er3 z?2bV%hP7#BbLQghGhQrk6$D_Z$L`UKKNFu*Y-r7xLgKBp4N zPQ_8b^MuIi2(IP!z~wC)sC`KBxUp}TO9vhO*TfbEX057()UT(sO|RE46?lxp;<>j- z;>T!f^JIG@bF0L4eGtU!YJDe;Di5jUSfIRp4mi5cnd#z)1jKEdg)1@^Bi+FDn(N|~ zjCb*R)G+uWQsIk8;-Mq8t)GdLt_*cCx3Mz92C4hZC-o)&Ax^*vR=70`W$XVz0is{g zMMfp4JhlOrJuRMO(e4Ob;Tj|tyre$R9Tr@4o238L6F=K#G+8G)k6G?=NcW!s@`v%D zx_KB(gPYLD*cRqeP5$_DzuCyG>{F1s^WOh!c4=)QVT%7i`emJnz0`;~TO32eo$TeG zR*w{`W=|!2!2r-#Inw=kjSOm4gMr6>8uzY|iiDX+q1#PXb{F}mddRSAl?a9OR!n8~ z&ins&C4PMP#kdEl?Z-lP4<2%^`4gpwdR|ZNH?NH|2P9!B?aMy7OtTB*j zvo38Iv+47XiRW$`MvGEdH1)KTZ`j%^LG>P)v?jYfqtLJqc5x3SJj+hPZ~q7D+Flds zntu50z(Tgjumth#bP(5&h3mJLBe9DW&M_{dxtTrrV%_b)GiXAM_b=d<`H86U^+xF& z*X;{3U$ICXn}fPM-yrdAZ_>lKnK4_w894gSi2H{$UtHhcnGnw>zH@8T*GhQiX^`VgY3-#P{9jov)hQdasi!VxvN~nM~z!E zKvk-O%wYqTWk@I&G_M~Vs5)ph`(3GDx?lf^!VW*gFYQIxXAI#`{*NcGz|t??Sn8F6 zuMEs#^&^e&gPL1D)E|M~WCHO{Eh7@PhJ5vy62@Ox40^jCh(9C;%iMPok#Q;rcnu`) z&$-xYv^Q2yk3jXpS7<=me0eP=A2;l-BJU%ELL2&2B7^ooEPpcr|Bic`#?{T2#dso} zy8(z~5+r-*Pwspf<qPK{&BNZYTE;w?tB6!9sdjq zR9;4ldkpZFw6s$0u`fgxoMh!UI7A&WmG(1|v6Qo!sESS!W>0VE3>|`G2g0xgGapIB z6N!%7jk+!#B)pK5*wohpsTN!Z|K1%6u}eJ7*Dul9igK2s-b7tm1MceP09EvU&=(sZ zm#DQw`d0%PMEyoY)>@^kbO7dU+k-Qo)Y3e0-weIkMJ)T|1eI?Ex7fHPai* zH`M&*(|}n#h^X(+#!jWTac2df49WxWUh%1qE5Vto0J1u~k$7_k671&Ey*kQm>Xyi$ zmew7(B5VPOi`SEo{M%Yx>JgH@^%rZS+(mf{$M8niH6*&_I*nibfq#8F5~uu4nk^h~ zEt3!R^1H<1@`Z3_%yleXm`gODtrF!UoKU_~{J2Sq_K`_HQ}8w6rs)~sYN9S)N%xW+ zGTnEORLbr#iA^NRJ!%2 z+>_dkjfsYG>k3$R6ltwiF9I1S93(So?kro3pH{32)VquV>G?bV=ku+tdB9hUAjOW8 z7*Sdgm=Ecsb2ZPc&SO8lo}0mZOrU-2ji`KJI*Pd5Lo47-08!yhtjqO;hfXd5S-fY+ zJgt_bTc#tu(Jdq$ydIrMa9n9s*Fg+K4oF~N1bjmqu-{dn;n!854Bb2&sC^&Q{p=si zwd=-D$WYguYU=N5@PW<|thfFUbiVnI?x|v=Xp0B$g7I4Q=NVY#n}92qrI6aH zJxKE8Cg!K@Ax_EtX>X_!@eHouLc=H;E7xOHa1O9Fw5NQ^3`LjwX^ITj5pjDHG6?;} zn7MpKDlb#Y%YDM?oi!xMAq{wo>af18H&)qAC$&lisB#VIvyJh{uiPo`j`%TK`wb9U z4?RQeG?hqmj)K`57B-Ia^K-V_i&VDNNV;VzS(Q*a-E(muBlVky>+JO;)-y?K9{iNX z&N3q0_5n#>{vc(<3R%6EqddMZytjQYH9tO{_G_ZBWKj$;tQZp^wH<=lgZ4x{;2nq( zhteKaEcSkOUMtU?OyV4VAzPUiRMsDntMrtXmv#j2Nsme57c`N9xgzbVy}JT4!dKx+ zlK{$BL_v=yQ>SM1a3|_Bvq{qC)1az&j2R;aY`Gs1Pq>rOxB3Iupcsh@9%8mthN~Bi z)TlEl=Tl(^&h2{K*k=`%hKFO{oN7hO32V|_I*W3IQP83w(26-2tE+8y65DuBagLC zH9e5P{wfmMt07A~nC=Gxtyk<>RjUiOQEmk%-EiF4u^w|PSE4|lE#yCkBQjaVVZ_NT zpc;psq|0tE)n%B&zGa`h6^dohUB3;h3ad!x-UhJIRV>S_wIFey(}>F72soxEHGyZ2 z;ClO|AQiPENp(An_M6Qloj6FY**h-p>2+A4bU1{9PMshPoYJU4Q<9L>Z!fhuFPB0i zI~Xu&p%3F0MK*02rl}ZehijYOP`=AF%G~h)=yx1JroLRFUVa&=H$FzrxfVFzWeUzR z2ms4%!2vmz8~@+;%$|}+{ejmEldae{@k)Qv?V>{p?mdvO1Bc7@+{bF=PTZ_mjMBfG zK;Ab1ooFDg)V}r44o^YHk8BZi#T-X+{dVY<@d>};0_L9zCT0u6K_~}0cYlyNaToEc zy5X?qJ73`B7^718^+J38u3Abt6C_`}4$CXXp*ikaHr?_TUbVv3p4uC^W|F5dt-c0% zXQPo>T0G9NtAZ}s3c{;A3`0y-1s2ZWOq3^_gYBuIZ2@&w~?B@CUKPW!zEidK+@ zPY03J7~1=b!us4tw8j`sDtF8S>7uj9Yl^GJdh0vP&X|qAwZ0yvnm&Q(+?66-`=hkO%vU{mx-781r9qa+F$x|^`5JXhp zH=`cA{=O9XKiYe?d_E~m*rwtIiP*l)M-&)=_1_G!YTRie|GJl?%}UX1`j{@}9;?KeFC69@-f#*qQ|^U^ zxMXNA31s<`yrJxTI^+y^Af48C=69&&Stm}!53xGQmZ<%XAlt=sdha<-O3|+k zR0TgmB90X0ZR(9X6Ti(fYq!DPq9$OQ!ilt`Cn@)vg7P?bu`)Z3*vP)&fNjjz#Ad zlPeQnYAy}grRf}!jB`rofX%mmw5;h+!i;SuAMK_n)OP~#BJPS2UC$ok&VnJ>TXCJ{ zn=M40?|?65u>bEhHS#W?6(=`2m*+U3?O#S(nRz`Sb=}(_aDEa|7ueve`&n4Z+ldp7 z7RAS<1fl)6#<6Pei>S3@uZ+t(3zD+FxZ_G3BPD@E(z=>NKF^UopB$i76q^$rZ(F3h={AWfyUOaaZWg}2~sN~pG5un64@TV|a%x7YvsU5aEc zsQCK=eODGTc?OWpZA7)X>xsC{0p&01htxCcNOwgdu?ZI91HErVsg9jP^;?%AnaM9a z@_VDEtCaSOlC7{a=LC%HIW@W=qyhcYaL776Z4l(&_QBb9gFxi64hG-dqw3H5cYbZ@ zdc>xVAQ9@+5lM6Jk#$Cy!-&QN8^H-;#s44?+E1mvyqM(0nV==j8y%f2 zvyk-WKxjz|B=ze`C>Lf&{o_?Po8ox1>vi9xTcSv2-p$x~hP@-=FZO>kw(?Q|8MNjL zE{lCef2RlL`&6R_?_A*T*@>hd`Vgx#7f6Qxdg!?B;V+M`q<>GsPknAPYRgpWPu3Cr zwovoo=B1-~r{%7RoR z%ifjf+Xf@XXa#Cm_lR)vtfZ2eV+i~2b3(PBLaXFfVsYpiTnu708O0Y-V&N9-(^up@ z`i+ssVB0ITdeJu0I{7IRw)tbISQm@O&zv_&QhW_0J!qdxcLZvSiy-S*0LYa!sLS*e zT8(yw@Cy`F>$jQIGXH@=)l{&e`J#Gl3U1cA5&j4nL|5~)uDVOuyx?!*%9T@X$Hw1% zLoCT(MB>hghWuse1W=QJJ7OWd)0?$+VrSrciF8;!RP-OOf3Z6(QKPo*(uyjyJaWU0$SFQ!pU z>l)=TY^zfP*Zm-7T+U)y73Gl3HlXZ+B}6r-lZc`oBbic7Yu8IeAMAyrI3pk4QEvP`^})6%rzRCb!`hjkqr*XdT8g3*{`Bvk^^<9+J&9H=5-LND z29B|9J589VZmM&1LA-0Rh!eb*>O2LK!|Idskok~IERyMQMnxo&6m3Av z--}VBYYB4g-K>!hT|=zs{!kt52Ug2tA<4oHo1Y4exw8G4(&*7Wrl++98?-9`4u5&h zDr!oJReLLnpO<9E9;7`s>PHft{E+#=YSL}o4~epCu-f=8Qm?7QnXeMD{?mK7yU=uk zP4Es{fA=BXZIMvM`1#V>5!Cq-(6#$uS!GASZ_NPJ*3ewv9-D1^hI>{uXv*#!0@bRQ zkTcU1g~Xj;f_Qhx$ll^O^X)%SMrkD~yE+qRcAq8cFC%e-tAqr;S^)#BHv7k=#9%$; zqtnyI5NT8c9uzzPtNVpeP1HeH_}wV*w^pa2&qI(Yu2Wn1fYc{v(flO-q&m!3q*_bX{{mxpHFJTOscaOrnlkDHqsL1yVpBJwvdOzUfMTsse5yfPmt^A_Md6AltJ#iEsEEm~>CBs3^f4lUPRqV^0uIE_=>M9izY zqDSww*2Fl;0(Vxs%&9=-p~&mjJY zYrt#u#XTOJ*YMkNQIgds;FoqIm%^41UGOm^xORz1mdnYfQN6XDlPE8KJrqg3jc}LI z9;E!ai!^_*rSDb@z9wrE)k&j>jomDwcBq2HUh%ZweHVS2GfN|Se~)l;Yl&?upXTvC zaJzpBYfjg~**yq^Pb|ns4@ZV+n?x9{C6Yf=f#l^CQgOor;!Y2Xm1#>bKlL$@UrY2K zn{srdxcDvdG&wthyKNDUKecqYk~5qMTxLbMRqw$`--aqK{Y1sylw5csOVnh0xkGob z6X`ek62oux!(nspXqjc@m?xiwR@(lUo>qNOqYq|ikN!Cde3S&D&##c@&e>w0)V?A~ znjWN&XyusWgaVs-fNRuuTATKPk(n#B(x#IjWnR)cg6bc2VK^)L66J17i0bZJ7<;XV zF@JpoUcMAXE4R@-3!= zx?m=aBiC^0HBlGPYxPILlA|F!+oLnmsw8RC5hnACV*QP`=#_O>8^=!z1RK#-Pf$Jea ztA*+8#ODo~ECY<12UdZ;#*lPRzJLbyPxk4Yp+MdxhqYqHkJbUZ5x;dSW=to5GEqyE zGwRtg+vP;PC6L-kG?GmUqVsJGg6Jt&LPk(6`CE_-O2sLMCIqc`x<;EhxN2UlOCO>V zim}`?jp~~Pr0!OWVd9i~5NB3yr&=%r*WP#b zikUP6yoaNhZe#Z^kruPKd6gBp#;vxNmyQEhjtVuFi16li4a@&>S>c_w32hV>YEmWa zeA^}^Q3bEV>_rEZX!U{ay=5BJ-54S@UO=Rh?;sTm$&-tCz9e`d^?A{#`n8bxt>GGR z)^T}bbS!4Z*icR6XW-k_(RHyW=b!#L!J_O6X40yVRk;m5E}%WBLlbe@*nEvwOG!fC zwo{sJ!8*k2nz5ixz6$FU9gxxg96K}IU&9>dpt7PwqMkArD(0;xRtAHyP4EyJKiolR z|AY2+G-PwhxTyas_m8Ks2*S@ajklhZOgQ3W;;j{0CjY-es-s|W)mD2pvriNj4!%H= zW^Eyby{E9^_uon0s+o8hoRZpzwqaKh4}`1AKu~E6pZgq`FUjnUq>YIn=`#ae*|dgL ze|ZWmTLoZ#>Mz9X`AHO>)|wXgL|V&_$MPL%I9s&}lt14gMa_C-b-;?wTN}7~nrWB^ zqlrLx2_0Sam{ASXf__dE$!KSZp!N*rFASkRt__%rb|iyqOLe{`xV!2IWlRjr1nCq|MtUfB>R$T*IcL$^on}XSxD|8*(h_}cTkMCRK zSX`MmO=orCMYb zEqD<&Ze9c@$o|hS0nF3aL}dAs_Cmg4^?-?pW4RLKrBplkp%d9~>WNwEGf;O9BHVQY ziBqc&me$l$Q*ph}miP=>G`(TfcErfq zDc{jVOwa7V=DDe8{r4vVUa6EwW#-8A&~zq97)1TD2kimY(_X+m*UV#|$XkwE7~}Gi z2%^^!nPD1oc5lQEpEpVm&&m`^4;{zmioWQRe`b(m%V(-#okY2+c|>rnC-xRt{jRO> z_&Kv!$Ai|E32^tS&Cl``ZlFgb`tfG6q24z%Vqczqw0$4WhciW@d+t} zS3M4A-U#XY^WFX(bAtBd+K@tN#i+0BryA!RbjW<4JZJ!m;+hX*?q8r)Jz9+W9lJNF z^383!)_uq)v}^c#&AiD{_Y6G3bg{;uHIJ@y4RUh7L5>AhYI()?iPTSm0#jND+jlWm z2gQ*a=RezbTTTXj`&+^(wV@pNI?U(N^HCS3ksP}~BF-C6%;ZW#-M(;Q~eE+uY*GH2Ne4^XqdelkubUv zy?rT~R(5nK<~5z8b{#_+j*Z6L!NEk$9v>@zJseAJUID|!c9HrjZ^#RZLQcUi>2G%@ zf|3$YZf*r#a4@M4IZog26_R#ZK-Z-)NW~gJI@ceIBNqSW&k$D`56liV%4t*JH(Lg4 zO8eC^mCq24xp{W}^?QdEyyPEPox2e?|51)zQ#WAMzD$T4eUDK=U+B6X2g&|lwB=X* zFgJK62s*Ble>TsY@S9WD37r{HYCOueG{gFl9$2>i1d$Ixr0;?=tZdRGQn7an?yPdd z8Ek{SvkFU8Ig$3-RDU9#_bJ3(RRHYzNMzAujdf91iMw%$)kwd6tVpyA zLQlnMRhAFPy$c+Bc0@4fY5=Rhzd>0~FgiAWR(yBy1GM$jFpa?VGny-j)vAXDV~e{y zaucU&?>y3~%|`hniE=Qgbc3;TlNYTUULj?1BCDc(yzJBiv_>e#wZpcs9GwwLsqxb= zsTQO*IEoA^wnU~gw;|=UN!XyG7HZwc;qsHOfgkLLRMwO)_O-%nngvKd7?2<@7Zmuj zi1N14ZaK$>OVxRc;BCN(u`;`Z@GN`(WW&QVHR_}tRIk>K=Je^ScMq3MtteRPsZZVJz!3aMDn)%xU2LBW@S6@*{;F99lthc(@Xnd<+(+_ zZ3(5rKQuPvl7GII%47*@{0CQWk;q%SI^6Kk)mW zkh$$4G{4{gvu7(Qe|Za3oObMUAcbW^KOpa_E^ty}Fet8p+T2hu(DA5$^q|_u=b&E_ zggE9=p`Q$AYAo)4#h(xC^DTI_&}zb#-s(m>vRvwQ8$@m&#<}iyQZ#yxrDxM}2;;dI zi9bICQE4Y`squw+*F+e4<#yz_#K7fW@5!Arg~pKoDF<6G5ReA(cf@`@25(baSZk^? zQmcj#dY%*Obf0nYDX~UUQ-RHvSEDt<2Tyy)`4-sdO3%FbXQK4w>&fcG294UQ6V$kp z^vinRZbY{^c{rRV%u-4hVoAfldr4lFEB5Emwm*b1Tu-}6sYF*y}mg#QDXVkPkz zXEy9uaTyym>$#Sl@rDE`s!-QQ9td0uXfJmM@h+VRnS)n=jzjlSa2Tj{gNg6cDL$rx z+xYdc88Mt(jWlcbVaynACE=vSugq^Gc42x$c3LtKTa5te_f9$&3=t_+pkDMI#*?dK zBx}bLwW0}H-X(%6JObI)>?RV`S-O5cxSQ&e<-z+v5b}d+8BXHFbMuiw@Kj)$Oz_>r zg+iWw0rl%qSd`aAbDmVX5BuQE=y@>K>#~#T+#bS&aj3R^4r<)fe}&$zorJx245U1m zOPu$jsdH>h)l$6)uHV+8vFf+P$|mhknipjuTcr@B6a288_LS!Mn8>95^vv(F4w9h& z!m~`Eny@e&)HDIbo`4WGz8zq+2F5_c z)!9)}-*Ge#u_u}A79teC1!j~8p z9%|LM4KQ0jnjF4;iIsA**OwdfvNa9{Mkwp4ST= zO*Yx>Sgt(r9WkjRiL@W>4=4XaB*l|3qr8WhG5?bMX`Zx(s3$ye0h&DXoUFUb8Z$n# zu#@Z_Y?dM>Td^D@zO=U=zmsZxlR%l`h|6D2A@b5IR;st_kfdZVdLw=vpx$>H2Tn`^ zb@(YT$TbE}H+zMuH;1qlzc61p8n&MNZ-Lt39J1RqH>p!5Kw_IWL|{LF)`7igPEkUb z`cl$)DUZlPKVk0uuSjk;9u%_UL?Si@bIWU}Ww8oW_PxN|XEg+let?44=&a4$;}Lt{ zCu&~11FLiqD6VQq#O2~AY*%X{5z-DMdvP*qZr~Et&R&ouqVlInl4rfRE z$qT{a%uAx$=t)*SILN4bY(h-^ZL0TaN7+yf-5Dix9pbQ>a|N!}-dw8wd=VP%zeeI< zH&Xpdp0NDMN{xLeRfcYpA?woxxcSC?qG%fd{N%|H_#g`474oqqWr*odKNwv z{glibUltSyjA<>HyU!*$cb}ufk}Ez!-dd24{^(uM`cdJ;ubI-c`YE$h)Qcam_hhGbrdL-vne zho^O{)rteVam%bgEH<|cc-qHCTT#CqZ@<4SSTD*418&amn1ug6`;cK%O>x(2&k(yBV3QEgq<-5cTs(&pko&jC5PfHF{bC<=aNCHVrV{f z3w2Ix#@4)K$SAG=p1ddY@HHG0(0$0)nL8M@nkby#gkEInxfv{7?uL^h)bNP;9M_#O z6sNb2M)lX$0Lwcm*n0QaQns}X`Wu7|-i70PjMsMN z>X1a(h&y5~YV+B9#N5(=a{M7Eedc%MqK_u|A}N|;nWkX-s(c6Gn)4q%Tw(GQV(^O)_>%dO>3C(oyT|ZR(M) zi=qYYhf(aQ<)m9W5-G)psBzbD{PSU1oY9BjbjaTke#Rvma5uLYS#nN-|E8Iqjky=j z-;#ve|FV!s1ds6o)e}~5riIMNcXkw;Tt&@mifL*?ick4*pOwEJ)v zXh6)@oj_dqL9D(1-c^#KLsg>_P<`2Zm=|BB`9mp{rKgb2G!7MBJx5KGZLl!!4d#ma zs#CUf`*L+gU}bK9QaUS>8VXa1RZ1G=_H|cu-9L-u?Ge;8(GvV#4zSh+!k%hIRH287 z_{cPDcF>KK%_&Dg(q`}3-^?68`(0#z%o`+N*B4WF>mrgqZWhWJw-qT*chGIeG6QCP zFN{_MPo%3mFE596PmRVM!lz|xBgA4gWuJXV7lWi0^5_`S$;`n~wytVHnIWx`a!|qV zjSUJxt5TnoQh=n`SiZY z1U7tiu%owBOqGKgzz36qvj%Pm;T=6or2>{H#aw*)XG8$o&cU_b5mfPQ2~|Wsf;rd+ z95(Z#gR)MKcD%by56?W~mvG@K$z1gIzZyh!trkh#Rv^YgN`yqGw+Er7dOuP6m5;r8 z3`zgsh=jAhm|B%gpyM8F^jm4~Kh|f#I3{*#GU-VF3)P5rgAdacb4T!TqiXQ-ESo~| zQ9nX1?s!BxBUU38e7S-Hi%4gyG4WM6v6k&T)RlV@tJ?mh568BLB@BBEbzL;J3SCL9 z%3JW{L}ppXh5|Uhi?Psu9=V&lS{>WuKuwpeMs>Ts;<-cbu=z>Ds62E6xguCHMRCd& z7%Pp$B+GG1+_6I}e}Xv`GvAQ0$-&Y$olF z&VYIMHndTm?r%_>4w`i&`1>Ew?J-vu^6nq>>+P$#Wm9oFa|U{$FqoxyQKMCtu!?^P z>3XCFn=Ri;R5J(>W-wpZpo!yQ~G&JJrvkb*BY6h$w87gpNL>k4cd|(&oH$nL_E4L{l`}YS>Q^X zz3d9ir5B>{RYy`2}j&6E>b1+!6A>AQQ7@An!$G@JZ=`X4&XC8hwjuU z--wBoPyw^n1gdQvgjMo5B(Q0~l4b2ABpW;|19ef~giDMHZ6cqqs+~KAM3Z6CbpI;1 zc$n4vL>a$IiAw$!^d~bSZs;VkXKzrJ_g#7;NmtWZ{FHnil&aaWkX^wKbwWJu1EPG> zmqyf&3NuKfz*I2%Pp|7_LJ?a9&%KMKFnr0l(9z#6dn*W^P7ax(X3A}lcF0L&bn6(_ z?&ZK4T?uQ)2A`QFR_6epMRsW(HurLcx_vrfW=}%td^uI-XW%<6L@gT82g`~#gHH}- zf}Ym+1B8RCtAOcI?oWpD=d!UY`%VCFxkta1txUJeLDZZ?ky+=zWJH_)8a}rXOEu=m z&_Nf6IAkN~K?xSm5F)7uq0;n=v~x)e{bj$*R#ozYFiD=6^$oav#sP zpC-wAI~Z}RjInWBB9bUZAa46noG!Gd9r|HNym>U8Fs~{m^99U9$Cm~*S2x0Y0lD=Q z8#=*sjyl(Jt@(Mg67q54chj?~Crqqk6_qSHOlsaX)BNvASb6OMNjPF4%jT{lyy~gQ zNjL;$Jo%TNZe&=QWECdwr|XIPuXTB5Amel+r(t{ytwMcFm)m>Oa8a(v1y| zvGiQLK*QnMLvLJ02D(JC`k;@q$BNMG$bAyW(CH}FGL%{d?tuCAVa(mN9>4JEGlsGF zhP`ulkjZ=r+g;LuAQy!6jP-nby^oF+&PdX;2eXTBA!VcqQ5C%)s)kCc(xze^=*>+j zABh4}u=I-v@_IhVGR+)`KR>}WCJSlj*-FsNGfBsYNc`S-dSsdN>Uf@)l_pyOS>1IT zP_Xe7nzKzta|A9_2|k*+6Vm+?>rOyTSOXq7ca&VeGhUX)AyIpWsb8@wCU`{xe*OL| z0~rLQT969$K?UZ?!d8IA1sml&AihEf6-I~O$DC^DV zgMNE}@G1_n@j>TVao|5Bwoa|=`=&t_JGBuvB?R>LJ5Xcy;;5^l z4vt>_S)coZ56l;Iy?0o9`7&ku8exCIwh0*%ho}XMo}!&G<_m$F0a==VkXY|DRhT{@ zW#^Lc#-!}g7XLId`m6Ophqb0RYu7Mr*AL)-{EvvDcR+swGZscpYM%0`i6+@h^z$Se z!haYed^8d=c=yS{6UG{O`8>_thYgIOz>3Om&4-^;LA>0K27I~DFR1MJ4HDHYoF=`; zC#IIqf)ake!{X){NDRID0=OJZwG+F-xrxYd*hXk>3A)#=*P62l9s!^jW@yeSFVK z)4imN{CzHm#z8d$oLs%+=Io8}QSd{IEh2T+L%6yV5EYs+Ay|WVpyX>h{KY(_Xga2sS zeWqr&F_QXlu*4oR0)4G%*LxAxlSVOBu;0O+NlRXzo0={^O&dqsIrU~~SeNUlS{Mep zQzl{@=3>?1@yMZYwnoAQo$%EtV%{(+EK{_DWVZ>>heuC>cx97l@beM)A^Kaheskq$ z%km3Iap60aTLmzW9=wi8SESL-J@<$_?R2jPgJ=t5sMCmH!14G-_@N=Bd_YuyRZ9B3MZlEU0Qt9%h}ob|hE(UN zb+xzE7l++hW*HiT4yE1}Hn|rd)rezM^tuALLg_>(96+1d+m1R~CCS(qK`e6z&^nzs zB(}~cqEiQnrL_P!IrZSHwLtkdDN0A}jM1vyWYA^4y6dtvik*21e9NOqmh69+*D@04 z2UW!n@8qj9FYRA;Q}M)X<`Myu&O~9EhbK`M>tcx&_^(EMq2@Y|(13?Rc9-EGO@>=O zl4s+wIaYvA_312NrhK81{g2uXEr}m1J^(dS^*8h|*)7=UmX!V(^~Fq;AV$J+cPeSt zMH0PDxahD(y>)onGiv}f+T zVQ%*;r03o@NT+H&9y>$k9b0!1<~7CGa>XZ&xcnU9S1m=Ve)qv=rbn`i+;HrHE>?9% zgc)ltc!MG5Qxfa{^hBB6!Ot(4>Y0yhB%D;TGcahmc7vF3xu2hjAV)&&TmBkOIs%-;k$N(!Uv5zCN!9tRv*X1e> zs|KI#Kgh6Z%d+ICmgPAg#^77B8jbS13Vk~l8D$)pi*imyin2%7lj`;|obL9KvI0Xm z>xO&O9o>Od%MKEGmkm+LB8XGTZ;}yOixjCAjQ*7yl)LC48ve2*vErXT4C9tS+y$GE zQui(X^Zt6*T6Hm-5d0M9tlEye_>8Ue=1`<+j3s|unZ@QNPFP`eV2mA{f0+O5e~6Rh zkMvfKPjuW{;@9yd5l`+I;Pc|e42GSvk}}6zp&q(HGi?^*=CoQQlU5?9&=WMfp%M=d zIWOd|SHn#AJ=Sh*!~F15q~rc$T>L3%O;K^yGUn%NQdamFRoqy?sGc+d%jiCuwba=< z@#7ApGTVaByeVY7Cd$=Zm=h{ZCKBP)-86qL2mDLAqk9}`TxYtV_;b|xhmq~Z^y!5ZKPl?_I$_6;h&-8xN@8$iX0mvGrR z9nz^kj;f^o=+8yPkuV3O!}bpo_v#3}XNh}z6IKrh^jGQbp_1L<=)4sr(v}OfyRQzq zdagCdZSyC#^J@*^xzB|6A(co@-$E0sz1Tc%7E$%{AiPu#(pP?`rH_ZwD*0$s4H>kq z;s~0UHv`+66{%%{1!PMb>$fEAOZY8!?dYCSyDieMSi{*Z@Ng1Bey4H(ypCd0cjhZL zWDbB=^f>9-Dxu7VxpbQKIQ!`9^07u75$In9Xomd{iNgOqP2Uy;+SCrhcus=MSRs|` z9>Y1(>(t5(_?yLYHB+#Zgkxt`Rw1S022+@;J|blXzNBLVXe688V^!j8Tvr)`q{YLr zv5op+fA<`AP&PtB4r9eaxOL@^!>)4Ue~-{9|P0yYR?C-}Le? zXy@#=n&e^=Ml$*elG?69`rEcsm9;TGC48+BRaw*6-xA^kJk#%rR+ovMrK~Y%cPjo{ zBKb5IpXQqc9B^xj9q5-7b{M=P9mkgt2Ij;fN60Sp$G#g|%rwH^8_2^vqRw154l!-u z9h^Q4$6A8^T)mIhNA2?U`0{$9Y5W+(c>E@cZ+?W|-ii&x=TM#KJ7s6QJWBaygeO??M*6{U9+;8%>z8J81Aodn#-Rq*l2?0y8<7_bF&+)dDnU<}Qu0 zXgcjE&P5s4bI2_-gDAr-rl5B=^!h<)_Yfx8?SBYD)rB3@md?#(!V@sIt^O zgSNVaY0iK8g@-?KVPr2eu)#0T*s3^)-xiOU+BRUA?I2<+9+3;SqK#kogqiK?uj>5L zNFIB43QcDzQNpQpbiGn870)dLZ;2kYEZ;;8qbqUVrJXQ)I8V3NOw;7d9f2xW{RR%+ z9bg;P_Gay|JVk^Y1IF2}t zA5#!tw>yfiyy)h%As2@I;dSmN#`&>t`ht7-7B;VJV8ID zMp=X`>zHsd_PxU3#3Fq2{0X)6-E%5#dOwM1OM3QJy1LC0!CePj6jn2yEvq`8no zM8j-pX{$*@c9#N6E#_jj@}Sa~IZe8SZd6*qVsG6$gcoQ99#03X+WZHdzi3P%<9P(H zvoL0-k1&?Yfg>R+e}dWR)38WB9ZR)$fDt9aQIn`z$uT5D?J_64HejZh?K?!Kx-Akf z77digpBSR4NYJuhH-wIn-Sj0na}7w8)IUMWRbjK`vuNq3v6%hojZ-U!L@4K~s9bS^ zHmlt5{OA8mY@0eD*sv>-WO?<2nau~X;@&T{i2I(3Ietj?V=eZ(Jz%Mcq`l9qprS}aed%=KY`6O3gd9KaLq`nFFu3} z(!OCwc_EfGuO-q9VEFa*q6bgC(pb4wqM)H)RW+3YV5YRrO?-5ZsKaJON{=NI(T*c{*$R^g)7hJdyVvIx{AV+; z%6kxoxl^p^a-Nb?MF~4j&3+Owt8% zOCHA>cQhjDx!&1yD!%?dBY)}dnf}I{ThLD*vf%0bLbBp>lsEkRYGDavWhd;$f}^Pz zJG)zd_DPr;@+pE0ReZD0pW9=%xpl9_l(M@)`P*8kw9Ap~^37IvH{{dviN_$LFp1Vy zvuNwzy8|Sp929%OgH#vqz;ox^V_8ofEIK_n%1GOc1%uMS*Bgutb3IX=&O6xKr%qoO zGDR|FA1|Oo?Lbu8OZ3r!O%|5IONeW7m{>*c!L_`pbpOs70X6FqvCNwE1Bsi&K)97yH?rpLG#SM?52cy!=zs$I+LOJnq77fkVjP3+d`JM;EHIQu$ce z)Jz_%S~Ah{b!UWZ!&xFR29HA3PqO*VL%%Vu*w?VnXV|~2QRfI?J~fHx`>#tT^Fn_h z;hjhL?|^+ z1h{nPrqGCG=>gc)SIX&{M5;o!(ag`w5jSZP)cmkNF($yhx=BZVtzXNw9Y&?Yvw%4Y znw3BF5ElZm6LW$nOMCFDcgF(y4;HG~OUXz&XFPs>(shy|ZzOp*&(W`G>1)u&_oMFC z!$>(5Xg3@qH?j0HJ)OBID57|=X=?2Ln4Yhj%>I1oy4pk?ks$kcZAz_ZDQh~v1$cs5 z*0Q{W#(fKO%_!OoecDmT{h5+X-Y_gNa|0%LHHr0K1G%T;v{ts9IED_z{fke@#AkYF z$H-r(ssQ65%|jW6d4-NtgtEe6Vk*{?qMGXOgx7LRBRl3tPW@{xH(quNG=hMHa=rU9l_yk!EE)UMA7>Kgi`J_6qfXcpLDlh&Gnq(MK44Cf98{~&|pAObM zbZKBhOf0E%aRic#n}aw(%Qa;~oH6Uu0G!jA^BDqdbT@2KzAsnRB6CqK& zUPWaG%ZSpiAss=S0%sCg1I$}P6RCk) zH87E4A>%$9I5r#5)Zqgc9)_7fpAR&Ke`6AI@v8G{|Isupx@oq$H)Exe^Jt#Q4`S?@ zk0tS5YkA-w>o|9cR7bsDm>oJ$%JvxsJYm28_TNlVHJUhKpVRsJ+emf7o17Xu+c`|r z2G3Rs8F%r#E#uooKHSNKzB&c+Wlz!dL(%FS>w{SMQAxO!Zn(aZ>*y{2Mh##O$lARH zHxD{)CXDnUrOiJOznzbAiU-60lZrcA$H5Hk4^-{8m@=VrkY2_A)K>ET;8lnLZRAhz zNBUAnxeMgNFJTWIXO-k}7UBFZR-ZoB<)^$d1LbQjgs(6?&dgNDP8Ea3th~6MI6axP zLhzYGvh_@e`BMk*Klq|^!(K9pI|`}NyO9Xzj{v^bPGXk+4b9}e(RBXsr%u95!c^o_ zYBv$y!$IW5QLU!%);i4&u_Cn7?I|6+C7dlEeUCBsuZ&G@F<9=WoEEWoalSV@wupxC zC>G6}gjDVesC&`}qRiPu>RzmdjBOegk>}Vw5Ey$`U#|j>A62|=gj_qY!lb)Nb>nok z!fd_GNtGxM0M5+4dE9*CXBR#urEW& zliC$Qo#pdk7GwfF@_u*a07SSiyy@K|%_6hVK=9_2bKIAX7{USsjBmAJcj6A3X)qRiL;VBM5Z zeuEbFoGiTHW}Jg62WpKMe#GHdH1qL5z;bTGbIRSl9P?WsYhl^~p1Z$k{^+fsvA$ld zwVg!lWDdcK1RLP^%)nyTqh!FnP(~V&4|VrjGJc6@jC+MKu5LJpc(^r)c{UQX@wdpB z^)j~-X3{RVNOb=6Qp}MO%x$;Dl5*f(9dN|Tjs8R`q*S}%BT-eG62+Mx!f$i)qCk#O95pt7|b8m~imdK1(Ir z$D!q_U;#4sCg77>N_29KF`J|yo$DW?5PRaYEpQyn?d}ot-4#SRLP`8RrcCr&N!K=h z@C>mK2fCR4Ifr({>7k(sKK^A(z9CDloOV1@q31q(U1cMItusQ8N((~KfrJ76wVK@; zVO1f@84Vh;cL%ksI)K>LFk&G10jwZPS`}@IRDl6>^q%a5vwkU>`bB54BgY$_E!NEv zdix~Dy$mnSmgWb9VpV}5?d%F6JJLmK@muypz6gcY+6D24~{PXZM+T1=lAGb?;*9c9{cvunF;x zQJgR}0`|Z{sw&+8dw3r7W8nQtb--~2R+`$Fo8&~zQFtvC=)fF?9V|KO^R@Dai_H2Z zF<DIB4RppK_&$Yv=W)%6!q^r=rZ8@%<#L4HD(Pm%J4Uo6)F=Fsx zE%175$+RA9uWCO>4Li`7ME^yQu`D7POaDdUxqAq&L`V2rGB%^3LUSy$gX#RS4rjDjBh{-K!nGR`#Ky%D+hT$9 z9G{88?%G{c`QR9l0t1lU(?WHaeKcEIf^}++tj)4%!A&hW*es`wh_!Q&?ENkLEnhTd z<*1u#@me<`nFxB1dl*icqq3GPoJJ4LybzPuIhefo*cerx+Kgn@^9bW?hE+l-%5;B0 zKD@hbcVY|7a;=wBGo=zq#It-V7g|KAtg1+Mv_92QOaYF|2l~$P!3r;?-p8rU1Lj=d zDJTuYj2nyV+8_35S3F`Cpw}`4hhPi?|$Rk^!qTn*8^oH3u zKJJN&=vyC}&Gd&l?FgOuua3H2lmR~LM(~(+VQsA~n(_0Frs+EPgJc!>&Y=x90`H-q z^{)peP&eB0xRDX_m*LJI*YR!6E|%F6OU>V2!!P|@&EJOZ&?to?ka@KyI@#qny8YQe zMr6c8)d!6<^I z(O1Jb%r2ubYUQ;V;CU`WvNR9O%70O={5d_lX-t6kyw{0hX1^ zLeNotfTQ<;ZZ(qm)_-oprac#NY{FZ*EPkdF6F3WhG@TZ0r1e0&wgy_osU+!{X;|RV z;IHp?8e3`eNy+pO_W9iW@RM^F1e;GE$TXdJ2<(!VXyCH}6D?ET(Zr7v@R(P15kH<7 ziG`0Mh?EmUWgPfj*GJ1c+D*WRX##yo==9$k+$SHdUaet91`@7(ERt68~Em39>F4*h{8)}E+-(0=^)1SP}9Go-^=fvnm((V9=wqTYQi*DU+hM+EvA9OS1a8s-cauXE|nWiUS@6r{`Xz3D4XfTs^w)1 zg=zaCZ^^}-lQ&`&_|r3Gqw%INm0El5Hq65FVJ4tMuOBtE=&ehbifme~TZ0;$VitPmYKixV2Uyau57nj)!u2KwP!sNj z{(TT-3wLAbO+S)1JSPEVDtg18n7;GoB^pHTzOVe=aI%{>qf~^&@uuule_!a8Z^`wnvhUk7(kl z?Z9l-fU)XN6d&)= z!>3lP%#2w_h2M?TN!SfO5R%6nFbC3Q|vO_lE z&ZIWj$D*-e+EJ=(mmqm`0_tCJ$UbM41u?Zt#`2{3nCf5iZ5O>^q=5@46PQ7oTRG_J z#9t0I*PCEY`-UjrIuXgM*|@V$E0IQ@Bw{$fgEj}QO1u12Z8PLwTmHx~;03fH!FQ}k z*r&Z~Med%A!|wY51`iMwT570U*9@%8v;h9VX5wB|0-o-C>Rok?)*!d zJZe4uyQw@uwzlq!IW>}7(pdWzhM%IQVIL!d>el^6>C#3b(RHLJFNL$R*#%VL``wq< z;!IVy1_ARt0`|XL%3lu|gPNPP<0WK~TKC}0r|sy+rY0YYLOmgqc9%Afu=&pmEdC_G zd}l*iwiVb&DaB;|Yct0qC!VV7L_g6{=P^NSk~@_r4T9e3DAvDX4y@yYm@6BE-3tup zhk02VEz{%U5S7N%r&iMSuMF99-&t1K2(=e?Kgpkd6^n%T;4|b*7uFpo+H_3nPcpj9h0Txu^&HZa$hJ%MLcXvL$HFsqit%`ZDMh zlflQXgLkR^b~>_afx2kKrO^vKO*P(c9x}D=&M0_kt%D-_IdM;N1;6JJB2`rd8@50O zcWyKiZ)}0t*IRgYQXpF~jn*H~1y8^xq8j%W%Wi}dyC3$N-tWZ-jaXqq4{~IVU}f7! zDjL=oH5o~0c=?Pez;2&@VD~@j>WWiH0jw~Na14@2w~)z~hNxvDFHmLqUCJqEh&z*t zwwmRL#RVc%bo4Rv?`15j@lR$z52uEqp5TLw!KU}_Q||_Q)EzhgICaAjKfM_ZE>8)S zOv{6{5JR(pfoizrF?MU`(%>s=P{+zNSZ9N%GVmSh8zS)G*K}cB;ox`?^kdwD%QyqI z6X}hc=X;KJgB*d|4T^((kEKJZl(w@HZcFh?@~7)B@))H|4U+w~3MG4Dvb6DIvd2 zg&d`Bz@obh8qG8Gw}+#;+U*Lud8?SQhWLpgoIxEggGo)8BYOJ1ZiV6cop8rN9E~2b zQq4Q6rG>2ro$_`cG0QOfOLK6_Zl=S)0(GbGNadLKYorb9uy>m&FmP|8s$VeguwMYV z5+{6c&TYsstU}UJ8;EgZ0=DF?2Jhhs(4N49+OTA~k$5+imVg%$=65xfKar{}0U0<- z8LsC7_}w=Xse*^aDd|LZ4;Z{|*D$+c2{w`@5b;|Xb<<8JHwKw79g{y&*TI4ESJQSe zyoy;^JSYpzxFa?7Ek4eezu=NT?>EIA%b(@sk-0&Wm^TPdZwL!AZM~1P&KObIkNcRr zr~zL4N2(m~mBjHTYw{jG{Lhd4z~F*)M)5^jpH&&w`3{)2-UsQ;?wKy2gJ8o;yOHqG zcgXVWr57tw7b@?{AXj`HSO)zl7kCyMigf+*?u4w#+C3JTBd zk)|^~v?lg7nmW2;img>Y+dA)Qz(iRH-Y{LnYrz4$Bz@qK^+6)Ht*C4)7xwgKe4_KS z^NOoGSvSEMloRMd>}`*+k_Vq@;qF4mYDEY!-RVja$yD%>fxmg?b6k4S3w#`%Ew1hr&~7RGGk#b@PLS{9Y~(;cU*g>kd$7$jzY?t(FpuD zxWi>R735kg;?K4_sd^4$^XjeGuzdw_Hhm*VbbLX?JQt!`w;dY`k3e7boNz0^xAW{i zZW>&Gc$^3-i#tlzlSjUlE{4t>o`)d|^ag6SZ|=?U21IN76nr4b#O(GZ)Zq+s*5*XA zXpwaCsa?g4OurkMANWee6LTO(=1Qc6PB=#ygsrA^u!aTy;QDUh3yUFF$4-KKH=3xZ zG;BV%-aP_|7T8PHgg0=kYB;Zf$F+Q`b1EJh7S<4}7Ay zzIf#5{O^TWwdyd9&9i~~a%{t@x%v3&h-IO}bT=l{)a;Op*LqRCy>G)MVV`J7G4RmV zEhiqIQ=vbU5%IFkFl&rM#vOBrDry6gIO$ORrN4lolm}-Eb+7-|eUd?@J3hJc3ma>h z41MVz(9;`u6g!Pm$o9m;`8EOPD4r7O$n_*c_anLGP1)>>wrExT3hd6C!)84dQmfDg z$dLXCocvEX*3lFA)iuPZU;z;h8$p!qwj_?*?*GGQzV-PK5jm4wq2|TBhx2-!J73TO zxwV@_$gRWKd?R|`?gltJE6_g|?)kFN?2K*9K3O$0X z_B_dGD^d&n%hl;05)t=lq2{M!dqAdjgmBIzH@h6}2P*zmkA+=s)Tk{Le|hCDHw#%n z=Kb%a8d$_I4;Vyh*9}Jp6TUH-BVNmUvr_W*!;~BQ4SSYXFzvgiuS=4w!pTa#n<&4s1lH_TqLflH zgGp7=hd(U*@_N``2_nZrmeay#+6RnisWp)Fnqh`WeqH!!ZgM;rn zk^U_U7$d%dp1+n0`e`3JIr+5ub3;C~^J<+UZ<^mYM$P(mi4$%1#nc6Z^0-F$IYmJ4oh+qXGP+OGL`t zhI}ob)TM66GTS+{s_G1Q{a{9R>^fC3Q&1f{82mYIYVM0#;MrfZkvc5KPF3+#QlJ2~ z#2b7zE6Gm+_hD^!{G>5Vhv&J~i=+vhnWnpLsD3x1*Z1sYmFsNjTf@Dq`00JjmgnJ` zo-QY4zcrLi0-xQ-=eX?hFPf7gqN<6&jMzIGxXha=r_BtT9k@${rq>Zq#}?Rtjno%= zvrW4k&5iZ8(szlr8i_Ci8>YO5`wr?rw>L#&H)W`qA0Oz*nMaf&OH)`66|hf*QW0^1 zI~;!Cdudt*W*6j#^7dM#n2%1)*E8cBY*R}s^l9QID}21x-LI;loR)@|lU7cEScl_9;Fq5h9%&8xpgsfD&8h8epSb4Ntsyo7Q*&;6TVb@Eh|z+FLk zia;u9ctPUxSFWi8t|Y$-?s6!(K=MCc2rhd4Ld_Ss8KZ|D{&+JZ0)%z}zXY4M|gUiBoB#^dY{c zF%&ou!#!P-Itay~=_y6ZJ~-JaO%%vXDrNfFX#?vj`H zE5^tKeSxpht`TJCGt#kYBzS5>ta7~(r(zU7Z#)xQT04W@bp(ZF%ola#deQthum;@D zfqoy2#SMdd`)r)m9Y99SD6p#-`EjlIjrD(fv82Zdv>zoF9$rcEzO5wQDWgz6^9yzO z6k^wgnbwjjTcR9z2nWxahcx%@c{`1L>|c7Mh??cS!wj6ovUbor%F?lW^aCuq^9rAz zRfDA73}kVI?N8u*olGTc9ad&%VWU@$RNOEW8J^Y0 zO_oPUrt#EOhQcS*R1}58X|T@+#^c~gHpuYDI@;{jf(;e#km{o`+#e$Xwr?%&@|2Qn zR|TmtF=VBIuaKx=8sh3=Tm@NM)l_#HcfGH=u2O{i))z8G=Nr|=4<;jt>jESd97Li! z4>23j59Sr)3A4f%t1he}x=U_ENaa${fro-$TN&HbrwOrtWh1`+H7pYhqe5|XxOiG1 z&X|#e9V=czZyHU#t@8+P5T7#I*~B2X1Nr`Yk#Q>cg>Cc=fbm{|jFSRU|D-YA8KXC% z+q$>ZRk~@w(dvVlt_rNxr>ny6jR||D8@IZYf`*dzp7L^|k?*m2tgNLRiSx%(nE@~a zha_3oR#wmt8+mJM!cyq5GY_3rPHN~EwfJRovs$}>gKI>)uuJ2L(Y@)A0d?*u;Yxi;M4;6R(#>;Mg=fy#yBh{{bs z#Jg^i>ezb=V}qk`=1-^CZe}Do`+H*Ve)nJgI;ZOhQjfC}c3i5XXWtjA6UWr8E?aU3 z<|l?onFyKj(Z2NfxhOVoc&kOz?mlSw%Pd9&Orz=sL&`c|g&Hi!?ZXF03vu*QTx#zK zbMbqif0ZHA-?r%MH8XEf!&}5xCA^je|jgSR#UAl{LkB9 z;JZOxEAm0qYkEIWgk2`d5_W{VXr zT{|9F;EkZ2zbQ56n;BO@$L zsl?`CN3iT>5qNoWh*R-(EKKXoS!hw&Wq0&N_t^4~Ktg9_O4S|QZHQlEio2u}kzvYI zT-D$T`%Mb1<$b4_XEtL|)j2fgbZ5L0_+jF8)3LxyOJ&yY2&_=j}s+M`VVmdB)KkuMpNq-MKsO_{(g`A#}6`BMI~RYa6`2dj^U2Iz8} zmP$rvk;LqO(Fx%OMv{30TQQH|dC`Te=zhcvHK%Ou86;^5f}h)rLT1b%{B+ke4W)C5C;UC7m0)$M!xa-1Z`J?}$$% z)Lm4$bQ_feCr4c5OHc1P8Z9e?do4Vjsc;8}GRJ11j+VK^EV6}2M-9RGr+~W(HKxLI z{YuO7D(vA)H8p3x;EW_oU_J)o`+Q3^yJrt=J75DkN(#x4JL9?TZy2%DVVIlg!yW1F zv|~dG%$2Xu&Xtu|e_1xvay=vmGJWFV+lfrLm~cO*KtApUDzyA!q09N@k@48lR84UZ7eTo)+)aVo}qspZFSQPvW%ed`Cd4h|p14j~- zs|=soCv!3waUa-nw&c|yWqh3sGBy|XBlEIPw1(F~#U?74A#g&O9~?L<-h%J6_iNjK TUoAN;l;7P0>#n8u?|A+nd(BO! literal 0 HcmV?d00001 diff --git a/tests/102.out b/tests/102.out new file mode 100644 index 0000000..9468910 --- /dev/null +++ b/tests/102.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFD338C3F +REG 0000000000000000 +REG 0000000000004870 +REG 000000003FFFFFC0 +REG FFFFFFFFFFFFFFFF +REG 480085E048000000 +REG FFFFFF8000001FE5 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 000000000000001A +REG 0000000005040001 +REG FFFFFFFFFFFFFFFF +REG 0000002820000000 +REG F58349ADEDA77E00 +REG FFFFFFFFFFFFFFF8 +REG 000000000000001A +REG FFFFFFFFE00003FF +REG 0000000000000020 +REG 00000000000009E4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000008 +REG FFFFFFFFFFFFFFFF +REG FC0000000505CC9F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000A080003 diff --git a/tests/103.bin b/tests/103.bin new file mode 100644 index 0000000000000000000000000000000000000000..92df010100f1cda5116a486eb542403e6e9233d2 GIT binary patch literal 33468 zcmW(+cT`i$*A12jmZu3MfDM8^Db@rMNE9`I1*`#7P?4%sl>iCco6MQPjveVXz=qgC zLBaCGhF}e#faL*}pJD|SG+4j!`y*>+?X?mvb7#)kXP-SB4o4>Z*dG;iH=&9U2w=&p> z)8g8_+bfE_VtV#?wZ!$Q#1s>UE9jiVQBcf>Vm=h}2~VbTy3`a)pjZOM62dt~2^34FSOD3%e<>6SsU ze2SG)tdwG<;hY|&6sw?EJ;mxNRv*qWsi#;A#lBMPE5*KsbGTnA#+k(7ScX*^Tk7Z- z!4X=9(dVO>oMLi{$s;%lImHwdTS&2m6k8aaAm{yg?eUjA%@rqikU=O73Y;e@tA=*fs8jC;MlPSn|)0A)Nzl%onb})31J7VXaKYGkV@-;p)$Bwp z8_`M37C7q;r~Eh4G@}qn?zMr%s0$#;YrvxV5ug+m;6vS_;MunE+OpLlsLtj!m~cE4 z<>|k%GSV42r(Q?7Gna@98_RmUK#CREZ;@b&!_dfc|kE!A=^!d;G}HR!rv8L&(K) zBIXLyXgrA|kGIPc)J@^Q)1L%#UpAWUQ-iZ|Mv{}3st~5P9yLEBxZ}!4>|1R@|~hrh9PLjhHXN1&?92-zfV3tUl3N% zEfO_7ZUS|X8A@9_##PeQfvSD|=BgX4p>@a`)?3t$c^mp736n>}Q*~e{Dh1p8tsv4L zCmN9w#W~MF&MI%PdhZKtVIvYQ+Nc$nbmHZW-&xU@{a_M5o@wq%CYr2=5c9nkqizVm zJpTbuFl?nyQ`I;u&QB#auSQtUbo#+e)Bf$$w%v)9mWjyE;-`TwszIn^>{`s@8gN1P z!-&iIK=?;?qOy_ZApfkwMGmcT0>_Iu*QN=_?l)(-A14uw%}a7Dk7eTmr;u#J3t*C6 z;fHA5*`7w?RTtROXO-{1^u=ppbGRqgw2lYO#1_Q*Rzg8{ zFL*Fy>k>UD1&Nbc5NPGN=~+He7=9sEKYMjvAW{d11Cu`j3C_JD z4X<7C_izX^1_8ZtV<2>y3%4Cfi-_U0&B;uEo8D9Hx;H!>8rQZRqU4f0szvuxr@5)fh?J2jO$O(?VR7w!jTpMoLbuAvRrRxFl&7%9|dB=U&r|RexAd z*i<2r3}{1XGBeEgoQ!X}zSF5}`y;(-GRfq%b*+Ku z_Xj)9RKg!|md2Ygc$d1n1K-dCi7N8pbJ%buOpgWJwCDMyZGFQCqvx+{;|A%M;&eu_rW!yHqx0i za*S#Ie4@@v13uRQbi;2AGc~!3@{9*VUHK%WO!)!6y(<`5nFe*Kc96U|vk?DO4y22o zVF}}ht=U#2@$mb30x_hD* zmD~K>s^&sd>vWVEl1Z}XmZ78qA(mC;Ku6dhRJN&&aNYV7iTFNdzda(}GArCwWlHQs zmbhe&HIfWIgzrxzK{91AjrFN+BKu0BTfJ<4;>Qv8S^RWj8)-zcO7h@P_tz+U$qyV? zFb!L@tRVHhba3YGt9i-^-Im+hcO#-A9eV9LgjLPJGOG6@{=ligGt9?q)pXG0THvPRW1(bQELwH3 zl@SKjJ6sB%ATG_z!rN@@#0A|7u{zHTWQ%$t&76AF>2w7h>K=uq*GdWVolB0#^K_aL zp!;j08|(293p~5g+**aqmv{or0Z_lv5|0`%n9)>@gXukQ%-7uONBBd{LFV`p9sjgl zsj3X4-(@_wmWkNL>k!J#iN+y5yr_|HvUGZ8C$Sne1o}+7qZ9usMDowVUBZ6)&p87 zkL0`GC9>%T`fOET2%Aj`x*f*yMh(iIJcjW6f54ZX`Mz^vR|m?!AH>bu`e1$jE~e9w zL!{gdIL%@^~Djo1v8_RoZ@+bmrMA4pu_(XQBPV!^Gz!_SA>9?I}Z5Y@{qLH2&5fix(18gCl9(1W8?h=D=MzT z)-@#on&gov+@VG{cG_C4vZV+#gC_x_b0g+uNf5CwoBhL)yd!h4%Eey8)LG%O?xjTj z$Q;Q%{PCv|mCU^h!*t7+p3_Nc?2ujZDQsi(0oheqP!14<6{;;rUnWDE#Cj;T9*j&q zr(hQn{2q>iPYHG0mc@{9`3k5{?k4=;cc96hP7b`MVN&oimK#@R+a_ZkchTC=bN!=kX-SuN0l#XP6+!*+RMC1&{1^JIMY)d0M;3 zO{Br55ZlVSgLl;$)OEBO)(;;c%MAI3YtL4)E}|Hm{-O|M9*^mnzTze?dx3-@DMX~i zNYuC=a+`l+4QDXO{aq2m{hPGD9_1%}7>Gl@)atgUXX}{p_Q0MC1Tp6s>Iz$i<38mv z`(mbq%Vn;pz(^L=cZQVlmdzrXstz)?V2d_rYdTyp@nUoOnTB+p+DDoN!!fUV0;y3A zMS{j^#J)K}5(--4lqm*W>t##0h0CCU%_CtC?=U4UtC4yB03vyPmHcWvHp0-p6e~<3 zQKE5;wR7t%V$?KqaqX}px;CTMF>dx#u_0Lx{p(iFl`8qb2=|aN{FA<-$}l2{dq!iz zLwssOleWYD9S$CTd!(CcI#IMbL-YEnsCaU=yh}D3+og=fowpiL+`qpF6Z#gpP>!Rl z5|TsRy)ctLpYlytw&T%za`GXXo9SkQO`nXyac63w5IXEHe;7GF_g6laK3$Kaix{PJ z+afHDy+TT|Pr;PRM3;)#V84X689J5Kd61{A!zJ4g*a|0O=kz3rxnvHJ7cRp**&(v^ z{ZYp0al~BSf@?%wwHg^BXJYPvWGqfRjv8#f(^!@QwjU<@l#i66v;)DISALnuWJ*+K zc7nzR2htE_fw*xYL~dD1Y>R#5M*3#LMxG$SwYiH;?{{Lk`$#0^Ou^!&tCTPF0#Wij ztp0tP2%gOaW$I#F(h!2*^F8P7@;oqFw%QUorY_fpEa8R5VHKM7mm-MaxZ^y>A4py_ z0_VnU1B+|nL{PgLtCyK0)h|1IFgD*OUA7I`)bz%h`YI6aU4gV!Yv$Ido}yd-(}pxZ z^a8b?1L@EtOR8^o=o-Wwko{sGax_^uN#42&`L~Z7YH*r_)ep--GWK628~==Q3u97# z)ff`Tydtu~u0Qb_Tx8ct-hwEyY^PH&V|I;ln|pMfQsB7N0yeLH4zS95r03f4tm3OO z=#qym*TSDA?Q8 zJJfP62Fle>hYB7M=s3NVsCS1G;hCLGM)_!*^U@;lV67rE1T zk#$j+BH6edG}uHA~) z>q_#%$dDo19Nv#K9^7zwGqO4IWLepwBvSQXr%pWa6qL3JfL%y=LtzE3z5D<@wF+>R za~Goenj&QK+z^qbxQSAsyKMiII6r>N1URYPHL?Hx8!S6840YVxMdrwMOw8Qt59YV~ zBSXtJklZ^9xmP)$F1ZESCV-O)HsI2T2qL@5B~DK~wY3`-f^6pmRK92j%l;p~!Bi2LGCLXSMwF`fckesHN@+=U$G_x=@g)lUGQy>e2b z&KZm|Ydo;&vHMuAI)o+0N#M+RgC*xf9Ho*X8Z$E${BAmA?$01eGOb@5^O0u7E3h6D zOw`lrkZrOz=C#<8^xc-I&UU4rEfJyh^uGI`!DbubZO_L!itG`Z2p6b*x*5p_)e%|mV%({JkDJXyi0H2v$gpw4 z0-xK2X)Qnk?RR`+`0E6L<8=Cb;cjeiAxXl0aYL_eSh693$nE=L%>^+?lfFaRdOOz18PZgQLH=eH7*4mN zgp@UlH4zq+A7R8Tp9=R@8v?i$eJGcSBDohEP+3f0e5c9FN1fA#oOeqDGkCM1?BaS~ z2{R7TZoh&J4zsM~=f+_BSkHM)`bjYEL7BKCMnuHI%ZN$MLQ%oxL-f=A{E`wSWLF(` zn5o1KN{BBpHR9<`m5*bYRpW5>F^BnGpPR7gRvTgI1Gl9O+fO=Q zk8};vr1pi8w<8%z#1k-2E+*wi!*Qk4dM0i`8I$dYI%3j`iqyf zcFO;-{N`1#PT*p-%W0%$mJ;tmgv1^8x)L%HOe>_=(3pm57rdi+HWKmUf1r{Zjzq?d zCj;iV`o20}9$y;!7B`1B!MCewWvt+TBgSotj&2@*VJ&>g0_!_(TerLQ_^oY?KgY&6B@`~XsNX&dHRnjzhs-eCpuU8<~r zK{#+qKgwSsA#rPWB;Pm`ycq#j%Px~dJEugexcgCmxUL}H8`+vHL3~jb5sVv6^XmrG zb4$FG$x#x~2?rcB_9+rZeMRh*Q%Lf{1s^=#O=&uOq?K*eIHVc;FL>*3LTS=+66C#$ z)@??J>kx(nmj8ps=Njj9Tb9eqX{p>B7=>gGp8Rq2MjWqkZ6 z)>EDZ8N6mv=ZToWx4}?msMVyoP6c&e2~kx1#Imx{;LUwYM2R1W^y^}jZOOy$d&Gv6 zf1iz*N3}@!dO6{8rxJ$NW?PoTXalbTHs|`Iz*F}i?=OY)_u?}{(pnA@Z_DW;=B^hSC@|jf6Zo;fjPZHYimR6F?;LMfRAj+bZQF05hCN>`IWCy`iVg}CncaTMU zAe`7z#?otP!rxQ@SdmMy#WWV zXaR2iWh^yGhtB77;Qo};F49*PM8aGLm(&_)3SW#mhCahJxkWnf_6m~O)rUy$|3((U zbl>=2#@>Z3SRz`1G8}$_<9TZx+r2wxsV~XDAQbr6Y113E^e0blvi#*bu0BG=|dQBPJ~FC zAD#ho$z;6mz`|(W-X2)`!~&<^mO{-7Tdl&s4sn&gKt^jITgxNle;>w$G}sJAveO}8 zuyn(YvinTJA`_j3$zr_Px?a~|(=kTSZdZ|Jsz6k1OZd z3Ufi!^n)-*-jYsb`Z6nj1q6l6k};pRgK16~kyIPw+_vY)Z08kL9DWsbwe%tC`}aX4 zH_=H_exjfaZ|V7X4Rvn@#669iK5X>42ZJ@E+)>trNW$SdYwhy4! zW^(MT7>gvA2Vxi10El`Y#j2GRl%uwTBxe{j6bE7Tj$U|UuWri~+^4`${=U~~sMe^R zLpYZ2P>uc)&3jMWvlw&C6FwO)WOozU=`P@!H?z`X@g&YXAEd|fiN5MMvM5=iHqO?FzCPG)jq2{t3v%p>naJMQddx4Z5f3BRr`iX{S-mG+kxNOR zGt)=Q+W!U#)%>i!HbH0M1Dsjx2AZ4;xb#LnXp-HK`bIY#*kT~{QBoXuT|?Ngd*oE1 ztu~{&iv+#pVCMX4qDfwj`bSQfq?zN2h2j#-qx*>Gy%Aj67o&NDTPA(#xmEJxtxs&d zJORa>2qerPKWwh(gV(sxa)t6PpOf#kGJ`8RzVhWbQOi(VG&(@147|gtJ;xDs2M3vP zu9B`{RkTJ=bhowCW3?B-&*x3{&I*X8d%2qMiXUKqlU30NQl75R2X}(R&IkE4d}Y-# z6{zmcC2oZ?L5-r|`&o}r)yPz)u8R-NTMI~F&2$=Tt5JrW)@`TmW2N6OolLtHNt!n! z+1n??cyOXfq!bb9f!SD`zZME2>KOHcd30aD@vte6pd5ZNQWj33XJ`YwxY@^w%f7(e z1+Ty=y&njoR{)3e1(`F`NZd9Vd#HOAQGI+iU+v#Pq>6uVL)15#19lPCaw=9QEyQK% zH*xvM=QwL}5xV4@ID!|M2!AJBw4yx@Jhb~Jjo_ORwzV%ITjb+?t-^eWVf8|ZMTrKP zOtx`lSAD{2>;6>d-9zJAHO;YASm~6!wBy`&qF%opvR;&7t6~~&^&3E%JO!yYFNLP7 z)#TnGh0Z(jC^BlSr}^0g)jee)b4Lo|S@wf;^=HsLmE-iysaT3ENpnL`(%zA!Y-cit z#PBIdVdz9QUQS?;l|x$lBCNTKv7IuFD5^%I8wxHfopuCF6$M~uaYb?F70BEq1b747 ziTv9($i8idIyU}IBA41RtYRscH>Tip@dB-E^;=xF|2*9rg-F^mg$T~&qmpTV6UjDf zlFqFs^14~Xd> z{cDJ3+H0s$%z(to3Y1-O3|S2lBCfasWvw|)vRcPrN!wH;P}E`Rf#ZJmVSs4)e%X18y1XPh-B%9Aob%5-TsB9gWY=M zE>}l3fXpeH1pXMM3S2i9G%LShSz!WXvj38Ctr<(AJp0?Qj{UIk@{Hvg7aw%gO*dGw zaui{bCsJOu7)SNpm+*YZhh>Ss%z;_u0@AZdxYRlv@w-hy-D_rOyM$rDP4)#@%oSWV zejf-PJpuLXTg1j~2WaMqk;u87xcT>n8U4nO#+LTR;+%x z38&{1XnK{4EMELTlEx%3YFvfu*&5Q7T7}JAwSV#|(JVPbGRt|G_tBMgw!9BaP9*wg z(w>CC0VN>YKa>e+h>Rye+`9+vlbO84^>Re26UE5lpHgg8)__vvs@OkgPEfTK zv-vK#tKuX!R~8bN_Ko=En>k^%#r3o|vjD$;pEzt{|I_mfn`+VUHX$o1w8EN|M@WbH zai^>vH)+rB8xk{PQ1+c+6Xd(1fw^Kz2<0 zcR}LB-yVjd>QzWhWjRtf{{KU3Y7pJ{~PXBZy%E!pP^yLKhR2r6a^}rp;jvyV? zpX9!f2l12$%Qy#!i?9=Q){ZCb=L58Ikts6&r-k-#HK2?<4yiVT;hIb*nFDA%9QK~} zPFEszMj~kJf1;)X$v88P>e(ji(6KAY6KH)5%EGH8{=21L=H(8eSB@g;l!Ykd;@a`0 zvDb;;EVpp3C>9IcyCJKZbHsZ4-vR4O{uyeQ+KiJ{BogyT8SPnp#3eW4QCz(R$oDTK zowOdX=wXL=UcOj%%LY5igj#Xa7*ySL!M?#O8WbjaB(hzP?NW~*UUKpuO$OC@t;YO? zX+$pEh3gjli?T1QBAnzApdNhRiJwzNxR(VW51xb=_Xsk;P(E}_(>$^G*KwFL&`7uX zL^ab@@e0cqhLWz9?%0$+h3fkbL@gOflIEX7^-;ZuG>Rn>7bUGn{85_l9x6S%0tYh5 z*qiH$c(M+xaXCV2DhiOyJ&C?IiASh<(F&PK5fWAU0`vBNNUZ2a&9nfq9|ZVa2!6St(kG)@1J|oF z*wg!`YJ=Jjqr__yN2>2d0$nFKr+yhUW9N*y=*GB-66O$Us7@pXMJlkV5-hukkaXrl zbmr=jm@MTpY{>CNiT!3Gd2k*U1fM0|oKRX@t7u%k1r|&Wbe+G2uW$RobPQUE4Og>J zhx@2y`KVgWw{Id=D{exenM_wc>>BytTOB6tVT!$j2M~|XjoK4-V`5$o^wS+bnasMh z%)^FTYp9Q)7z@e*kf~(~u{GF|z>~|6=&z+jw%QpT3OZ(e>(~NigZLKdnsJ1%eGZVw z$)AJ8Ci6(%n%}6lR;tysZp5bkqX?_LL+i#UVjX-MRsD?CX+C@bNvxQ33IBtE($(JO z-M;`wpCT&!HN&bl&JkOboyC%~Kd`O-4L*N*UPMs{Vpygdxan7dGT#Cl^lOOJr&?@V zHU(+IU*pc33COJHa8_fXBwe0;uyffZstryjX??|{{^2Ub#xDSo=n3VJpWHM(=F(oh zD^h1Y#F8gbWc!kT=ckX7f;?sq_HG`hWBY8u!^(7xtJCb*G2s!$|N<%#^9-7HZanpWUkCkIcUv?C72mJ!6-*cGyW&+dZ{#<&9*3f0E zWmr(8B+e!eiL~8`tf*v_vS`(U9{nAO+ zT!AK&CE=6c$5oYMm=MfjL-fNO!HD3J9FD0X3@Vbehs3Ifco;@ zM6N4>_&1BRwkdyM@rj>McJwUfHv)=YG|87AZ;zxMoy4?71(_Re5rOGtsw3Tj=D>qU zuyF)p8Y}S{shfIRv!9#XeMWbRZZlUz^rkzRZGN2hbM386Yu zju%$@S<;?L4ra#9L8cu>*oI}X%62M5?{Atn!++6o(O(Dd#AP zTW1DY2VBT+`-XV}pBfO48H=C3?POh6yb~*_r_1sAKt}eg9Rj_ANOO1$sn%z(g4SrL z;RZn5zqg5rcMrDn>TR&|pNp<1<4ElYE(1Pg2OA?WyjG)MKq@^&{O@|5Dv?>UGm z+JpT0XA(N~15j;v6eDn4kCpjdx}Yf!Kx7#NSGTNVwwq4$(-?0hF5K=&;Q0o7dpeNs zp`W}3=U$_m;!0NbYy%SR9g5fyzp#hKVUj3#EttJ?#bGB?8I7v}a~r=Q)8sdxtZ+oW zzui^x=nfb=-)fi`Uq@}m#iiLUCW(+prw0Ve4GDzCBpTypAF8kn7 zM?%8Z6-@K=nq?Z7EvRGA0A$utzg+z981nL+GwbHx=TCg1b(JZ$Ct><66E&vXKhZ3U}iTXp6o&v3p`_6V-09m-tL0o;_w$V_sDOk5qb-1f{jMtWmE>B|ey(L4vL zc`tM)#yW}Rt1?Mv?QERAyOY>B3?Z`k`Cz?Z0*!04Fei91sY_p?E17hW_JX|ds2|AB zIw%!&4%~rc`+pP7vbU)3G%MFgOS3Tkl^7a(^69&d0=~)L5Lx*_*Wq6a>iKrS>^ns? zyW4Tmde`MmZ(oxc#Sfe$ZF>o~97xKd?_oVH?(3x7%SaIHfDO!S^2*6z6Zou<#I`gv`aMh8ReA$J?#WbK(xTfe{yF`<_99hiDpPFxmf_Twe&m;L4Qrv?r2 z(Jrq!n9OE;d`^7{uZU!Q7wz9}#diljo!Asuf#OS2wL!f#L^5{}5i5TaagGDzw|8kf zOykk>yOCP?<1l<^a&{=25`kwFm)^a>i z^V=jDUDpXGwFq}?oJFKE>Xn%m0BL=fAlv&bzHw*PplgncbXHMa5L9vj%bqt+=om2> ztJ^A(BIg-oE-0aK-NK_Wup!B20ZaLm7h1O@mhKTu-?a(J`lkk|8~h;eMHrFUFC^us zZ^FiL+pNm=4v7sLEtY)!itZ#gZWT!H~DSDw+F#Q^&i3&)q zQGYBS)E9LX9U$td9m4eaz;G08ph5SLKqQ0o&0_8QPo@*S+XqvAdBQ_7m+sl=ZY$z! z_Yh&%9{B#ZR($Q=9Zi4MDw4~6O~m!vsV^`$%$w_u#b;e6O1Kf2`81g7ln%tANQzvT z`&gZbNo`ypYP~l^$zN4~`R-qFP0?{we=pcYUs@J0pe|pTvGM^)30t9yk_>Ya=Tu-; zKOaJpnnz@LE{7V!ZY)(sQ@_>$(h^y~1pW5_)imlrQ;<&glhmWbe=L-C|J$XbZW@d= zb(rw_SsGJU{tFw%AH_}X6@Q)uXBu@!HL4jzxFHG2HvI%Whw^KK9V#xo&aUpF`<&ZJ zwTo_qk-a9R{d1wzj{0~xb19G54y?hH@zfJ4zrBGq&$0R>&Bv}U&pK%g9i|2B@))uz?x<{W;*%HrFhspbn}j`l*uW8zsh zbqCH}brI#t`r#=#8SI}k;L_5DOn+b2ic+g-EwvG!xl=!3_GbrH^D&RumVGB&|7S$J zPs3^^pMj4hF|1wHXL5eI>j?RxO4Qu!ivE{3Ppj#eiM;La6ShuC(yJVSpRg<{(;<`G z(ndJs?ph8V+}o(xw*`0PW-lFZws@X&TO=yTy2_Yv#we7Y8AP-~hU&b1$V#;to7vT! z`cwUYZ`n#ca9kpf*$vsLETa8>tZ#`VLU||X7fsZv>Q^9<$rZ@_->dn|t{8HxXf@mE zc?qlAe_{0#A#fw9R#oAOG8Xhhs;%Eq)0!K&r`v0`=VK`&$(Z*?i^Ed=A(YKGCE3Ae z{3J{o;;4q;=G$9nKZd~#Ph-iZUiI_DIWa^$aWAwRJL_tnHejFSnt(VkMI7Zi3>JArqy|)F&=mhiw(dh;&;tnrUaxinD4E!wJLL z|9k|Q93?J2e>v4FS_1K{}9Jxpi2F}R4@aPwaq zA#YATPV>J-o_Kr=dLC<}k{WlQxR)C)F#DF^dhexBr1~44hJ`GZoVFN_z!;gK_cbTUuFd1FA7RC&x(~d$fC7EaMXZ zcES`Q+x3w0|0=v<*eH9=vI`)c#6=2~9}?00H{+a2J7-t&SpJpX$h+u2ob>NaaP01_ z-Q%F2r}0n2S^fz)$Sc{WAjCbWIp!2}n@xNz6e{fXbNiTTmsh%#@9r`?^CkwSyH*fM zQWZ*D69c9tlL<#(iER!0k<`coiz`^n7Ev#je2q4@{=;HkwJk*TTcQ(fT@77z8mb@n zg*M#8l=ry|Y|aNHDO*eT&l8+}pp7Z|HEV)WaS#_}W$M_X6{zmx5b6=`iKXm*9R9n6 zm3*8|#KCh2zm|5im;{{QXs*?~8wculL-D#NrYl}{q_dejwqZ`rQ?M``$6j^m_LS+ehBoz@gQ-sy)`AB0w1Rpz5&TJ0f^~p8pCb+~a+b6=9nx$IV z=^Wr#_J*?0Lr8YXBG_~7o6LUZL7mK!YUC|($aHcq5H8xn-mx**NW|OexI+|uH7UD@EcGhRxz8D&*K0hkr9x5thTE|F%W)r` z;V_62d*Slu4>8}6OZSNbVi)<-(f$vgCl;xdm{S|6wY_;&>-25DO^yC5*mO+*bwCOc zxAX_8VHwF_H=Y8+G)4fpR{Z#*{gQBdPEfkyuc_-|I7|cE=tfDLQ}}K9-Qo znguZU$O&&zVJzy&U(Jfvg_6V*!%%r2YmmGMKyg_^kn~az9^KUD_wmT!_`YY}YRwBv zaBcA%A{iV8)}IUJaVMMU5>Q7dPpGZ^Litz?$r-nC~hA~`4PFh(bt9EL&HgK=jKbP*IQg~ zMfTYQ>D0nWxas0pERb1&ttG@n1|zQJX_)QfCdf__eN4K!4^gM6VL3);{A z@tu;mUpXM}!SN}|U?cc%vaqDA9~LBR8qD>2PdaXW!WQq8NdEXV6!Bto!o7W=#{z|V zYRi$i@^QV8xZgb#Zg`~qbDl-|ADFlJ-H1Rx3DmVzuE_h*1p3C;#O(HD(6mXY&cB6v z<7YwJ7OT0!xJqnBJ^J$R)u7H@g2gmvXY80qWSr$#uUxT_mTy8*`x=OLzFubv=!|Ep0&KPrVs)PN32`!$?^8N+#{t1Z3x#j8(apuygP> z(xWUz#ip%CnhXIkKemW)TFgK+e=1`qTSwCCrs$5gKG#SNDR9kBCsr)#1*fj;UV5e1 zQ@QlgRP=6fqVm%%Z-yOahts&U)-d^q)LdsIcaH^mZ7x3jexc4qbQl@hH^6`ci$!|w zAK$Y*=2y(e>aBS={k}WdYI;l8bjCkWRPl~-Ib+P)uSCIPnziPtk+grek2DoG5NE3U zs-9h<_rylKXC59ys3eR64=D)kFCo#HzEsE8!RdaX<1)MllZ^|zhW<6NyVi8K6lv65 zrtm;8aKgy@qv+Cxfl#Ir;DRc#i(-K2*0cg$Jd&&JkAPe3cQ3n zzvZI5u+LNr+DpV%vrui}b0))P3%z#{kMwTuMz}O0!eq@4(hF~bs4*CoKMo-+R|dsv zzD@_DivJL=`U~Oi{X#jyT1ZeV)XG}Iz$>LOxb=)>lA(wtxeHVvt+@v5sz{Ip|00@z z9mG_Oyw&Cfn|h2N5)P-RT*q#vNmq#FX9Ij7=+IsRwR0 zu_(NRT&JWguL-_QM6ET5o8v{&GJ^j9chZ+^rZqtoh{Hb;=XUNq6V81%$+ow+d{{2H z$>!5Lan-Fv1((^*4ea9`TIn){xLqaBr^lR5GTzS&FbJZXa zsD|U;{$HZ0x1U&KY$t0Np0c@ca6;_>4`P>6jU&xFyk#i`s5$mCw%MR32eS_aHh7Jt z_wm+(#^w`hPwb(SuC&FjQwjr4FSOONx^k2mw-MRw*hTB_%~a#)LBuKRX)WE??xH3 zwu}`p@g`XF+Xd7u59ocK^Vt2RmMjHEi1=T}ofB!!SS}K5A2RDcu+7Qr` zW)2x%^GWuFM^q#IL^Qv*())z#={ki&ZP!c0@12O{On1^Hil?AT!Jp;aIkTkMKat@6N&L@ddG_PDTBRX;Q3e| zi;C@7ko-4@Do-QnSbvoL;~`P~DTI$RZ=01C6UH|Hr1vXoN}XOCuXxTa+u>qubq1d?|?4L9ta3-hmCca?YdC+ADY`Z>vGF>b9i z=5oCddou==`t3&@o(oBqlK!vLZh+|=N9NJe++_{LUrAZac4T%x9oD{l6=B@ZIJDc0 zXx)`DZ>*#|y5G9igDLmIB-4@#5S;sq$kJ);)$$!PLLTnQ@uBxjZsFpw^K?qtc;w8T z0VQYW;;-AXmQC4}h|&a-VD;md5COnP|U(@FAw}tKF%Dn>gwJ`}=F}88d>L%~0-ii1t#KP#@#~!pV1|98e85HiHR| z(+GUgPdunh9Wgk_W2pM%VU)Rd7<5prUVUcgA5IJUa&t!9l8bqV>yeb-44RjRp)2wd z$#s88q>b$$-2NNYB&QIT2?w`Ub5M8=!d&}U!n0|>85=}|=bwVJK1B|uzBO{$FKK9J z%PtW8`xWe6?&?H2i;+k)8%i#5XIU^pdUDL=Hb^7GN*cYQPa#M6}(2%(k|)- z-AN64W3_*tRdFzCdU675nAOxHVGNqQ57;z#9Z3@^ux%>!h`J_#PwPw_KYk(#HXPE? zi~(86JAfCr6EwdaaS3Ul_Y@R(==_&D`H@kC??`p(myhA9JEN1#{7$mU#!;_@1Zlpi ziIswCVo~n&4qZE_0+X;+ujg2uY6P;{!$kBbn&CdZK& zZDbg$8StBWZu=4Gs7Y8EZ56Mt8VYF}^`-Zm)}nyKg?`5jA&jt>5!tY7 z6!kZLcgtHVqU-(|cj-g1`kxS7cB?O`r?rpTXeHEr_H}$W`foYytGSoNImk3N`v})_ z9?Cnk8%$9#IT^oLm+tumtM`5!R+JmfbXtA~gR++PJ`0E_rx<6wFpyH0MMQPnn=P4k zkyQ8Rx|;qdp!_bwo!L1Vt|yO(=2gr_*4=kQLhA~p?>&*Ltb*Rp$jBg}mufW`4!%(5 zdtT1laSBBj<|JusHbL2($Vxw(l0&`qo=9?F8}*u;2Ny*N)c}l0so!WKKi>lN>{8&_NKo$R zbS%ArK$O1&eZoaLw;393Y@S3XSM?;q0X@-%auRMB>5Qij(oK^0xj{LP4^iKEg-eqx zsP;1n_BcHpCBFLsM$ZojG~2sHmscdA&)e*7=C8y`(LKyOyM|o2JqYuOdc+c1v8W{; z%Xdwq&;1&uwM)nkd1vKHQc}7&Udn2p_1K$UY0B z`QHSm^Ji0!-chQbzXqd7fa0g8hw+8c#7N-|$_gJa`2P>58K$DRGm%70x#J%_LX_xC z)Uw5x+p83d^wW_0uKwDwb`O|C-Fp$Y6Q+~mGKTA1g6CjSj6y4a%Oi}38Oc^GL2{9&fBc@8?$qys zB~7a@;i(o*j5wH@y0X=eQBCSg zBrz7zx@#$nZRyLDogWK4)(We>Tthaj9!r7-67d)6i!fP548e({e&rP`6*@w;{v**` zC?NL?j#hfZQXD$t-_Ue}7|!~5COOmI`1Qn8tx^$%*t)TxO!*16EnBeY+&xCAm<*XA z9^|jp{e_)OKg@krq4kS;EO(vzY6T;rK9J_c*sRqRtBc3LDTf`}=E&Yix`azs9_y{` zIMqr$s81oQ$6CadnbRJU6Rksg(ff$=>3zz8kS9YXOs1!W#BMnpRNyn&ZGSDxSM=eIHO(`H@~<-3~hHdfIhMSB>5Z^it*{7OjYrbv_(cgaz_dJF&Lw#Ug zT8InQ1|ZqCMOY&AM8IL-(6?)eI6#Kw6+zg_dSzJU<$tlEsu7z*o=Rf;hS*(vPt;bw zNS#pvG1r~Iis=!v+sy#$&DG)>eoLsi?-`h9K@+`o0J}^dEjLIP(At$LIK5__b#C}D z!ub44dak+}w4O<4O?3uZcVi3s=(8b2nst!0FX&5{iY)MvBvHeetz_!J!*ZBqaN_-d zz|3I7)sfSP&GYt038(36D@ZomjVd^QcXCIu6(P5oEEzcR$ zwDJ+ml~WL}_+KJ<(Va;B`{CJpdJ6bGLQ##>fy}F1pI)EeFv*PEkReGrrPL*YjC-T58-mnsE|7Xi`&Md zu*iRK$5cKxYnw+8zV0?iOy_|gx0Td&Tc!10m8$8wakZvlN&FB~`3@xKm=Mva15{Yw z2|GIZP(Ako%8eRN>vroxgvsedWd!f|(AU@_{}ZxXd5WLOj^BX!`9tZ#uV5~b! z29d1R)mm9g8y&=H(>Be&0^Tqw&OLG%8;tXbOxqb*cz+nBPP#{=#>)v~I-T0?TtdZ$ zafsa>N!iq&B(XN$ZdTSaEw^eARejrtj@2gG13CBZiz#;C|;n45ay%;`~7cGDY4r`6FsPCa<1kJuXnz>Qxi(0S6F?{OME{h}=PD1t~NL0zfM>7FoK`l5q|I6)ubr9cjI6ofzE%l1ey zS5}W0mkZd&J_LU6Fdw|5dy>`4z5*E$W)S3k1XR~$;V!RRnH)dgTzTBGy8t2)dBxW$u+{kQci`pLXJxbC?c?}|T|eb}D}y&NHHb{KgGcjCrQ`l?)JGly4v1AbP2Mk5$sk{}HIgCY{0ShKeE z#LoE>_?~BAi|TaX1%G4JCfJXyPePtB9z2qpK|?-4M8d8xQ@UUQuPYH4o3Kfob} z5cYEcZrm1*V*eORJ3iY|S>#-D>>Wqz1H7+JKapgX%tzABOA$Nh8T$3b-Z|`?Y8h|W zAG%NSnQaS7NPPKgtL9D%*6`zD<}tEXAfv zy~ldpH_(~rF_SZ8Co)JeuBmb$(|sSZl(Thq-ec%s>*Ng2=M-WVP)0nYw}>pu4!DgK zWXbfS+QLKK2}jUD;>TuT)t`}&CA|UsKu=o#)R&ZZcc#MML*Y4GkIWAhgJ)Dbp3z}2C{mgNv>qRiOmhUg48L6Xm_iVCr=6Mgi$ET zv3rL$kDQrgn0JQMnuG#(egk<3nvm+kH|Qn-UYdUs@Qo7|el@^*>g<(G)z0E6ws#%QRY({}?atCTC2`x*^$TIo5IeQb)H4)=2mp^ux(W?e&RNa%$$QTCPxeQ6tSe z0-1_dPr_Y4m`;pN(;ApM%)X7L`nQAe(`=i-?DY$gYS>JAwf(ZSaIBP+1r>k?-xv!5 zE;6E2j8!drNS;)NET(&d=i&kB$t%*zIiO)Lc}JFg(+5Cb29f=khmDAiR3u4}^ z#*V3x#I(96W`jeh!gn(%cr+GCO^<;$e=Az|`L@R2XOfnw{UB)`&}8qX*%T&A*g@FK z{pbkCQtj}6&MLFCZ;5TDhTk3;7S^%GXmLkCxPsn2QL1L>U+@A0asrK$6tEPU}eD?V*PtmUO z%jyH`#ey#;UsSQXEW?CQ?am5B+e7g1#Fr?vJGxX*0z;yrg?$VwlXZK-bZ;cet2zS zo!~ED#$hzT?bTx8%)z+b#e?{2oP(-$sqE)-zKrr~6OpEBh`Ci6dEc`@Q6?_8H6J8I zx)OMo?;oKB%}FFr*NIC0oeh48J-FUGm()2sB4r;1W~UB7qN2CRqva48_WD3vjm{XU ztJCq4swn3DhS7@n1S?HuyOh`~e<$RIgHBd40OyqekA3PJRX3f$(lei^*ZpFco5ljB zeMyEi9#zOW$)x?+QY;)hm~8r`)LIwqTEhMG7KL5p*){Kney+l)R6fE-TRZ1ER<&)X zCRw_HX@;uU%4v4UJktr!N?aLhcs`$G)>;pBXf}l|6u=EDcB9I)uheT*4J&8N826&F zD5GF9lW9DSsOrnGJnXK<{k{gjic4aOV>gDXyYEKz&U=yca5U|9F)OYz-A%=gk0oZz zt-v!?mi`{8!N{`g1*zSVg!M(ogBo@%CtS$zSn3?Gdd^wgdxJ`=I=htedyGeY!do=5 zn`zKpz!Jw`9rcTd2vJ>ZLz2|n#G$B(CeA!YL^>hPZ(XL2#*(yT4ZVVFLeWrQm=fg_YJtX3Tu|&q5h)jodC1u}M?VDv z765)Fjd%SqF5eyamjfS~t=Bq~ISf+OAEf1z#kjxFgry&@vSdi0LDJ zU#-#}nKoo`Nke&f0%*Ztk9A_xcxg|EkO$T0^Jaa2E9<6(VI$?)kwkgAo8j}BvkG|Tp1x@ zWDIGbb}7SW2CY(p9)jIK|f{; z7Pd{qmV7PZG6TVLndf!oO;2qOFB^Acy5L^CRvWft-rqG7vzh%c@$c(V|H3L(pH+zJ z*{M{;`GlTzb`Da#UWL`)o+A+_1!shhjm@qMrE1$sv@}8LFa2^I_fFrb-f}ULZEu6l zCL!qU+>Inp?1v2l4wD?`H>d%6Z5+LPiSqY3+)+0l*==c~rt6}#<~Lnn|Gz=i-TRXo=DvL2nVH_`AlA;^5_G&1hWAcU9;;N$mJxV6_gBO_@s&M=4t zpC``%4t>jb$0|?gt;(PkhYiT~#9Gww;1dx%y+I_qgW*b);pp=3sTp9xN(ik&S6Hi5O<3 z5GfJK15UR=R)Q91Ze}HD4LPzcYjy}N9J$dvPo=EaP^rrs>eZASYM~E9f*ZThr1&Ws zRmC`>YWYqJXM3V!o3^tu<{}!o{k7IK>1@G z(cuviB)yMSuU(90PMyq_f75^`MM1;tIJ9i?Eow2nE0X%31PpYUc9|0>%H*i1UT#Ds z4^9!!)pw&M4FX~oxSEw#fHr6~i`4WP9OZ6Y0@=wRtagAbbc6-uqFf+z)P$ODlw;G; z+lV6n4SelV!nb;kviqr#YKjG|H4)*uV>-8Aa{ z636J>!0UJO*6YR-3$%vzjd5{3`sprPnKp_zFn_Rt>xn)#nuIW`wvozPo#@N$|7aa% zU1GBK9wyJ%q-iBdkH81LgfK{C`5fA180*bze<^&!>GDYfG%gnFlDbJvD&v7_1QOKWW4)DsTUS42 z!#8f#shmVkqch zCfF?CH|0K!BfO$hL=qN=cj9m_ErwOIplGY{h+JhCCYpiW9<@~6;^K98@eDb zQho6oI=Cl~k#&B8YS&*Q!aj58zCU3jL2Dx&EvsREj)BbbUFsL7(bR7B!4jDh%@rIY zeZw6Vw{O0v9v>zf*WTkhQKim8olOpDvyz_xkNceN8h>C6_wE%YYl)b$XWr8@%1QHm zhh;I!z-}7p_dT?BR|%F~9s@k66#4`>IC`O;*)T)sX{jos-uciOtI^P;--xoYfkaj{ zABnncM_DO75#P%V|Ba*0pRLO8%Fb02H zZ_37TaFd`2t2fz0SEDzoFD*kkis`87%Oh;&becq;5zWrxAe`}FW^6+FGbU+~4>lY2 zQ)`*(NRzrPB<1UpQQ54mBs=~N=4~~D9ug-a`STT3^qfwWznf{*yRn0OI~Hr~;+?5Y z_t~W4@)Ml3(bHA9Yz|iV4#(yv_M?s8v8%=2Qc^z!{$JWBa%aPTOVrD^;~ueRG`&`t z`GJ0cYRM(H%q|9)s>rp?&7ROA~5of(;Ud+PBpn-a4~GnFTKJo<`% zg-af7Fo7;Fu7Zd&0;oiQs4l-A*SE$}-t54Nq+vQ<&Pc>aAJA9qCJ3S`q zQ_i?@Pd|8e&-qwB9*&}1dukjxBfvk^3D))t#3uYBl_befr0+t;?#4Dev6;JMg)ggR zZ;5gHJizh`24TyTG8~y7tX2IR2)w5?%|Z#7jeJZzGA<)!J?PgT_OX(_BdD_e4_W6s zPot(*)FS&XYRLJpRPvw;EuCA#ZlY`KSm;j5Zas>mH!tGQr;BlP-`SeXs8zJ!{tnu; z+*8X!2mFSAc`VCy#DmMz{Fu2l)cjHf=oBC5iAOit(W{%qZbmPJnO2>Nq{I=oZ`(?; z2Kxh_<4YX`w@}{wA;3ch5|f~F+JX5CmbUdxNVJF+VR6wMR2If138w1V+trVQ*zw>& zlIx&L`xy3;_aYs^CurEIKw=RE^POM_mFYKQsgi^0d3z9JA4O~&T#@R&JMb&f)MlYA z-p^Prmi}0UWS)JoaP7ZXePt1rU)#z~FRx>kzDd`JiY8&n*+sxlU7)$jSHw4R+S;LPz++Y@cL;PkK0&q$U!J zR5|HAX~F`Pa4TX9v100Iwjyj`DCS^@^st623Oqv zb_3Eyk4B8!Beav`k7}5{CW^mcKZ*YmpcTmAT`9YW>_dMr4!TclSPFcvONo@NJ&oe- z;Xz!{eVVP9KnmcjMu1w~ zYvmU2deXwmMq*JNOuQ=eq$YnX+O==&0z>pnYHaB?Tzc{}Zi=`A*LIv2Z_Zut=zXVU z2l^0xn<fJkDpc8qD`F_aH4aNphkxpwb_dtOS)3asBzF+6o|Oh|6%jb zRU}98n(C^KKqqT1(x-jEIZwSPbGV5tpL{~Wt2#pq4#v@}7yGqpfe`Ld3{f?&rna3X zBU5=@?CuFpY}WpX?vg*jMCIz`Cy||?FPFK=4HXaR0vol~b%w+M;a#KfhiwNw_TCPItpNHS|9RR!Hd{HkfFZ+>UZ z&An|>)slaanZ>^>w@pXm_n$zM?8S@nbbeU9sF*6+e95XoA7dor&QSJwG07=CNgSW+ z$4VJ%ta7}C?P@L&@#HSJP;))dt3-*9?jOad)~`dVaXm=EXb$+1+v&h`-a^PY;Ijkt zfzm_6X^(54{o0xLko68CS`=)1-pyx_&t0J)hqn!HI~`;%Y1L!!yb>?S@K>)l)l{Z+ z;Jm>r2`^?MtvlFA8Vq{;YQWe3oqZvHa9EqZd71TJe9!IYi_Cn7qDGMmDfgI#19TSy z@=Xr79&J9k;C;7!{^BlxK|wd@sGEgk(*)|TBNGSSZrs2Qx?SuP7V{o(LN(3&BJvch z&mtm04Hka{UEwU~rs2DQ+XCN5yd*#nF&S5iTA?Gw7q#m~QWxh5+RUo^urAzztoalw z5pO2E;$&nhbi*F0_mTPB@1*efXVl@__Sf?T>tiv_i!LO63$tYpCOz=vHy_7W=k`$X zW98Vr1rbZ75ZiT(V`>Buw0!d}Tp70oc=nf=omW9`Evp2>%VJV+D4N#upetwFJ+$=4 zTP-hq4rMajkaK72h{RWQNV@wfWKmS)+=h%$b&`R0SY%0O8kC2mLIo!)n?JA1WpuSTzXjl0LsP_)Z;;d-yGfqJt_ z+P;blIxJ{}hS#+3Pf=**hnX5C^CHbE;=@|$jf<>SF=jhV{(5VPC~yXyGJLPLA)=U= z-9AgtkM@DC1OZ*hVIip#3`rEo?r9~uG@FK`iC}BT#|;hhK{7fNp|yr zN5VEa)9?}}7mb-tK_tGLu<}$I)|Hgt=IDs|xgkZ^d*l^O@5q!~xu^Clpi3 zy6GtDivO~~qq3MUm-DrwT?U4iH|#{*2en9X|A+Iw>c%AIB26pR;BM*$bI8>M)alNsb1hK%R>_6DxH5N|foGMR%P&@K~yGEM1?fySI3 zpG;Z(HSkhp5oPELR5Z&$BOX_YWh>4Q_L2oie2{bH|O~R=+@!l08%=tfaMj4DL3(Ao}LDhpR)y7gQSfjI~-rg{6`;8FoWMNneukaPTO-zKq5%lMw0l zFT`87M9Vw40Sl{Gq!3P{;(G^3gV7Mcq8F&!^~G%Fgl|M*?1RFN<|9czL4fkBCs7~k zjJQ_sXx*-%v}62w%FjFkefw&h1!r3*k{LYxQ=(j96^nB-T&R=HQ`SPN!OYMzI7<$B zhlu4^u8c+w)7+T9`5Go1c%YrRc07E9-xGY_ic6~UVAOl~<(U>W5qq&jX&8+Sh>GJ7!2+hJCfS$hM=onM8&_)^7hnvin=zh?{-kEUe_d(7|<-Mth}> zFfS&=nKy)yG4F9MY9!@Bvk>=26*7+=MHsm$$x72OM#abRsO9@Zk7oT7#;e&!)X86| zq^y#b_5Fj?qT^T+k&7}zhicr7ML4H)7%4b863J)zyGoR+zz_cr^E-XUm(G-1%ZhiC zH7667ACw<=7U?ZX_C@F{($vztmPpDqQDNn#MA#4468-b;=;q`P8s+Z;km);%8r`PB z{4xnVM0e0C-r5xw74LCfgbUV57eeRZY&d7)2RYI&l+kNrN%hM9qXi}xs7LWny71qc z5$485nDKHUs@KN2UEhV;nJmHyzlJd?_bEslP#BgMG7s^gLv4e9PmOta0WDuX5qB+g zWv!SiZZhc)d{JpVR_xRpg{Iij5fjUny?xS!$>KoI1d~AhI^Mxr5sho7q@v6sC+NQ2 zg**DE&rzAHEP9XWXNJLw~oYEIURkgf9%OG2}Gse ziW$+&G$bBvAe}aZu?2@ekh{~4F`~6Hl=^$9MwTF;?4C7*vEM_ohCM{@#s)YT%gtV-QTsGoT4QmL7J_YU|6tiV}Tux;S4R4n$ z+@jofkT33l+0BTs`HfUQ_F#yx+ajulE^~*} zf1tN@AmSx=fwjz+W~T4JmV<9V&OaMDh7Uoi$*&PRvJu(x!ckN1YEtr0t`vtT(1X3u z`3~n8lsNcnvmUP^U5skR1c_#bc9g*RGRBLj)0=cHdqRq8V|Jq03tn33<*$G{eX+vn z6I!kPt?ge^EtuD7b3nm70sd8(;3u8-0%g2iJhpx41LAVvAIKlf@n*(@&N!t9?d=|@Wp99YW@JFm2ZQ8UPi7XP~ zK3aI0zD(3~Jl~Bx@~q%EP@VUkxc(NayppN70V@ z!0;NMe$ZERi%qiFgk>)8Fn7yIn&fZ^OEaK%EXfqS(Q$uyO=?<}2Xj&=l31xw<=aoB zepd=oTl*1l^&h#B(=2r78LQE4JHh4!+<`T~4I4ZU5Pm)(s*nz3zHK>iO%0VxwWiRu zwGefw{W8Dac?RWvS_eFOBvRGD^`oZXo$G<+PMx3^rJ1&OT7|otoeknvE<=jwE|hEc z9XSGi_}lBIf*zy0`yXgE%gRTnN{VrANq@*g52PHU?M@j_7Wi>S-%x}1jjWp(qA~qh zLRn)=B+O63`A2&UvOF>s_JAKq^kaF|dg^$;6tZaq*Re&2zq^&V3+|vd z!B-QxTlW)%mos!1twy<4rI4FW1>6DMgj43z`FqiPfpZ&T`~O0Ed(iu?tz~+xz0As- zCPRko6uNz*PbB|M3(;F?DPJE4-7a%+(y{ySd>ur5$fa4z?_rtW5Ni7$>|^#b@Wx)& zD}>$pQxCwJz&+4LselRvEx@@{V_EV&I2Xy6N}r_xzx3QED8t0<-1PUepS?*PSr$Yg zPgRL{C9i0F?r&0Px}Tc&m%?6i1C7|pvKCP)THgH@-mUnd1nf!laeFZHB?p=5n()bo zMGUW{9nD|$Jybl$6(2ef>8gj#eZ)1R@l^2YmRJh$l>>Mky0nRvtTj9 z!yLgjD$ZA;mu*j&#(dwT+_Iy8uPH8gd5z``dyKuJj}no?Y1A_>j}`BIideUs)GT#9 zsl04W>K{z{%c0Vyr2gQ$c}n=<}Q@e$|mT*BEXMN#jaz`{FHs5oB3xTvM_F>3(bCM8(MAfV@_VUKwQg|EsjPx2ccgF zvh)S2<*3}F8i~TQse^7W8n@IgNo2emH<|kY_hyQvhbCc>@F~h%{#}zC_(iK19wV&O z74lgZN#hy`70!&JMg5Z(s`gI6pZyxN63!E(-oz!d7q76DjQ0GCWf4&){}?Q-x{JJ41@r{pcELPj z9UUeF!OzDGw=Vo% zJM7Mah)#8pAAqu0=BGP9oIj zBi@`%&>7-Pw`~(I4tO+L8Yo&8C#?vkW_*@3!nq_lrBPUYx;N-5-(hX8#+6^rA~o=! zNrA73>TWjnHbiL}K5ay?@vMTs;VoSeQ8*jcE%atvQlLe9G&bYgqWUe}$U>8@fInJs zQXebAb+X3K#`F`_m?mgUt(H?^wI!~sy9Mvn4P3WpGE;eYHIDZGpdFpIeQ3taNQPP9 zNZHRz(TTvJ+VbuJ=x|huEvxw!+7SO1i5NXHSkFoT{R=W)BZ%o>6}^UfYuKtnB5Ynx zRnF6J#?m-OUtCI|?*Ou-kD)(l1+M<}4{OXBH6A2}rW;7&epkC6P;>F&4fnsM6s9eyujrq`dX; zV~YI2j~PgBrSI3Mni#AwK8v#z3#mF|8_AiHLu-r!umu|Pw~G+zhTdZ(wi>vu2T`GZ zbhsg*fy!JC;!aZ(jP!mobg&_KcZwnV{f%}6uOXk8%xA@$b;zN3G?mrVWS>au=h5jZUwz`e6bXw*rxqk`?Ej~i`sgg?HWYbWKGNO4kXhCg!0Lg28 z@2|48M*@!4I?Zc>c3Hk&m~}-&xECMNyj~M%%whk)VPitsO#Tp5e4xfU-t`T5#3#Ye zcEa98wKzVvj8^)Gqe=4)3pN@ev;pD|BVE=lW+c5%q3j1dvN(Ca)>JtinGGI?#9P)- z^@BagBiJ3;wk{&UnVpilUHc8%w=Z!|>ONA!ok`|h^KuE>b)J-YM3DWfIgx+0cH7BS zFek^MK79`^moyKiMow>uI=Th_m~g<~m?QVj3)n);gA1vmY6i9ImZRmj)RO_Nk5_P8 ze}SKRFdjE$XOOh#P+A#TK#pD>5+(uu@ScCt$e9Z}R=CSm;As$GgqHB&~4^}8UNXMJa6siSjq09LBs=&^~wR~NSyydw^5VycR zulP6Rarv6W`eSq|dzop<2`Aq7L*Vn6P@Ymwl#M?CpN>Jwzz?YRUahwB%pAPV??ixH znMK4^;|Q-*Mokg)N~b~x8>bBL$Rku?AA>EqJm`e{j2-k_f!|(5rz|hAuQ9M};#wml zxi^^%IgVIID-RsM2)g`Q3h2j-CjX87?**~9BJuDZ8Bu!OSKy&ii9vq?h1mj!ncN95 zR18j7c!BZYY^7Vejrr@FCE^QDQC)--I&)uO)#)YRk(`3n`m>~CH%0vL8pMMRgWO)Z zz*l1=Jv0Ry%qn4iWT~NUH_Tmq(1~|Hnev8-^kA(2Xi2a$dFFduQ&{nY+FA?1Ul4&} zwr}+lK0M0yn)ripgmX{%!iO{|@euiZPpZjFH$qu0e~9^a3u5!ZKQ6$qj0jl@Tp_y+8Gn5<(&f*hi*icbCNGS|j zp==YtUX9yHMZ$dIo==H;@IA7UZW{kAs1!opSgaBgwc29W;(GFD^nl z`8vRXEx5m-pMvSxNO`=8;BPA?qF@D=EWf^Z++JYM16@wc$P>#@8WAi7qammqEYR}En16oTguM+ zNZT*{#x>Dxa6L>wznV+4ipu~KJw%xSqqOQJ2Bf}FhcZ*sY3^ADG*9To(8nNE@^X5+ zV1a-ACnFrTfk!N+Z$LQe1Btx0x6s!Al&pK|Wuz;*MsAyXX=R!_ST#7E^8FU!txV@7>P0~~ z%WDqwNslK@nFU0YZw2Quou>JBe~zHfa;+T?&R4Mc>u~MrMy<$wB^G^6f%RrR$!Y!DrcX?(xF92hKQmvNsf?xqc*6XddW_ff*HI?T-H6jSLQO(lo>ik)X8pFK$HMEup9(agB>=$ssC+1w=jeALxsSLIqpW zh>SCn>Z?5<2Lb*zGc9|jK0+saM$Ne^@$r=tl7xXu;OG8$$%_Xd z?^7>XanT5xBYOsYmr9h_%VkuTX%?YmIi9!z>CUSqm``Q~3| z7lAqs-^Hd!w3Mr;$Ks21v`(>>To^Z8k~yIiDI9Mw6YF!W70OC#dE^^DQ_-B*P-*%< zo&H8I{(PO)Od=U^5_2<5kt97Bxd(^R7Ll)3==GWQuQ4bb;CydkE+4eFLAc^_IZ?g} zL8`z*^q_N{MwL{C`KG&QE$=NU9Q28(x^IK7@ImCNkk-T_f z5!fLWAbILovg_7tCZx7Gio@ZAGvELEQ(Ec&|Jm}tKOH#0%^Lo|tlv!GYkdC?#N%h+ literal 0 HcmV?d00001 diff --git a/tests/103.out b/tests/103.out new file mode 100644 index 0000000..7010445 --- /dev/null +++ b/tests/103.out @@ -0,0 +1,32 @@ +REG FF9FFFFF21240000 +REG 000000000017EE80 +REG 00000001BFC00000 +REG 0060000000780000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFF95 +REG FFFFFFFFE0CA9244 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFF408B +REG 0000000040000000 +REG 0000000000000000 +REG FFFFFFFFFFFF9ED4 +REG 00000000000002FD +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFE0CA9244 +REG 0000000000000020 +REG 000000000000EA01 +REG 0000000000000000 +REG 000000000D000000 +REG 0000000000000000 +REG FFFFFFFFFFE80000 +REG 0000000000000000 +REG 000000005A108491 diff --git a/tests/104.bin b/tests/104.bin new file mode 100644 index 0000000000000000000000000000000000000000..70558707a45937fc311a6a0618ce5c9f6b9aac9b GIT binary patch literal 33468 zcmW(+cUV)&*AAcptE&klfG$D+rHPtg0tuo7D_9diMHUGtB25XJ;JwMr9BeCg1OzNA zSXRJ_E+|;BtYTft3Rr%C9jvHf4eIJQet+b-^Slq-+|0~9=RNOvhr{8>gzpES0~S{F zV~z66IEcgH1kz9JRZ9*-ukk;|9FCA;E);X2m`l7F9e@9>2>kwi9L2^l^cw$T%;Uud z@^}jZ+Oz-yx#r~lG`v?8sKj{5x=>4uz>?-|^ ztMq%X#+q^311-#7Q0xWMyVr|&%RVn+`&e?u^y+ODch%C0HMg)@$T72u`_2)L`Ofj8 zm={a03xA9`-xXsx-=|P)3QMmGe~kb5BX-Omf22?>g{9YpKgJwR<`@oVBgHnd^t#ZT zj_LDJ>dN6cbZSOfhkSf{r;H1;xTB7DlnKgiJc7Q%$jD6kA5IWeFU!WfaS#SRTdl zD3+JNG0&q|F~uq=R!OnS1WvC?iq%l8iDFF@Yf9i)HBqdcVxK7XiDI7;INVPZ;{^sH28@QbB z6#KqF>i9p9>HCoQvSW*wtRbL%yNVPoEXFd!JjjjkLbCNLB5}wiI z(%#C1#Gm8iO3b~8v2Go5uX%`dF1tz9gT9!Fx=L({cc3Tfp0oWNp20KMV7=wlGkVpO zJDQ<;q9g2EW?=TT8cD@QtmB73y~zm+x1R(Z>qy*d5mK}tA<`Fa2$kTy!{ynpUy?OQ5h|zpFl4=G6|D^(g!hM``D~_WE@n3q+ z;JJqMw}-G5hXgZqBOo^~h{(c|NkgzNS^ch+kz|Kq``3*i6ibQL;X1H~HW248v!S4L zA{tjbRh>VfmDJT4kh9qZ#CRXXvan%9RFw;6FJ^dKjI3uuGy`RMgIyL@ugVAA#r?3Y ztPKjX)Y$mS7E6P#fcX4g%nP20x=+6Zu_|^}iG>xh+rNywI-lUu6#5NxpE^NacOJ$a zu+~e8_TmclJR(2XpZJCyF}#5VZZ2jPWWm+rhLt{tlPa2RQG-h#()`E{ZJm0H?Y7xgKm=#se($x`TB-*7)>4EdkESM%46vAWlEI3nYi5NM%qZm}&;# zw`YIT+g$Mn*P2p&kaN*q$u zKI~`#VQV6Aj@3mhknLa1Qq(Q{jp$CApn&Ov zODwJ<+v!)B67zK+H+_YSJ8h`>qATM2DzPZ`F?_sj%{W)j($ViCLir&gy1EH#o1Y@} z$}q?a9fhnUs+8O-KN$qaxGDdBkw=Vu9~J#zz_Br_?n-!xMJSe*reXW6 z7|bS*!c501q&_+Vao=x6wsl9b#28PcXg|??J%+{||DsRbdQfRx*#*kPa-4U)8xOMV zKO%pcH9UDUKdi)}3`=*e6iI(&uj*0UF(iLm;e9bRdGwB#KyWIW% z-zIpOfJ!VpfomE~Y>bD2_0=QY#v52VVLz7oFU0THypG{8eTg+^gkHuuLQGjTz>A%Q zwBOHwbblH6IxYBfUEozhta9U-K7&wRQE6LHcp!z|{$FOp}L;6q#g z)XP>e=>5yz!XCejWl!AQ!}csVMBH1Bl4+TltVebbbl;zcdra$*%>-W*X7OOGZf*{? zUF`-o5obXATQ0H5+Cel z3+qgak@i|N=1*Bgb`P6}aw>mfm5PCw8EuVf4+>am;v>TMmgCCr0-`(7Pwlki8CD9n zV`KTEg=%G_bOx|-ZuE{Hbc+}Yd8!m$;mr102?>+rn zw`4tAdlT1j(uj+A5#n7>qH-07d@q8n-~F9+Y4cIz&kCl}V;-rtJq=4o zYZBya1ldHp6SG(a5%qt9M!kvCi#FSVyPO(Pw>gkpKkdSrCiMH-TlkUjq#Fni}BRr^T%WlOr>0gFVU67C~848hu&m!Y6v z4B|TMN3Hb_i01ila(Ihhys4HU-5=eNG%E*eYwQTO=Q5Ja2^t~o8bBod&Z6H|?n)Ie zDMcpDzvzJZIuc`4g7d)*sHuJ-u3qJVs-EP5tfhuXR{NsreYTLRa6)$m_%OZ0d&jth zz9-fDT;bIoGlSeS8?}`bWA)zxsQey;S8gyfoR~UWnDe=kH9k3qoo>8?iQilG%FH2z zs~AmK&yO^I-z4RM2N>Ct5#Z&rIITX@5=+`#fX(@rX!;xjkGe7>=@(BJ{d5RcghFn+ znn>6Aeu5Q$)-t*M z>_Idm9S5F;h26F`(hABYOKQaq3c# zKZgBjV9HC#onvbZ1-)94Yw!@gR?~@fYkhEGd4fvk^@WHz+p#uC3F(vOAwf(B_Z%r+vomBF;t8L7Tkm+S3)vOzE?6Qr71SZhBHz3BKF?Jj&o za=Fj3_!~xi*QLnicsG)G-6dhK9pc5N!K7PLMXFaVMWQT!RC%mFF6g(J7#r%4`p!UL z^l9KCo(D{EGLb##M0M>VS~rB^12mT&IDI-o8h;&iKR66Far+pXonuvbf?Yx45q9Xn z?Opox0|A8h@hA4kgE&KapJiAX8%A~qk0vxvB96ivulzbDO!u@IiL*yS?u5VTJv||> zB|!A2qJ>Q)3-nUs6EyAAL6&Frl!$-ZO24-j$rT+aJ>fCv)OFsz{(Ut0fqjuQ(+%61 z_Cf1|$iF{VsG^MtKdLn{_l!U8i%{0Uljav4VQXlQ(7fy{_yyiKW zvfI$3w=TXF>Ti^btpx4+R*((qOY9f}jrBF8s$*_|%eAg%+CJ=4V@_vv! z-WWR)GfQ8gun%tyJ;Nff>E;R=BfU_%UkT37ybbcHZ;7toO2WICjm(&@sC=~#UGrL; zTRI%E8xrvIEzWMDZ`?~<<1ll83!5Ez$@h275AXx>Z2TD~XnBG1!z zE)i*GULv}UuhH(ke}nj21>ZMund34_Shu&@P{lcR}L?SX{v-y&9a zn|u#kFe)!ek8%rb(AJx0^&;U=S~qopdnsMNQdj)S^xU=KYI>qa)hw{-?Z%e0I;z&* z9j4dDsc>CYGueJ;_3U@=ZYHqd|KW@Tcig+@MtF?co1iGOIfJgxoxNqeq^*x~d$|J4%GxUAC5v)sPLFq|z_?360 zN$dyOjoUH5YZ%VWZh-=a?KEy=!I1NV4gMj{AaTsV&5r^>(l(ti%vq55AIFjvfheZ& zadeTi6X#bPLai&;lkQ(rh{$vkZM(M<$;&^Z`mX07J{eAEt|FEG&ydt|Eg2T9VkKG4 zpv&8cOjf5+eR89gpVfh@QpSL|&qXYq8;LURBq0xt9sNCvgAd(5qIy;PnT>YYy0G$s z1pGOt5i8Un`_ij4zLdg(cU$x^y?UU&v5R%DZNlsm0U9!4mzq9530;}*+OQ%H%f~g4 ze7-FfbME4%P+RO#Y5|RN(_lz{EnEF19xW=lHR{Wtp>7O~H8%a9I@irvG%IJ<1RS%k zg2-IAAW=;r%XVynhUsYr?duab-^YOcIaBe9Y?p9e$gfCR-y6+2yw#^7`HY`>;A12m z@`@Dg@$h_rSdbYEKE4Oq7MiMCZ8Pwc+^RI9HJYx>LT$(vqjQi7vq0<7eUPlncWwd;hZN2QSIV zG@??yd%ApQ5R#M)!u&xd)GfP$T;`r23!+B*+g0emCUp~i-%GI~vl6Y@6si1KHYu6+ z{(q>UCzCkY22g(d61$g|A)B-3F>6yp`Jo$jcxE@RnP&i5;%&%}uq8Gj!;$^NPsCI_ z226=Ppbg$la%ha|X>=j>y~be)=YK?}T8#FbSvAWh`#a&5cH!ltJ?7-Nt};|C8VCGD z3uILIp{!ZVBJR;>gO+zNK>qSM*cn~1Y-s_=Pk5mM$KSEkAs=(OAxIiw5B*vv4Cmb| zL(ki$=tV0pF43vq0SyP2IeJ*23nm_Q@e}MGoZG?a0 zEwNGV$3nBSXwip>OjWpsjH&^m~#As{YvUP`_1*o!Rg@ zkbY{x)elyXZ5QW|I!!9bqjr*wmJNDw*MFp8MF82oHiN|1Igb5k*JIE+p8@rMdyw+3Z=L~4B>w4F+v-&I6oTm*1JHiIDcH{|_bvYth*3vbT0l0bNnG`zTSAo1eG)Ygxs^mv=+}IyZy{Sx@ZF^G>eH`UynuK z?qK)QEc9M|l-*YChMAwziH&3}cK&rQvf>O;1Y7OLRcH3#82A1}k~A)?F~$^ILhBtS z*Nt+M-0^!VYW4gn*+iD}f#my?)3{~@qR3_>?d1Ym{xp`jE$goyiiqB@rq`rW=% z7ijUPbI<~f!Li7_a2R$ydtKu6{xt}bPGTneA~CD|jCnE@-Mbm^e(|5`a@*rdDMCbc z&Dh+Tggds&~>zZ?BI zI_Gs0a5c5)x4zX9Nf3>l4r6J(_d~xb!VT3axYpKdi6HwTs#?~LWP`>7`{XO`)})}T zO^b&$bjq=kGZ8iJsQ}y8ld(W~4ohw=#v)B2uCLijxS5ZLL_7+_O$a<%ZV=Jt&A4&v zIS?NuSaebie36D&7*8|Wi+hpl@nb34cUf4_5D%th-l$>gP;z_e@v!`U$A~mN725>9 zMc9P#HcyX(9p@$C3AbT+ zz;vpqWFhmFPRHD`-tfmj4`ynox1KlfBHeR2AVt@(D*GGe zHxOhJx*_MuJD~dQRj=h^f5*%j8Spa`Kx_ORsXYH>oL9ZYy0|)2rh7c!q#1>!|MUe5 zy9jx=;VX6(VSn8sXRsb#aTETaM66*jcoVmFx;KXMbhT=AUf%U zZ6n&TDsnIC$)xYIp_cIPW~Q}X%t70dR>G{Hw?0vhd;FqOE-w6`r(P9y>a*|-O=$S$ zs#B=D$y&fEBu0m;te2u&}n~;L!rCV_4toGreKIuqe$%SUKmDt@O0wkU^ zRxTS%b@N&%*u|sy$ck_~|3U`NxFo8DgL7ud@WBH@V^o6znPJt5I)0cDLZ3J5xw7}z zXz;)T#15!yF0g%w0;O8q61ICrs4~&(X+5N(+f5Kt{dL!at=9j zmJlOnB!Xx6g0y8Sdq1b8+YMno6o>oC)b4?5q>{takrh~X3_7z=^XlM$3hy6mX zLF=^#_^0c;=P(~J;jqmHUFkjYa_j^~a(FN0H@_fd;Yz$?NL#cl;2b)$a*bPV$2nHM z@*L7;{Yg1PB<0doME1*i&^c&m4q%9h8v=u}VuS1oCp*%-Oy|23f@AAQ!+R|hP52ed z_xWQ@|8~UN{yWjFUP_cg5%#OwKzj`{ur2o`;jg?1Z9i=ViR3B{n%1a_S+f>>o3JFo zHm`^1LPp}Yu$Q3J6u-GmEOPsTz*U0Jmq7y=_YB@)Cf4_q- zA1yKH>Vkn^+XpF`2I9UVGh-VsiO@6)x;n*J?Sx{)6Mh8SXTL7`>HY9wcNXk-a>_WX zvMrtu-0dZZf4UZ!>_eE{8HMu7s_>%ssf_cnVq#;6jW@G3G7sv;MCcqWVTUf+Q(HR@ z?=H_JtV24|oLo;NdpNkr$9!YExZSf7l%N_qFCZlQ-Uf=>WNdt1@v5fQlH_Mi}Nlvs4EM2bU&}dqS9=* z|KzSx?puyF?lG519g9$I+MQ%=zJk<;bV5$-R;0FnfVIz0B6Y`PQsF;_>QJE|fg^bB zr}KJVM*}QRPKan)(HkV~)}$eHB3jEN8dO3{A`2k6s=VKPTUjUg)!qmH;-7KrtzQwh zCIQRC=3rrxKZpuX!-#oD*qEnw$gU!uc;{|mWHt?i|G^382ad#+#d}6+{omo%9SUGm z^2qM06tFqG8E@+pqMVRd*u853(w5NrykjPOwmKCpT7O&bB2FMn67M++2RcAo$2nA> z`9!oHy(ll-HD1zhEpF-@ff_5`$EE+a3h(^i2`}M_I#RXD8S}?IM!I4Sum zk2i}5x=hffY6Nj?3(a{tM}44jZu3DFx;A1#rjwgLw7AzZPqEO~)QW zYmm$OL0EDgEA{XO-BT-))t@gD75`YPMAWR>hq?7M&&}ik|MW&&751%61K~h9UFQ(OaL<$K@vexS?@sQ_J2Q$eqdo2+n?Ux& z3W>5eLxSh$d0NXVSl*<JX;E}@^+KH9Z#=uZH3&C~D?uKaOMLpd`F!fLb0O_964~WrWLvro z&EXx`Mq`C$xE?SF_q;&O$E~pQ&0?slYNMLM1gyPhk6hAi@a~sKK|a0-Ck_&O3ifu= zzQ9~;?BNcZ|K_AVH#QU+_T2pOUK9CEz~ZKH(EC?c|L#FRSWYG$y8Ic-+FnB*?OMWT zDnM&>kH+69TyaW`v>6t(hDjt%1Mh>g?oT3bnF6&7su`8TVj|I&U{l{4ly6y4-8KYl zr>#Rx>>F&V{VBR((KNM9#az&BwneQ*Cs37BKD=(SSbMmXK1UW3CCV|k)134SyNLJi z%rj`Z4$a>4whto@$wa+!AEi}_k*H{+W8CAt7Hm@-rgcgu&g-Q4vF$J5#hnHJt}4`U z(Ok|Aeu#=XGU?taLmtIq6r8mS@`BB=D03{hXI3E}Wt3jP45I7wl0IiAzGGj&>GWpe5KRzu;xmsO%xJKE>@ACc3Z z>E;G^Ml-HipE%hnsd2kIZccB&*@LVMX3TJsezhNwUtWWGSq{K+*pA*@|EkKb;S${* zjAS;Wfb$fwoZ5-_;q37mzpl%q-tRcu$PO_yZ5@bh{#uycWc?AuBYd$QyH$#2XeI~chE5qX! zrAiuTi)5dtq6&2{B&dnTV$X?SI&+E~9P^k>-;9V$PZhS0b-=3P>6pjuP4%K6l3#d& z*m3jm^x!#$AGN&Hrx3KM{}Ff1Nt9E!niQ75G0*r)y}1)r;DR z=HyWHvG#X{$Ie0hqvz7v--=Ye>jl*he#Y_>0{q=0C??SJx|dnx5|9}8f;8_gGF8pQ zIkg86ckWSe57~@*z985)k1dCf&z}iQ)V`YtdQEF`!BaT^v>x$Qs?tn1D)X+X? z)*#X-ABgXiM6rUpO;B28o8D0UmQ=YV{iwac<@z2(dwi%hJOr`1?x;St5)_FS$++4U zkuH5C?moEjht`g{$Ahi%vSM50-Uoo*{YAo>E@0^4Pq>Qdu|_x!Dw z?W;p=3yzQ`-Y%l52|>a*7qAJ*#n*e|Mbg7{kZ$YD@PZ#B34a&L{v+NHBWZybmeq__ z7L4m<%kbh$;_wxpc!9d(QG{RYM03KQ{%SRL3lgQ%6Bm?>L@Yn^%*=>n6q+!)v|LTwVsDHgJvo)baUs0ka zABJvM8pr>&Xw&=!Zbh;q_EAd@<+fNFT?loY z5a_=#+hEr5nf6^~fq6`v_b)?YJlkyA34cc@ntt}#sN9&d25q4mmi_8Wf;-Ad%*Th& zQ=UkKF@0H3ufRc4%?q zx;u1#(4K_o8r8L5VC(pE%!e61ddUQ;51PtQQHvheagXA?XJQO2=RD9SQ zgb25e2UArIS(f3=Oz}31=#=-;=T(P6Pu3aq=TEl{v>rsMf{C+UFPobnN$e)OXPpOj zM;Z)zv}m?^kUx#Z>#*IB-YCB&4J7I-z`Iw4-G1Ajl;IRf4t~04sMnN{^-FzOzm`k5 zE%q0rvf4~!OXWB@#K}t=w}<%0hLECa1=2or$BiyNuzc(&Pa96wmZR-Q9D;tIDvz@`cj(P?j&j(#-Fme7f_W%2bor>w~7h|3{@8UhWZ+(KR zbFUJgxkL412iHYw`O}e3dyKSJ{!Dv8KS9;1MyT_AgxC{NWK_ULMleN;G!Esn%w(6b zwn9zUuNE_1b8%z+YOL(|iu-Vzn4H65AWbz8OJ#C6IdM9A#?1wyy2w0KeP#woS6?UU z=Nm}O(T(_E2~yX$@Q`k~KiHIWet0lIed#IwJL`13iRK8M$05RmYiN9KS8Vw3%|9pN z2KM(Hffb@z;9nPv__AK4jwuDd*q6A;T0?ZNfrL%%&uBg$X6lq`2>a=!x3Bf0u%g-j zARXs(ig?Kgtm`xqTl2O>tbP{U&se|Q*x>|{Atl(q{Th*yk zyz(+~@BZ;6_E{E0)^Zb+uNMdtM~&2s`eidKj4L58vqq?-U870Q&NV2e;32t~R;o|$ zQ%W}PF4k4M-9t+fe%G>`wY>FArD=gC7)0ck-%!knbGS{Nf^&9>phSwC z1%p($Ex3sAGB=XR|Lz(y)90H$xAqR^W?ut~S5++QU5V3kJ~2m6o~L=s3UwCz=N9tI zOo@>ex;o8dG}Ug%{JHDy1ikrC$TrVy1i8g9RJ9<;wfm40G!3jp-3`^)bU6dJ?mq|4 z?~R19><%+(9+6+?4G6E}4*!v>$nySA|3AhwmyJQyxpTpIdNuwYtxHJlKh1gn>VC8* zPWcFDJn>G@8Jdr`gJ`pmNX`P*u60A6<3shnPevJ3rt`35COrAbfH>XZlSCW3gXowCNV;(v zk?hYwg1UR8Cvy}UR(=BAYB7G;C>`fDt^{4*)oe*+npcAy5YVBEl#5!sUiSa@88`VGCh zTy*tkRNxaqd#od{mJy>y@jZCzvp4xj*j~T7@=5S5plXz=h1L+ zuvuZw414z3AjrOowZh-A^VnfXXXk}=hi75I=S0*UFc!(FUr1WFoivu+Bp$WyB;D^P zT0iZ^(z9=9>?_0fs*_@Jcd5W8XCWiVS_JHZ`&cG_KcDNBMwTsg@vNHKLV`^Mbdz0a zzho4ub{m1c(&n<=rfZuN3WM+Wy3*MQ;w@Yc47OPU{H99(5m+`E)_ys(5Y1FW%zmOho9g&OHQV&iC7Mzx&rT6Eu zjL->s@x;-(paH0;Pbl_NoFd;oY)Z{LUP#178?W~rds6dPzeH{4yg=0d8vbp`Xi*c- z3)Q#WL%poq;+fNRNEMq$dLo_BENyX2WswA~{yow_``<`fG99_z_tfWD1!3mW2NXkN z^v(U1dR_EBEXz6uM#EarLJm}qj>Vc8JwI|jQE*3sSoVc-;U2F87S}OjvjTZA@tAKT zAby;^L~~$2e&H7t&9AyfRxkdC)#U$&#ddwkKeMIkp1L7;%H7Fh`JZ!${6iwlt(~7J z`IPR=QtzhKjG1GkS5%}TXd-fV2tk5_n@FB~k(Y~DMPq6fx_{py+Sd4zNIBQ=)2$n4 zi#pozr0)aSAAVrjt9wLPy_`I3vsT%cHv_lpI&NOE1Y!<+AX;W0QOPDzO@1Kmw)%(^ zp2x7RhKthwT|y*ZDL>t{7vz~!v2GXjOwDda$Ky&2+KQo6V`{+6j=R9Pt;2$ugWXiz z=~#Ap6@8X<&=#?o=2+|ApFe7}6 zc6@l|%Z53kp2Q?D?j1p1s?KUk%&!wyhmA@>%}J``0|Hf$grlSfxk- zW5ZBnyu1M#2aZRpla?>>FvVc$rvy~6KazSvo5_9oRy8|FO~$`i%%=dp}P zd%V%LbNyqCJG4u=Y$0;1-9r1YWrVFdjh(Mdb&(8sM0CzQkQ=B2NqsiXK3_G@M13*r zWlO3R-3VqDFo>VM0<<2FQC(~l?)TY}k%~k_JbN4264o_Fk*QhYeCRny|H*;nOOmNK zb{eU44nzFH0XRQ;E#kHtRbrDCHJiC1_GJhN%o}l8#T(s^cq%V##WCzP7us*b-Hm~e z-}*8aQ7pXX)=-iqe?PyJBYLWLXx8ip!3jyE50wLxzn0@!e&CQumoJz{5nRQ z>48iwuPDC^SKR)?MJXw>MpK`#J}=Jy#fsb)5%=O!9NdwH{6+W4rneK>o{l$IJKF<$ zJxyI0{7A;wcboxTzXT$Q?N9v*eXw&_J8D?B4Db8%F{6F)h{TM=Af6pa*fS$BFFgP? zv-XI4>I9>s8vUqC4x=;?Tt@Ql*bmP=Vru+okJg_w+&c=f-K%F6ZL6f*_%Svso&!=3 zEqHz&>16x#BdY!~0PhdIGrHb^MK0@3;hc#7z*N_Uq_uyLv95Y8I%Q2y#<>Pyc-@;?))kIxLt7yOL&M+LBTibD8v?=roqvC*VSGyjfx zu<-s8PT2I>s&XaC+V`62Qq9+gy;|z8yRreQr&2$fW5lKH6`o+*Pf(#v_~J?h_yg_?D{2WqQwfYUAZl;G4V68 z&NB@wWZy{cAc4O7rVSC_IR^6DhiLAvPZxSHL%?X0Lb_WTiRSHJsLk;hjX{}2yLAfb z&G`AsHuNPkH@C8FOTIv`FaWQgFd?i*vlTL)yTZ7weGNHQ{m>sv&iP6`DydhL_Rech zA#PkAsY;m*GG!sTzd{quuSubMHCfT@l0mxbwoonTZ`_oQL1q7$jd2Vo!Z_MPZ4W>m znJLu2_n79YZfL$pbv;^Viex@lEej<Nv{Po)|Wxf6ic>mQ0PdJX)$I;A0gEic1U8Cg~VB5w8nabw8~RdQ>~^t zfhXdx48w67I!#JBs~ zXNc~y)E80|-s1C)AhO#8+rv(3M)G{;E`#=360VQiI_CHe6C;S4jfNJx1~iFJ zV3|!WmJJG|zO!DyJlRjgns8*Jal-DN{gE+JO81@vk$cW2@h{@y#D%NTzVkZ`4RiOS z>J1jeE}n;2MHb?QdqgnYZe$lb0Bs0V`}kQIvFC_u`rT?RFtK02X>$USJ06{N=kHUa zJ%pEVuFQ)1e@~EZWi+tDMW`|98p(S!lQdl1Pqyvpg_?hJoWqr0!m`>!pkEd)JNa&q zn{;hAYDk(2{_P`xjlyL4q{X-=*AcT}^RRlK1TNe-osm8}nuG={(T^LmLB-VcMv5PP zuGopV?P?UUzV=}B$!#AZZr$xMuv1^7rawZ*2r@@g-{I>q%%}+RVpFh4!d(R7L@&Yw zw3DKFa&jO(OCK|@4ci?q!%w5v>9zIe&`W7Q{nTkM)wW+xK-0ai*sg0Uc3MY!T195m zE42#Q7>h}uBuef<&v0zAl{@OM{1sRbO*Lo^Ag_o9v24l^>QQUQ+$E1C??)^*Z0i|; z!rCV@cjqi#-c$H1k{=p@%71UsZ%Zn~nkz|u%^IW6gRmpw=g_hgG`FZPbs( zA=yJV1u>7;Abwpn`SZGdIam1;@oVuw>09O)w7>a)MP8#%!lLSAcDQgBlNE;>coE2@ zJ{;M__oJS>GVJyGV))#D8{K+RHskbf^N^DIvf1QKaAr(u7#rXXV`C>Q)SkVDb>}UK zwtNy2WMi9s>9Hj`OC>c*n4> zej*;+RYc|Ki;G%?Aio)at1RAwdh;o4uee8j!dGd}BV*LIq_0Ta|9`Y6-h-O9|2V%8 zN?LbjLQ&*p8Y4W33+s#oOD%DaFpHk~hy@!Xty7YhQty!t*$Z}v3KHC$F7SmCCYQmI z3u0`Ow->5!x+9&{Ng5yK5Ki3*sB9j99?zZ=EjXwrb`Q%?d&xT&>TMw6#H}RuKF8Hs zY3GQ1e@Xn&=gm+2OrOD%G=-kUvM)MV{d3E(R}Kf+T&sV{`0_Npq>YE0qA$p7`JIH} zJVuQwb5fyRfO_Z|Bwok^>=A1QP7}VMk=_}MsgBn9+!tWK3nOhsU&OP*RCk^RxwXA< z^{7pxq0AYiL)xkKe1!DocrQ0b{fb>w+h|Y13V7`$GzW*^P5=0?i)~XEigs6^Hr^bP zlR4BN{puGcIyxQ;MB}L6`5xj%PQd*2%dvtRq?boNM@+1V)^(dngV$ivlw?hwr+y4{ zipc=MlnX>0`3Gs=c#*FLeEhC1oUWtD!s9i|)%a2EuSn6B zd>3V$-$qo5ED&Ctfn?|9qnW5(NOScr+6}G5>9Q1czw{y8b^gS}EGA85%|PBv3izx% zgdw{ez)lE7kOTXb-;sc~oCTIduiq zD`k4b?%Rnz?p@;2sGh1%zmo)#D;G)G;~u?k01K5{K9Y)S-)LXcir({3u;kVm5WZ({ zgPfj2p*@*@)}2U{-lLwZFUx7q-wbmZSF)qmoOnq@KU$wPk~;1$)C2S!iMm)|OM9W1 zn_rOg)M$J;z;~8C69PH6hWpHW-#dOw!KN75dV22V+Kp)X9$|LhQPN;+Vsl?FB~9p! zy1H=&Rv&a7yz6}0LT2wsv|vP+{zq@rRyziFU%E_W-g&A<7Xz|w_d-%@KO$~_LHY&m zWq4iJiG9^%;I^(vY)D(6=SMvw6$QEIyR>iEpDRBG##oeK?O9vU=9^>QKw6_}nsC$m zUg);R|HA)uA2?sy;fFgrFX%ZFZnCo2gAk`V>96~7j#n9p&6Gc3_LT^(UBPuY$Ph7+!yT73-lnjM1Me=kb4q$$53hkvwWC$#}bv z)-EbkPdPFDTbd6#QI4k?bK??`ggFV9Hl;Ay-|Qi=n#XJz2XYRlmWXC-2Zg8%bao4f zv9TIIyXz8e_VZq4?@QCua{p<@#t;9HfD1TKGSd~9gYUsVtA%i@eumi%3I57DFYwKo z$u?i_i^ZOg=^5ljMEhwU^)=@pWcdx{^tZm$r~Mkl39htW8Hh8kMq{pZ6=}^BD0}Lnuz8b0I&(J`Cm^b#6h zs0X9=HCeg(hW=weXMM^YiD8G}r{NwomNX9ZVBN?_dZwP9&)jo|^wgSTiI+K+_kD{$ zmCYSfZSfp>yxp~u37d#E>jOwsCDhOShNO?xK~sps@a~YxAG$Gc)7>CXJd5b8OE9ZZ z6F*Hk@B_TD#%?vfF*V6m%MC`q9z3Vjja-GF-rugwepSp=e@r0F+g(BS!3B~fBA;KT zrn-7;y~Bv3QrSWGR!h1-z<8Kw%|3GwnY;JCtZ4<82qISz$%NVToLMwV%hZc=m_5`t z_ZQ_Y*3^eliv30ZA!$$?R6jXHeG=>Ge0E^gL^T9IjAFiz!FL|$^zJP~sCPSO;WU0O@@|s>!VU2`kdniBr1iYlbk;Fj;rbr#wm98Lmk(u<2 zgcrUoIFQU`JE))f3h=|KXnmnW+AZs_#1u;M)@{Ky+8lZoDiQb}zToAP)FeN420g#s z7w*{XXDhZ8gUh;uxTk$T((JCreDe&#q+}6YRqFqBbe3UFwrv~-3othrV8Sp6F*ey? z0~Jso1#_cSBt#TcaDxr*d%FS~8)=I$!30GgFtNcTl(4`?F+hh7_0jkA{lo`7j%{~b zXZ`=b6TiHcsumjGL*l5_NIiHx?l@aVT#Ddo$Zmpn@GCtL?5Ul)^|S`GfdAJ@sPg`$ zIom8q>$5gS5(gTN?JFQg6+jQx0@a4c;S=*L93%y%MC28U6G(qZ{GIV5`30qu-BdpF&razx73lL`opiY?Aivm5dAZI#dyu!)L8J=VuuvVm2dS3K##WwZXiZ}nsh-yECKMzSg{?b= zIXY2=9>UzJ^GLM6_c#waZJp@#~-Wq8Vl2v1_)+9Kjx>&e@8ZPn{VZzpfBe zA~jHB!3<^KIehZi@c6?|X7Z&CV~Jwj7P6r)SzwvmN(}mcrB@_QqE`#IEZJt=%8nxU zfTOX?gHzf}vyO&=CwChvd7F*vi?%UMu_~b$)fMwm zP5V?hL)`wp$2d3Nj+!rg04#>7#5uV)Ig(hGsM^3mCc}Hnxhd5&Vhx*jeZ~USid<6G%MG(NengPFdPS~d1@JKn>AgEJc zeu0UdJl&I(a_?nW zm30cQ9@@0DgkFGr)`6xC6EgY~BOLmv9_hUHBP($Zc^$W1RAZP+ii`HJ7Du0B&hJ%B znq90WblzC1OLK-iynygG#E|1(L^3Ya?A4MxsC3yEDqhhC>(ds}dl_(k7J!D)&j@i0 z`eJEPF|wME$l8`YT6J&}7WUl-{uq0-HLI8QF6C+KqhFA^xn5YZX+8Khw}KY?JW+?0 zAk`%?77d?B1t}B3FHk;Otc=7iQ8skdvR~RvRWnKz1S04ulEY0u1ELrQYs3Dv$a-@P zTD{xBjjtR=?kw=ua`L7UGY&8`q8H%|?}JqRJA?Y>-ULlUA$bdV z4UElKF)KB2BbK{YQpLAw;%NN>a>57TQPw0Lh#9T5x@3(ydRoCuvKKY>yQ-B6+)(ED z-;@dJ3f`Ams=o9anc5G-{7G9_PC*p)eZ5bU@oqQfU0D_(Iduxy68Weq`Qu;x8ft(1 zD7rdtJiYX4hO^bJD3Ush)pYC}gEC(=QAN&nDwya<-}GAN%8~nlem)g^j~IJaHL`vG zGjt6-HHfM&x)E91X&fG$?Nc3eh%`+~plw5st2!#SquZx)0>lzE=@hI*N48i*q~}-T zgdV|&Tl|}dcV}a^)|ITk5*Mb@&qq0{jF3%bZzS?=ggm8!SQYlgr|s+sCn$?_YQ2fF z>vcw7;YpM!H?(RaO4q%f7b-}0V#{_N2A@F#Ir}JeFz>}!oU6S?Ej;Q`V0?m-Ycd1Q zlcSJx6kzqC6U3uDl`b`z=-+qYL#MwpsNb23gV)DoYnINlXL3F|6G>DSuH^>*eNITM zd2Dp){AN-+AP-A7ZpKXq!7Bn>94ATYreA_3tG^=2dk>hc z#^UP!L$TDX59-u^!=jWNsONrper?=4xU97w<#>e9j?^lc6$T=e)EyU`f5tG-V|l&f zAS8r!}SCW0&cpxX|amL2V2d zqHenmz|7PhzXKkN1OwT2TP*#xb(Yjg?MHH;#Utr!Z!t&oBte}=lPNC=Uu|} zNfRKiDIiXseb^c!g+}r05Hbrpi8G#m!dt$%X#<@Do$MAIaX(kSda4s}aN5u(RT}n~fsJR+((pMrn#6fjJHDS(G!WWqoOw(X-M z!S{q!c3ttc9ICPG2wYe{poj|Iq$72f9TG1O#EO?E<= z`ekE}DfnW{b)5`9-! ze&@?%#g;^OWh&^WA;)gw_nn===y=r`|{xF_VZt zGe|BN&2Y0F=>+!Uc%_9e5`VCz>QRPBe{M3VlHGz{`x<;=#e7z^ID@!1-Hz-yYleFy zPh}H&y{)^w}_p3K+UV?eH5FhmF@rzYIs_8`JQ<-0K07 zBNI@Y*ox1ph1ZC>M+!!%p}{L$YME*U2WMP$3gKx^ z(l;?(L*mcX1apH_5I;;X{dbB|7WNQryORfk|y2g53o!tj&qnTJ5_Y1U9mY`EDL%exEh{UT7 zA3s0N-%gfEY~2=)RDPFC4eQPp$wSAO* zu7*?@q#$t%eLo&6qcU{9bBAlW2d;?(&W4CW>qFy zn*AuAf5e-PnYiEE#dKf?ut}nj&AY`UOJ9Oy$sbsqU>IIC;+=h#UoGN*F0?9p4E{Af zB(!_JLXxWi2H>CooO*OU^hSa`6#8Cl%&1)<;@(54bAuk6cHyj^!NO4_mEB>gKOnoaaq@X#LD3qmgIdRIeEYgG`m7_>c3OnmqUc} z{35k^&ZTvoMu@9lMI~o5sHp88c;AgtF6bnRHo0h@jX8^|{xZNWZA5A|3rV9wsrmcm z#Q3GkfgM+bGgawW)DVis?9nr&vvc-!W$+R_;uDvsVmc~1|GJMFR;i9@GgmkLBwQx4N=&TMS)KugaRU5OTD zWn)fRPx`kHVEREoB`u@rr>kGJxtA4K{WgS{MXja_ZV{WrJ4#eQi3HrYbl!qo`xZX?x$C*p>mH$SSEXnXh^@% z^p&YlXPb}%wws3v`WoTF*vyflrUzK*T#Twcj)B&G5D{2(p(Qj1`q+^$J81zv3w(#| zKZ&Jr63XmmfP^W~)2diNQgshH7GDmbw^PyQ9|-bygw@DZPa2J)KBZQ982zZVh^d z$<)#7KaF|{aH@d!D57dCVV>aXs&>>dv=?gPWTR*9VORovH;bqdMAg=Tq=RhW{0_%! z9p`E0JuH=qpD&_ptqkYr{v%UU>lZMm0#VQMGDdXX9;w?N!*8nqpMNTK@%RmWtjUlU zn~>kykbu+JHV6Jea9d7xE(f-R~N(fXpF5w2yKW3GnB4}}~EA8+l-RF_XC z<2#;5>r!nfGYR73uZ2hyo`o~>BZKQ3CtwTv5185Y1sOKa!ik$RLPN_8aN3MOP1$WZ z<;usQ`sfAlo{YkxLng$K^M|$;S%;_Rrz7d~r?jr{A8fJlgS~3SXe3I97;9-w#4We6 z*>Y1_nN~f~(Bl;08+zl$-^Uj93!b~;&-DAz*4t(fP3UjAjnWxOX1u34GK4du7EsTk zN3_%F3A)+v#h)*KK*UR&=-cS8nwrajxWnu-t!8GU_hU!07G8hPzyc)Ny`03I(aL|f zl<=*qHc9^5<3BWag7di4qXAg{vNtOo8AsF#3v6DL4?SQl5;R{WrEaT;N7Y@J%}k_v z-x}H>gBe138s5}s;a@PyQhxlgkYNu`Ln&{+YoO-FXRfafNPJ@kdY?*E1zft)t``YM zmQ2j&9YqYV6ok#siM)YOmvRxR27STwrmjnR&p!~ulT9PnhWFIC*k7kRzEmzzKI>a(_t(nzT6j6!K9pE4Y6K?4#67>C`qxhGCEHR&>oijtDsm)brRdo$iTC^F9sGt1+j@ zfy`QU#VM=7pQ@Vqm{X7obEYHcXv2Ri*m37@>YDyoBuXd3C%z&7{^-3xyW!p_fx%Fs zb4*A3Y`j(K8>O_md<|%Edy_X4w@j40&!;?7V2N<{A$bybN_6+N8HO4pbu7e2+KGPE zdG@qy@=|=is=LPEh{oq{ohNm@3)l7l63G(r^_Qs{-mN!OT|AqVrnSR!6NPllB$Tl0 zi&f8e$;)k&?IhByLGGMxe` zdt;7ZD&l7ULFz?speDRQv)cAxLDXehb0JtO8JUXB$1TU-w`7d*1Z^7IKa+66|D##^ zeUMep8Q>we#ce^Mta_9$uxq-ZlC06VerSV4FMA7ImM6q!`&y{!K_}lllD6I0qdE4L zwfFTEXw(JP)a<+?;qHLXU%8yHx2s6&7FOHz!xY(se?*J6uTzQZsy3Ky6P}GAbi7EU1-4}Z5E^ld(|QF+rP+g>yboLmPxIM&I8`bRh^&9*-!eGSg`b{Mp-N=QvrW0(Gb@ZFBVQAXfmy9&- zGt6hDSi=8^QcK<-Q^5fwd322^OD$r!&nB=IPgbJuOSdk$I&>;)7yE>4@V&@E)n>POo89Usw&F7uUW;G@_r8T$pIcjJ&+`Gg+r_$3z) zuA#=->l${LCH^lIjTWawsyj*U zCwFAYhu^tUPYh=~@jLlCNE;fS3)+Htz{D#lciZ5a<>)mwdHq- z@)PJ*@&?k_f?P)UZ8efex*)~x0f-|xOq5T1FrpKqXwUB?Oj2|V@(z2tc;s%4E@?T= zP~O714`zS|K{aXIgFB2=7EMK7I;uOz5`Do{D)NY%t zTzQx0vwb|QLJSqy%jojH$_4F#kK;^BRuTh?aV!_Obm@WaRu;$}S0@`IVcS^n4Y&e- zsSh@td70LZU&3(PEs>|91rItE;KywNEd}=+&3%87@WdpVLe z!_4l*e~8mInQ#O;nj^8q9Ireq_;eLZ4Z33e<5*;Kt7kC(vJ0v8?2aV2TZnB{GOk%U z26Yy_MKzUoiKzJzuzO`B&2JXY{cj1{zILpJS$LXM<&6X8oj0AcHb5jX8;BUk1kl_> z5pxeMW&ZY3+bC#iy@(*li*&R)AVGj3lK8GBC#uf{aHAuzO*?R-o;cFQyGCooQ~F_D zl^1v<_M(m#{fH08PLtu_gP0@liE*p(gtcOUhPU>>Sjj*)Dt7+>TF^N(WcYlXUigbB zaxPi@3;at>l(c5x1$9y<~h{gG?5m)mSyvO93? zOY>o!L7j+`cbVQTGi0(Ja3O}R#q1FW?JfurcTgM4GGl<;AlHop&!TSgQVFd~i?W4)n<~TZG5pOUu5BouD9P;SL zoOagx)FywSZ4*`|wBR)R=~!*O6ui}Kc<8yt;Ab=3flt{F7_pH!^aaHoNnGM}bz8I` zEaLz75;RR%c|4eo8GJFyv;Bp@*kG0?!|cJGGwuOU+zUbZJ~`uPWOleLJq@FmovH>f)K2ohh5MV6e$)J3rtF+)o+_i{6M!SslI zyb@TCAOC6`P=@Ch+C-(eK7SVB%q=4OH=0H;=fbIK>m$14vx}=*_n4f%*^D~v%hA`M z0!E$JjGe=zl#?<7nGG+)BXEeFU0y z$yA-SF(N?D1meu1E1>hRr%!GtPZZl@R5t8Bkxs&Nckfl>xb|z~M4ewqR^C(alkR}r zIU0!;eMaKlc0{e;itR41Vf6w#A}CkUxc1u&|N3`U;1TGb9u0nK=|QSbvLei6Q@r32 z8Vj=^>YV*|E~LQXz(FW90Q{aMGE}}`mL>z{g{2XoRbEs=SRa4l(e@VAfi9a<30~2( zE+}5*!-kdp4wdWoA)(hC6ni~}>4*p=68jw__xmQ))aNE$@*~{mvC(vYV}mD?4VzCA zGlc|)&VgQ9$lS51yDhLywJq&ge}n1zmerg-Ul+<7_!V#Lu`Xs$T6?@Q;UsVXZ_wDL zp4uGsSR#lrBOOe4?AV?!6Rl6Dd)m)9u_NQ@Xr)kN2mNbfgBz1%90uuA29rao?9rj3 z3q(L}qnlR^S)WI1BXqT4Wb`NZWn#g5WD#@@wO!f9dbC(!W#%Bz0aRk2dkOz%+Kw4? zWTZM|Aw28we1-|=j7mGPuxLE$O!M^RMsFfVP30QV^E*i7rS_Hd+)KY$Ur_1p#aS^g zj?$7jHz~Jy3G|W5CpWGQ421hmCGQVn>oz0Qu{xGkdGK)UfJgZKvb>n4ANT&o2+$ZG zBNCH~ZVAphq&|NVm32LV%+J2V+~NqzR;`71Fa;}ye+6!e4Q*?_7<(qI#a?`9I-Cpn zm??0_qyNJieb{06%+($pUiSz)vWm)5B>10?0U^2TCL*qICgJMeK-`sjI;BQg**KU3 zTcMtO=RXVon)+>lOA7CA-ALyS`aPE2S4r4xKhTbvP<4Je4w;yS^+9n^duy=eU_Pm_ zyvvAn8OUCGXNB3Qb5tm(BDUr6gcGtvGttsbZEPTz)ZylYBPP0qh>YSN?C(!rbi)@6BNUDv)ra_ND3wWMp?oNU^ z!(1Y2oCVjl8cF%rKo{akq}f}sbH!F{mJR+zIB#3}T8tEN3aeB0v z=!=$;B9FoVu89-P4BG;8VbDCToI{`GI|2*N9b4Mhpm8&DH5uL^=$pnt8u|VEIAus0 z_~usIlsRP*$(KNK3?J06&1LAus8w1~a~G7fr9P)lcPse@XQgk{P{Y`8=ejPl=(X@VLJ)Cp)$m+1|#N4gtku&hFd0%!z zu2POv4@MK+Y5`V%4yR^qr5MeN93x{6lk~B z5y0-R!M1`;R0cj!W>q)*e8`1Zb;)|{W3fyiLn$JS1B(0k8xZRz9~@^kfTsbTk+ z0QSlOl;yP&r*kbxotOJr@_V>eM-?-%?C4)+kq&&6!EfFMarE0q-Bw4K?>B1kV^N2B0b7H{D z^A`GJUBD-%#8$&@z?^UwIu)>UtVQ`pGOOPpt*6%;>Yv%orgYyl`j5wbO@jXf8#`GW zwdgOzYDEsQsE8sWX*e)8eqt`uk0d(JLB7U)Sa#`AD*o(8m$Z!3h?g7DEYSoaJt?B8 zeDLyYC`aDPg+6K<;H5SEp^}ILh&ii3F3qXvSxE@^@_tdVK?OE>ut%Hab%dHRk=Xo; z7Bt%tSn70wvR)q%e|sw4^7P5#>RUq@+qM|$X%CFkWxoHP&r5#hk&c0Tk>u<$>cM%1 zbT7qZQuwlPg$1I_>hr)h8AUJFc#L9`F2k9-$&<(bj#>j{%){WI#n#VvQp+|LcR0el zJ8UEPn9ksnQ_upQ>s%syHXcnX50{%a_)&TPIJ`yB!$d1 zo5l=**_8=NUF3jE&FYc5_dRU+K#2|c-I37tHs01_gR@6PZ=5FcCh9q}2>YTHgWG15 zXxcp5v6@GjmVD4Xrz6Fu(a5f99&u?qN{mJvjx2E>fK^9R;Ik@8=FFaW+PhED=T6L4 zJ1f>>z03&LZ0ybo?7fLFMT#@;#8FXiZ^SYYG{U`xq0~! zT$3lj`|g5L)AxdJx`tSL&O;(QLd;4R0JEScmA3JznO=-m_HbrJ*2_`t+*ag~9f2)m zeW?&wanrjRup9f5$kc3S8X}Y+Y3dYIE8U8os;`N8dDC!Hp#hOrJi}^%D^2U|h4daz zk-3r?Tk^Sxh`v80)#bZMXRJf+~K?s2%?z@hIqy zwq7o>5;hkDWB(pJBjY@IXU(XL(bFX>_Oceg-qW`Fb;8d6jp+R*v%#7A*T4sU2+!4F z%o}$>=u0O|~vN4H#usSh8y+?5ToYsZk`U4To9wIyhqt zzR}XF23VABO_-NG>AqJAjbYLxnq$%pG_E;toiGLuFi!3NlgL|o(YFS#SjE=Spfmff z$XItiG?E`9SylW-_~o~N$yDXTc~(sHjx&9_?Mr~(37kRW2jgO8%3#SPNBU)#vDQ{L z1ahxYL?)O)R#&z||K=dEI3c}@{`R<$uI&sG<-f$QjTbUp zrX49_ha%=>JXJ3-!czSRywi4KqCRXbVN>OV0Ux;7?;5r7o<&qB0G1<-Hr zLELkUmP1UU_1;aOZ5&DT#;dVua{?6y_Msj6Ov=r+Mqf2|n6#kR*xcX^7EEoSIv<86 zj|;Q8{5I4+xjpz>1^%0SNQ1u+~7UN1LEF|S)|U`p~UGM7GjYR_(;O0!z}DS zoLjpU=gca{s`Lb^yX{5O?p#5wBR*=YOWzYU|2N`|Jck+I-n5Q2p_}bZLT$j8Ub4gi zW*j9GC*AR1bmIK**wTH!vA|i3<$vdU!`D*X$?mACtpc&GKfqHq5DnMtW7F9+Sf7+a zc~w&&P8VRojb=Kp&TUAE-B`$v@@UKZmB4`Urtxw4Y~8yAlKCVO?OXdvW?7yH&%*&K z%bN(A%x`$}`On%}3xKtFsvLLy{g6F%?8~@7fgf7M97_;6t<>?au1w zZ&2<74Kk~khWI9ZNz|T|frHKtUoM&p{f_&<(=N>g4?;4P)Xs#wsEg-ck3j6(0H#`U z3v$I4sv5P9PWKufDDr5hs-q29aXgDwG0{X`w1jwf)yiCC#VC*y9wT>T7=8H?a({EP zyYj{^(1aP0`gik*T2h5w^5$dHu-x*9S#|>zpIC|KUx;+= z$_V}H1{9F(=It6$y*K1#69~uku11*h7;5FaMEJWB>U;2XEYGF`SJo!5ny#d(KkTi0 z^=qLt8+g6L--34N=U@F7k+_YZ!)U0#Vfk)!+C7eP3}+y5|7gmKn?*ukpJBp9S1jV* zrOr`?^d|#~2DkREp zqktp(lV*ydsWs|{9U?3i*BLHG@sTr_j>9{UY1j-#)eQY-gKZN>%x={@i{ukdk}*|9 zJtSt!zp|VGRwSvf>jd*3->@Jh0(ekAgti7JF<0_0#6n}D+h~XO^f@FmFTD)Rm^#d) z3`fodu=DFj3bBf8hCW+38Xn`U>C{s)cE;iaMP>xnb-7H^eoZE<0?uAZKCb5ug=(A1Fk0iPH-X8_dWjU-iXMOwvO8A)n4 zEV{p$UOn)h7602tV*9<;KJd=qmn6E7zc~x^bx3NMfV>bFEs`=D$i|4(ton@CczAY+ zUhtMOQCY;YxfV^I=*cF|UQat*#}R$nCR|&2>aVtn)<~BT0}k*SVFsu^|B5md9Y_VW zyNN`lh?m-pwyqUx68l<`oG-3aUKId!cLs1eqG2DOHCD+- zQdO)idEL@W&YQQ5sL5{lPLC;*6hzgb9=N*VIWpy)LR|Y7NXDN;^~nT!?kh+Yczsg5 zCuqz{9EhrT68ON9p_ew2SoW6#&vq`AZptQi&i8N&6><>k6i-A?a^c+>1Tiw1Y!mfh zQ(p}r`XlG*>9L1st}CXUz_1rWKSESoK)2f85xi@**Xnki!_P{SXhCc*X8Q0~tn}w} zbmKyC+=CScis)nM0_h~^PixQ9`>U7vl(jk%o(A%>;t=TJnnQgF42=CnMD1q)vms+( z8NDE8^ZXf!9`cU}idv6UEx;giIztpA zbVA#rYgFB2N;~!~Bj#|fny+qmwh4DcUG04sU4O5ohbIMUp3S}leU5k3N)SRki)>Kp z{sL+}*BWVcnek<}<4JXY;2rM`haSig%scrRRSC}0EY3jU5Y!I3-xhRl(B+AsFQU>( z{{TN?0an7C%%)@`^r^hDU4;PafR&k1T>%>W*M$AL0;?APpd#*GBn$dU)!{qAU$l@c zy8hVx!}9`d)TRtZ(pp0DUs|*94kF9E71*@@OH}fGElxLV2cAL{ncYD()`RLJZ;Z~C z@hV&}n*-RqoIfcgS0yTm#b6l~j#8ns-kFt_3U`|*VylpebAUm%6WV$|6tM$?+NX{_7b$+M8nRQK40aCY%*#nGu@@xNi{jo$wiy1|D_Ek8Iqtxo)96X``v6M4 zPIMWaIXH`ceHzNz1}#Pg}8AL2vM!3l`R9Q$S)O;GlFw1O6 zhI9x%eKVZwaLf_Mb(x|#U4IgX1Y@-6>G{aC@^`4N^C=3|@Iec!0w13v%=E$u^Zq*S zp8SKg%-%!<%uA#mzZ{F)-;kRi4l_2GH|a0?%e-eG8u-Og&YLt5nKu-mkipF~yo6!R zeJJ=R>QIqxgjRKEJ0`d12vh?$5tY?(r0;*9);<4&OWpW1ZF2(56E{(d^c-s4Ybox` z2LEDF1zsCst>IP!PgrkAB5uxRPtQq(ncRA;Dtkq{*?F^{pLS!MQ```L!vXYjq99ry z@rznMsH3?iEUs_giXz^C`_cSA9!%w# zy;3%8Ftur%f>*qoBDYJP1-arRBK*5+v*arhwfu_&4Jn{8dI}z%rNk)S3AkJ)n3-GY zFFE-eWr2sXw(vRfKKdbA64SWEy2=YblRqY9%SO`XXHLPwFyQKVD2Qs|NdL@{+SuF! z2GD=WCo0J$B=0v#)7{{l_S2o;OttTHHvM@!UF@OLs1u=I=e{|iA*HBu7-Lw&l0`aF4dH}ZJ~v(3!T#TAE)Ae_sO)OkJy^$Hz@<0@%qjpWOZr}I)*N+ zC^->LcG84Vs$USd3oD3fyqr!LHOsNFPiSb1YMoX!`#e2bNwj8drs(vT(80<5rf4#n zdW5iUS@de(Y9@V0fAXPV9+UODY<#qn%tf6%0;T4>(`>&nlU2-4C9;(3kZ&gwPI(}% z_3i3(<1d*Mas)4Tsx+bR#R9Kc8AZZy6&*ePWH<*OfmiJM5`zaDSqK-UQ#Rn?fizfyV+^Y^?Y)w{6m0B^$QE2zT&gq60xcu1#?d6?c z;;e!~>DW030#rv|L%cDj=2a?^{Or(zuV+=PF31!M`My?~uAjcVtua8}`qd z5bpNJz_CA#_zp=#&Rj*}tQ157-}*H@><&JmyP%(e-Ez~{AbxQ?vg&^iNmmzx_H;e! zHu8f*@|ESGplySld0)`-i%47JxtfUCO;}oF`gg|*PHi@!879zQ9tIqx2@e)ZQls&U z&@rs2T}-*&8jb#e>D$v=WR;-{d~>o3yYHGbOMx(viq{D;g1JxIE83e`EL(5mu( zX=kBZCQ6Gi8i*aGs>;8?cI_C+U^f$jq0QwYvVcz9B}-ZS@**tO6Xe zMFV=_Ry^g6n5puB-4$-IXN125W(?8fV%7z>sI=TrruGMAU%bOANk00#VHo&H3m`tW z;n&qjBX&QGI(7lKLpqr<^F&15G#BbJdyn|dezBty#Vr5fxe4G?p&6_jwTfoMyn$Cx z$KfSN8nhp-$2-cD&ZMgIV~9F;5}doHpzHrlL$3l?s)~;kv!@};HMMX(HsEBHwYJG9 zHvIH7A&p$PMzc|JR>hMH$IgNPTKY1RSXtyz#Z)n_wtodo1S5DBfcu^I93}rOmh&s^ kk%0khPW@p3It=*C|Nm|I|6f~!X3FdQ1NC+@h5zIAKUGm~KL7v# literal 0 HcmV?d00001 diff --git a/tests/104.out b/tests/104.out new file mode 100644 index 0000000..3081707 --- /dev/null +++ b/tests/104.out @@ -0,0 +1,32 @@ +REG 00003FFFFFFF8001 +REG 0000000000000000 +REG 0000000000000000 +REG 49C2000000000082 +REG 801F100000000000 +REG FFFFFFFFFDF6D8F0 +REG 49C2000000000082 +REG 0000000000000026 +REG FFFFFFFFFFFEFFFF +REG 801F100000000000 +REG FFFFFF00FFFFFFFF +REG FFFFFF00FFFFFFFE +REG FFFFFF00FFFFFFFE +REG FFFFFFFFD56124E1 +REG FFFFFF00FFFFFFFF +REG 0000000000008000 +REG 0000000000000026 +REG EAB09270E8409270 +REG 0000000000000000 +REG FFFFFF00FFFFFFFF +REG 000801F1000001E8 +REG 0000000000000000 +REG FFF7FE0EFFFFFFFF +REG 7FE0F00000000000 +REG FFFFFF00FFFFFFFE +REG 00000000004124E1 +REG 0000000038820000 +REG 00000000004124E1 +REG 03FFFFFFFF000000 +REG 0000000000000000 +REG 0000002500000025 +REG 000000001090001E diff --git a/tests/105.bin b/tests/105.bin new file mode 100644 index 0000000000000000000000000000000000000000..c177d2f5287456a493699700beb09e344ea1d36d GIT binary patch literal 33468 zcmW(+cT^MG*9}%I@0mbo7Dz0BJ<$XbK?zn+-!q6{2NV^s21#TlIrm(w4?7*KU_IYkMoX?U%{{y96QeXvla-l*yrtG*dF$`d)VLZVV_sXKJO~SuCnjA%D(q%n3bT` zyLq#h413A7Z1Hkd>sBwrTD2AoZqd?u#?{u=e6!}(vjtYxGkyysgMSNpGOQ=hjgfp+U78==cj)bk* z^<$WvVRDAa=V;hkAkZ*uJj2E_Z2X)!wq}=_VKEGgVOY!@fmIB{;uw~~uoQ-+%n>w8 zVOSQ!@)?%Tu>3iK7WoV-WLO2mDi~HVM_^sSuv&(FW!P7SeVro^er1@zM<8$vzuMZ- z#EuaHiDNiBKZdCpre>HrLZDGIOvA7#44cBRDG`FWDGUo^n2BK~la;^}@n_A>k6~LG zw$;>3u$5t38J5McvkW_HY9Tnwu(J#+WLP!Bs!i5{YKB!atd?QF8TQ*G6#Qn`@9@mk zEeXF&O1qM7TxIlFvR=8IPkwd@Q#P+0WcWRRx@Yfqe?R}HNvZw@I{ZRPc6R6FYxYdz zC9R-gN_(`_?L~EDZz_4bmIOF^ay5(l)0dxvV>mAfRQLvhhqVEGxv$U=UP;Q;?NJo1 z!;+I$b3=N)0o}-D)aI=hQJbb=Q+yBVSzkc{GB%L1Hf>?gy_2TC7x|efR)>KfxRo^a z4n^6*r7*DX6r;j>B<(dIafr3x?$9LnC4~Ewf^STh=W+Y%QG8<)Df?(e)$JxxZVeL4 z=eHn>T#G`3F`>2zv_lb84rj> z^_@JgTFJ|+Vz4H_8=YO8sX1^07IZpB(lvMBMf=xM^)Nzp6&mWs%|*q&7kK20*{F|; zg{4C_EcD8MJ2CTp2&oBigC0rgixi!SFkYG6U91j;@)yK=UXeKF4{eyfc7XnngoV36N!yRMTG8eac}e0RFDu! zSUsVN^L@a!-Uy=tYP#}u3D7WX1bQS8vNnDYuL+C9cEtro`IH9UTJU|SaNkR`6!{RL znx}k{6;VtJp;p%a0J}C+Y*~vo5p5fW& zRJDG$qe(xu7@NE{qB72pC@sy=BgY#1{cg2DCYwPv{b%1*fA=z^L=A%ZDLcAWulB+6 zo(tLQBgnRm3ed`4qM>znP-YH6-aH;kO2(jmbr~cn4pIfTh3MwoBC6U8DD$g>+TPWC zX!Fy=uyhPOnl-3fljS%TwcTsD-Y#Qe;jl)D(7y>}GAq1}|H8VOS}v;jiHE#CoHmN=_HwY|X{o zb?R2LFpThO1n?7qfYk|F{sZI<{?q2Zt{&J&@ zFb0H)&)`Ly6osw%Um^?fg4XqI2O7?tL_;xP{gNJ>WzrbjezBJ3oev`}K3-r6`Khb< z90uInhg4SG9#?-ba-wz){FaZM`??9z(88S~ch67kQ}*~EG0b{_!}2^#+bgTFMcVCN zR+7K)!%|(~hra&=DmyQsmil(Uef~!CPo5&7kp`^1;6s#pB$={BATo<_aC*1E>@(fx zs-Hd~HY*yyPIDeS9XV7q?|$CK56)29hVFW5U0ExRii&VzG9@ zyoe_t`*n%Ps_$cH3pe04zazfd*)X^udt$-ORW$Fv{vaDY#gutlLd2%6A06BZr~4EDXR-8 z@ES;UC%iE^cN^XQO+ZQnA0aimJ1AcE#?q26psW>vr6d!r1#O3|>sHTa?z}~KT_q%G z@1R4A48rePK^1-j>A=H&rmk;#n;M46iTddss=nBaJU-mQl(JfYBH0L(?D(5#tevRk zW_wgRo&c_EE~@ueqoJZJCP^j|gHJGu*aeX%AxfIt21Vdt-K9hl%=gow01+2$C|nfF>5dHtub< zkKP+|ce=b^5BlWete~r}4sciQweRLP>_i`akQ=LcQ5Z6hhl-is`?w{{hBDuISh?B> zxZ{0D`lBN1CRjlBHm@Vhg`0<@6y1T!k zec`CBme|NzEkg{K7r!4 z!61s1(W6=CO_?Fzh?B&3ktl9Gy5&yA*sFGEE8f5fWJ}@i%cD&{`b8?hc1^EO7DwaF zvm!-yvnUi49wph${4ujhM%CZ$5%s+FRJo%gQEFG9EnFn+4%8T*t7QQiSrb0Hd!wu3 z&I!=RTZ4IOPyF$(ETBXn0kdf=F&w!-8*O$G$;Xwn`(XE;rM=!8{yy1R?Gf3JxQzIQ zWs{$qKE2(~t7@~+ZvQNzTGJoOCLe&%n_~2cPoRt=lhBmDtUrCEQ`7eMD_E-{1yc{9 zt4fSNHgD(}dTK6}7OX^l>vzQMX^lt2%#Embn~#A`%hl!sMpXF8SWO#D6{7cKv%8f# zbW;|IOWB6ZQ0j?)cg0-WBVR((l+Cu^5a(+x$B@wUbPmF z4sYZ~j6G_S1|-nvt2w}ZKaFpnEa~fRyc;NVnnc9ST)`o?IV5Eqg(fcx)NEdhdf!l* zSrv$}Ba=Yrw1)QYw$NngoJ7>4Q!q90Iedt<7`5)xh(pzE%$umA4X?+Od3B>qhOJ%6 z%o$!>{WrFC8c6YVqbZ_cxJ=rq=MvXZ#8q;g z6g*r=2cWT&AKlj?W+G=L? z0xH{-p~3w=mgIMTl+k2a?$_0`)G>h%n(gD%}lOChtxb8oL-bu5_B%CNG4q z*e6AC)hOuU8xbtbcnAt9hks`$_HTM~i&zVczHVAdn(Bsv;YAAJPY*>y;b$t){tGst zE}Xq;6DdFBX%tm`hB4A46T7!5uRBC72S(9m!%8?+ST1o>Nub8K8PjzKK-GI81VnnV z&pkLyzaa`Q-q{lBb<;Jlrn@HE&^Z*#dR_wCM`Jd|H#+n~FN@Gz!9M zaarIJ_khA;`ZRqB-?%K2D7i|k7VexrMCBLwTx-`wSojyJhS@Vd9fb;q+gSPP4rv_l zk=8sZQS*bAQA^}Iw*MkAdH70F6}hCRxNHV2iqLbo-)SPZIG=jfzCo$<5bis8nA_Xj zkG8k0Fe$dwJMV4Q23>{QXwQlZyz|0EN>BT>Typ{mH%FzS*6&(A%B`tx>F?wkQO z+P9$1^~VqIZ8^DF3F(RoY(1h6$L=qx02#?VTS!FpVK6zN!-CB2tEr209xB&$CycM- z5kaYQ{>0O?`osdXbFU-w+Q)L5+GuJX_!(`VT}4G?KlG>#Ms-#k`FZ|pNa&R~!cXy` zLi1xx{kRZpvcgGa^eyD$n&{{odgI>a?ApGxCE`=}L0Pi~(&r=Ctw|@NYrF^r4WbucmCY8zvijV8bvh~`D+t1XZ z@(aVtSJNI~?j5BIQI7LPWEdFWWIMXh)=)~B1 zYCF01`5Ntq@s&Im_&;*FW|s%2+Di2s52HD7ELnDRSeJm)8zctLV^C7G6U38zp+V3S z`I}?VLlOZ`Z0^hvIzEH++TS$5yqqes7K0o^sj6x|t&CcPa`OioUD1LV?w+T5b2v4J z7otV*0!w8#$ma3kot0`I$jkLn%d4$nY4wxwNnuZ^`Sez*?e>2Bf@=baowhCUETUAY zIE1Yy+>~&BIw&pVF?z!<6qJLY!)g4@uv8YBNL{_sBi8kvR}ohJlT_QQ|_X@)*37~uMJUIyAt2dU5$$0 zr%`?UC##iFC{aJ5jmui1!KaL5j=6+UI}1Y1z1x88{7q0^Isoh?gLClOev6KUjJ+KSYhIzT~8-W6EOZS59={ln;T5eolXi@?3hhc3zDGkhfOYNyUS^M z@dHS0^A2UY23q~t1=O`l5QSYvwJCwNd>djajnt4O3p>*$zi^`a^@Z`NhuAQ823Qgv zN#B`!xRhF36SKJ@DmJo*g5TAZHs<_~q<-v5SsftNALbF6tQDJQDzRW~e_9?jfY`)O zqFK&yTw`4k35k76-7<0rzxWo_7ZuRi!Ro~o(n`3M*3wfou$mft9HF%K6)b%GPk+_x zbEL-K6)nBRICkAw<1Ec+XZ!YtQ7`{N`KPtSHe(*q#Qeh_SiOY*+q)xYlW+!BIjcFl z_^$NG)AL;f+SXvGvyeGy@rjMiJefnh>d$eEwWM{cTHkXP#yyS zcLV*b7i9dM+q|f00*JB_K_7XRWLotnZgF#n@=iP8hpEv#EC_3cL_mr48&r%-rRGkZ z!7ghR8DRTu`rczwt%s}+sEt*mHebS*+#ANHO`5{EX$!o$GP!5U;|oNu*iKT9vi=?2 zmL?T9Gl`RSkbtZ?Y!0ZS`VF(Fy4i1P7gq}ob!E^Lvyj^4-3G;?WXg9sN-C_zAQuUA z&8jL>Wx-ASU(})5Nrkg$^fzm6?#!)yxYvZ9UAKRN&h<3eba`37$g}&s8cuITkKE(L zB{~jXcG@=4Fz>vi#GDqQ{-nj-dP`_X|7k@1O-LH*7t-io8*%FRcM7p3jZ_@flYoQ^ zXm~`y%O`YxlO={}NN{)dtJWW=w;MBxXNLjISh}t*=vyrUyzB-GT4)ng}O-2if0U zFtgV?A{1W2G{06PpkyOho=$=_@V$r^-E3}y#SThN=U6t z5IFEk7fxYa31-_9wEA|BfbH%YNM7;OhqLVt{KZC4boZg=<0_i@b`foozM{J0lB{p z4XKb?qrGM-a{JRj`Klk03k!jF)KOo_5kiBay|zD`%Ia_(R&H7k(Yc-ayzBnZC=*r? zL8i@Yk=0_V^2-L*+`S-M@&N+I&z`8|j)7~=Nm{YPAM7JHfhuVmD2`qr=I{%^S?>j9 zu^1FihjFaT%Jk>=sf>C@tGH*8%7*iJe8#$9PniqVx4%m+wf=`!jsKgv&3x0-a?_5^ zTzF`qOzV%8AJuqt?<=O!I8e!sPf!qJN21Cfv(IXSy{kq==)HHs`_CEripi^~sP;Y; z2gR!5mr187xq}$}>jio3Gt*R>AVn$vgD5T|QTg43vKcS2=5`@nJg)t;Zk@-N8pnq* zt~VA$GJnW>*^~HY)lp^KJ(O3RfhNB!nw)YJ_{~p9K;dC1`+5*d2V;5zuiEzUf5#eML6_)&mgz+SGxTHSHmsm!5ECzmdCHi532KXm`;} zT`dLV^1e!$)udTe88Heru2AW%1v$Qg!gJK4b~F5(p4~Os8~|g^6`Dk~1HoBygW8r( zG+FAR*!>$tEBu$zaos!euDO}0e{qGn$sDPNBVdTXEuGh`y}LZ@0y+pRQ<#Z{x%Geh#=eXy|>fe%fiP#soJBY(YIJ1FWn! z(uOzV|7hpFrLIX(E$VDC8gji zd;!u;xBhT;NLtaGe6)P!_+_8aQ0ooqr(Zz9%h_DJ8VZ)%Qd#_ZkPG%gb{3G<;cm>H>o?Mvb`s| zzZdQ1o+moFBPWjQ0Q+}zHfA@Er+IV>CO;W(Y7n#}L6>i^8vhFN9Oltv?OAfh)7fCF zcui&80!-XKkT2LJMMKXraG~$0%;OD;YJE`dx0ZTX_r>I#k5sv$KU7!^po+V*L4C@e zX8tNcds!)MXqHUgM9k}3vpoPUCC^D(SRc~Kzi4ohc_ON9e}ir9Qxcg9CfS@~BK~%W zsNQU&)`Anm@{e4h9ubGaqlc^myP1EH%$dP7^hd`(wVJBhePHkL&@>cgVZ*~}rY8&m z!`GX@#r%(`o@AludKG5&=%h{`exByF&ZKFY6;M)jo=T;W)HN;%wo7uz_JAO!Gu*|3 zVIi0tSwmEFtAVpkp~3_$;i{gKbm3=+=wjQa@_IBHbpKK1UXMRB2eB=ggPHM*skkVO zHu}|r{*@njI?{#LCahy~SvD!~lF*V`Kh*7%^Qy2t&=B?+YZ&h-<@(V4{!gjQT+3>w zAE>5oC9&J*!xyfDR=d5uR59EK52*QG0T+Cntp$sH#T}ig;kuZbMI&a4IWHQJtB3Iq zTKJSt)?;~7F;Q8kfYQ>4HRK*;o)>*zm2Xsg&7uSAj7Ftp4ix%+@Ze4Rsc+6~Fx2kB z&zUWZ@{0bT6#RzNeXHR`q{n>@mr-4|09EzC;NehO$_W_{3B{Cv zaw3n@LbPix8a`-Ym4pNz;I+65!ClUfyaAUfKW-Mo%yXl*_5lOx#vv}_E->Xt4kvZBgUGdU4N7E$qV_C zgolvCdV5OGPDCSE7;G=uMm^0u5+v+MGb|Nxg*bt!Rm)64E78NWrdtY-amS#8Y~KY-Au2)+N${H{DtNbfAV} z#v6rCL8x*j4%}EmvnOy zs(Y2NI@pHTr2HhIKRC$5Yamq5fh*_#4)}TVUO;)`B1m%VK`dkZiC%CUkI^H%dhQB( z=KBwq#<}OIa#}g2+@BBm*G5p?)fnQ&nTdP|^VI}BAn?psW3u}Y(h%&pAHnkrq-+SqDddf^sc-1nU0M^_Saax%uPwQ#y%dpx?~f3svc zchIB61o{;zjDK`RyShcb`BjLcbHc$O7CqMvk}@2 z{le;Q6suis!)(N9W)O8!CJ|+n zU}aM=ihQ$afaN?kz79vZW-!EVzY4yrZ|D#H2QtfZ>X9>>6bx&F_9-W!f4dV#hgns$ zeA5Zo{xS>7dVV3orL!P^R6f|&9fssdn~^WNLF_ojyTJ^p0-7=mi&@Z5Cy9vEjJo6{Jjlk@;I&L2#ycpqMMh*k51S ztoH$hj(xEvxRU6feM1lHG&T=fQT;S;@|Vb+OLzakyx}(>5-{&H!2?UGc9AK^XN0M> zad5e}1n-)1B ze07^@GzcDo^~_6rL3HPO5EZ+3G=;xtNyd#o_cMxnZNMbW530-=#OA!oG=I@LrZ*mA zKBa}tCfkYq@Wpi8r&C6~hY~|JHv#viKR&G%@cKJnuyL+Cs0N*evB zOG|~egN6l(w9FG^xdKkto|YZ_Vr=^%v#*$GzJ|Roz&rHD%;dQ97)Q$fjdZ2Z;ibnd3rzGocM z8YW9yhbIsJjzXb~TFLa3Grk~cyCvY@-j6WNAJ&)L)Yj%bkvO#f#B|vM5PkR)=!e9k zQq+=^OituI9dBTgWh=FxRt%Qo5g?Y$WBfQDU2`{qdf-G>TXLwPbpRx`{KR_MYAl|0 znQJ_D1-+K1hRU<=5#_2 z&Zguu@hB|DB;jgM3xa5K`};<*unXFkETO73kt{b+s(1OJEi+&0vI{6*BlK1BV~WybFlCxRPKPr&!rnn@%R+-K>XO(+bzOD(#qq=|7= zQKX92jJ?MA*upc~iRm-TVtcmLH4jOL|1fjk%gQQrM zgLLUdc+Z=;V?Q&DLjNeD$e4&BOC3U≥BW;FY3vlnVdc!%W17Udaw_!K!RfA}=jhvi zL>DEilW{X>pRW4FNtbo|d)S?3{Xi z3fc?KLGq;_)7o!WdOL7$p!n;ZAYS(dwUgG7fB(MB*`BFDW!_z?n)EN7u_nvpFo9B= z=nqD*yO_#{yd~w*Cm_7OmbeKOC~H+g6nEp;Z?li6b~eJkXT3Y;z1#@CktZ?sZ)@z; zG&e&1J&uS9v#9#OZn|MY1h?06d!eSbAK~ZDWi!D`kWQ|kO1%Y2vp#@+ay-2J*nNSl za5~95pH9jq=Rul#H`?>bp@Dn4JmEvPhfvj2YnDmdO$_sw(H4J&bndxLY-qgH0*+J~ z7y92^K5^-jVw2U?Vxwa0e&VWK0G^r(%KN2Kw}l$!{Y4G6n>&LhC7gzarx{qiy)P;| z>#*t4QKB}UL(zpb(B$ZV9^XGitlVGaA$q(4tl~2`y*7g7cC49aE~S>J>JY|biOa`d zaHFWZp?t;x!VAWO*0DY4M>V3$I0fkUJ|o=P@igSsX%c-k9Yt*}L$B5iit?y7pmDrJ z1I+&9`u|EezM~X0)*}el`vpo~g%W;}o{IMufr?k{<) zAz2}*#`MmepzTdEs5eLJL*YXCr4hhaQEM9wRGGObnQ`iYOgZ@Uo?&2QSNk>hezDV9AQqTdXiZ zV+L)Qfc$;wLQH@=p@vCM=)wW}xm5Kx6sum+NH0T3%8XhNTLz=A#gl0_o-|UmQkQ85 z#CcmcAIp{^NQ-R2_UM1e%Z3A=y9e&9YZs*q)q%5B0K2clQ2OdU6<_Rw|E^up|G{Zv zXIZfNk50gPdvD;r#ew?MQ@XtO(VkrM7*es?o_LgeA@;%1y+z!7rl;}4_A+lpIcXXG zy~^5@I{6pLeDN8Sceg|E>7=sPand6yA5-6=Msr);Z$P#XdB2vl$3LyO6q0vB5W+63S z*&|fn0H8JRg8xo-)26xiCyAPK#;xOysAI1t(!#y>7VZ7hJ7_5kp4&>Q5`4p^sz>OV z^#!Xv6vP%1F?4wc6hBd-r|L5EOLh`P`~gtUT?C54>2&V#Qm$US#N<(%Nn@*Dfvaj8 z<&WP6eO?j~`1N9WpB(BLHkp>Si6WkYal}Qsn;iQw%@|kd8KT%b0nJ-_6QnEwzK#JTa2g{0L>4gehd_ zslh?&p}VNC-arC0mAHLBOP71?tmwvh3t-bf`K*My|Nd^((o+IdB2QF6$Ji9{AGehF@G+X*Qb$-DzXb z&pu=7%efRM7YJJ%wAdVDhX*zu;R2&dm4=49knG(+97iXH4mqn0`sYqk(DT7Jdsoew zJpJ*?c;}wY?*<2CU4bK$*9ETXbe~VXU`_dw`|hg3GDyA99SxF^M64S^V^4&z+SQH7 zi=-sbZ@DRTAJdfuKan^72VZwS(%Q}6tG%?VF=*nyy9b4?o=Y2YjKpx+nRM{W<_m^p z&|kCPs#I|-|E=0js%Nd9FeziDPh*A;@e$(&0jE2{2fMP8lPhtrU0FR6ub#%`I=*>%yOFc>G7p=I);GXWlW)ty-AWeFIUADI!a%ty!K+g$}a4)Q#nj^Bf#t z?oWB2<%j%&DiRq#*w>r$kkx?0LEZFu-?tfE9Un}v@oq-+t1ePcM=u=xkCTf?w-mH- z?$jpIgQTsfCF-3{)Hx}f8isELg^Lp3I{C&p2!EsDtv^+AK~y=*7c-AD9VhfDRDv^{NlbM>zf^}`*M zFR}`%XbNIE?ERouI57>i9m-o7P&{)pN@ir@_^=_omH8nG>-O*+#+K<7ccMwG#}P8y zEz}fpM$cxvr^M_Ph%!NIa;#-yzuT|Hy;K85q^8e3rXyb`$~-Sfc{K+b)=H^RHxDv5 zT_Ya#HXyz@k2W4#MRW^C6Ta#ZwP;78I4+!2yo#ZR*S1wE$Jyb4o!;D!XM9BT_5pDH z`lG(tOgE`0FypT7*S$sD72v{SsQPqIRE?Pda@TVtZR|~`T&f0Dr+H|R?FVg1CaAPy zD8J@BYB?P-;mv8vo-$&8>YpVF?isaN(GrAVBC^2`jb%G}qdwjWTy-7D>)*$@(Tl{> zLoU3VzGS2;R^0!O2#;>TUf<69=zqMV;$;_zq2xAoIrNaE-0zFrsU!5#x}9E42hO3w z(gh24twqjw6>Qy;Sk}aY=x{lfhAp5Tej6y?I{<7;q|{JiKTz)@AgaYoLvr6vRGJyU z3r>+4aA#ijzN7qyw&%H?CzqLO9!$r^-Z`@s2mM*UbSM0vRoGZ|3dFC65T0dcTDP2M zteds2w_WZd;N!;--QVkoU$3EkRRUj-m&l-8bdzzixxni>P?zK*)KzkeOujO}(-7MO zRr9ilYGw+yzdX&PRmT`TG+kJ}e-B+fnayb0?kJC3iTR$)PnNa-g|`t-&1jl$kT^q0 zWFS%Q)u7J)F1qDNpww|BD5dvN-#-}}$}bXix7o-an?x1sDnKb_x%UJiHH2+|#(i7* zHForX632R`=R86E)iG2pU|JWX6He1eMHj{r+wRsRU6ILn$7*PH?k}z~N=wV`-vDly zJLRXY1)G#0D(-lX=vgk+AoeC)(J{~$HiLB8FXo?yp)lHtIQEN}Um-G}e2Ex^-pM8p z?QWtf8^Lrfr=bGL0h0Q-oEmC7kfv}KXo_e1CwDF`IT1~G+d5RZ-(X&)2$b%ZscWH_ zsBW|%ZgnIbbS&ac7I4zww<8rp0tmy#*+^aoF-CVo|NxXfX&PSJij@Yq`z%N1XawNTze4} zilLx$UW3xda#%a5x{uax1}FqaK^XUqh|GnsX7NTYKXwpx$ymvBgMFA9)epI2t>Awh z#t!kwnM3ss1@uXS=v?U7kpaj#O2q#1KIIkM%5=h$ooA5 zMb$AXX3vh)=4>&^s#dW~$YfaZ!UqgR&Ds2{@ipABhf& zp9(N_ICgwS^q0*A?7dhXrui^)d{OGFBVWG8#37W$0_2Fuz>DZncdSM@}az{ z0Ck72kaAHOsm=<+)XDyTvZ7R_x($YH|AZtJ{?8#aKsDDtQQ!Hkl|M`U3SEZ~gHyiPT9+)lt);L?3NwVBejKMDeMtKSk2UEgs-J z-~my;DJGdiw?~rXf5-D{eNkpV71WVFuqOAsWKrBaKIJ3hvT+Y!Pi>w_Z5mEW%=c-4 zq#3m{R+5IIeW0F~jQT7#H|Q>)ddnT6YWA5Jx{qM>@fB?{rDNMfj?=vuMZC_uciCGV z%Pk33(+Rh$0{Kb*vL2Vuyp$V+Ghdv9Ot^uoRaek>WvsT9!D8mh;0VnQCqZ}jBLS6_t6o<-DFagvB_LZEx(-Ol!T zRk)6SK0d?tN_argQ?OS}Mm-d>%!ix~P&em}EqleML|tVwQ8c6$Q2O-7bE8Hwj&`~> zBW%gS{vg?8h1!h8CjGbq=$>-R`_G&%wQ9k***d0|XJhx`+cC0VX~3Hsp+sT?ea=5* z*lZV{lA^cNQ1=Q}<%b*9j`x_Ze+)e0`lI}+H@ti0v531d1>V{CL|d#!leGFMkQcor zYbJCWR1t6pJZfLT;x((ernMQU*UC_-Zi}*DA#K>=Ls(Rd?Hz0S=~ke#!)`0kPU}6u zdhh>uWzIOlXN+h5%|IfQq@(>FOON8s6Z=B@2JZ}06#gWlA{DEB@ziGBWg;qAP4ufjp)J<|RNX{m*6p#{+76ve z>Sj(X>stY`5*yG9H$XuBe=Of=MrpJ?4M^z7`rV*m%GH%9Y|;=_$HkM)E0u^g*z`-p|>RsWc zbqz>1&R_JD;~u7vI@x*Na6T6^ZRS#gyA(=QIV2$WHPdbh(a*}IZ8TkH>!!WwB9gZw z)$;pv=1=6(b3;Jd#vaY>Sl)Bd6>>~G$kT>9g+DtrEtdPW#D}KK+QyuRRP6 z_xGT-WI8luEkc>_EvlzfF`ab?a!D77>gE=ZG+9IZ{%~Fyx`y&Mg+!nE4Mf_rXl21s$p<$_>0i!FGon@mgTtJ{R@^~XU|{`^@ilaQA}fqA_XzUw7EIi*ibiz z`MNg1x1OzyJ>Ky2ub}>Ky3sF>#BrX#u5flp9x%VWV zB`lY+rjf|9wt{HJGny)DkG_)*(YVDSCVupHlE3jOUFAN2k4-y59NW$bR340==D=*m z1?1@PtTW>#VZ@;i(|Vd#LGBv?r>Xi*(pj!1xlRG*wQkH$i~&REOqzUV8Kk8+k>nMx z>9NY0JZGCgE@y`t)dQ0L^mULew5LkVK}9o7Kv?=j>S-$}-@Kh_i4P z(~2Hqe$7}^Hh9subsgq$r+TBf>uhSbrWZ|3>`UbfOQ_Eozi7jREFwcIuuF)b@-Ge~ zMe2q2xk1F>c!rF=`AYGrpXYR&_LUgD;U!#H($=UFW>H0s9o;aZJy#HTAFIPhP>-qs znAA9x&&+1IS(f4En;&NVC<>GfW~yGENJ4+vk`RyE5Z!&PkzY0e+FyNSQZOw_G2<^h z_bfpkz2Oy&wqHwIeqJ72xn_vg?uM4ST(}MmYi6V5!fGP%zYO{x$!IsU3`;ZulIZVm z;=9f!_3bsB!`n2n|*6aU;#YMO0`V^lvu6{VnIo6{+7!y7Y zxI6iAs6+gE;^FrZt+Y!)eY}n+>ZZ`qLkES5q6UCmbl&J$@}4NoeL?T8KpWUW8+H*i zKTRZVvUS+lZU(8Y*~WCs_H@^t<)%OLpEkFU{jWvj=!5Aos?q15K~+W#Uq?}KLM2t` zGO@a6dWa$FGF2w*CgP5RiS%;pV8iYiRJ3Ub)0VE&Ud3$}nCE@M0SD#AhVl7i=h>~C z*SNM?mH7otTX7v5Y#*Y2)DD^-ah#?*UPSRzwvnTNGhg0X|xCCQT{3zNK6HW9!Z-~K>@95aG1`WI?tZZ%%=f0E9^)brv5_|pr!ik#y?z&irlYLRoKZ{jrE79 zt@TlArKv-gOIheEd`s2C4iGNqBFkV`lG6Hn(D-dQ(;x3pWeLmdIG%-?7hgc%=N_(e zyTyFYSF~(K9BBMlU+7;$Q>Gzcbyn8yGCF zF!HY*L8`96v_vJU+cH0Q-$3R=d|`X<6Oqqp2I6mR$c@~j(D!?4rv1+*M=q2)u)GDU zLFP2}tk6K(QnGeV(UB@sX~sdO!`0Gjjn_Hmv7sSrE-4!?j>)WfP3%5BCk4$jXv8%w zFa0QGGxKX&R`55fbpopHb(@Ba*N_LbgC@>!m>SGxW};&Jmds1^cvAa;aUu>VQkp32T;HUsH0*TMGYF%(RcfQKg}}@ zN$x%8?7i1ozw>tfW#^`6kl!$XxkxPN(LEO8?oMYU4KXJCUuz&Y;e)d)%~_@2e^6sA z#WgGXkneq#3s2fY)+ECSv_cPBTY5(|Z11NaC3!|pZoH}zU)oL!i?%yeeq4zbh)}}4 zu5Ho@BQ8e#$*z8JpekwBG{Sv&A8R2~?iCw_i%J5JEeo@(3%iLV%Zuc^Ux%jnMocj5 zQ^(k6l#^?}0~afnEDjU+jYFc;yVRn^1q<8m!R(}xm>OJw`Sx0T^nxh7dF>KZRI-%t zWPw;2p+_3$??;dwjI5e-_EK>rS8K?LlFcW~E$6vo;t&T6wkHDX}7RlxRu+o`IB#77?+a8?9&%|DnTmridgmjl@lSAX1Nyt1qlHPl16OfO-Boy*m zp~iNk%k7B4o@-Ox@pXILo0`rNvn}}@QKrH?o4*s_(Sd$$> zIrmR87BehRt3^4~?peqcg{l)4dWBY}-k^exBywx+7M^x-BMlto5jv5za)-PPZd`Pm zEt=6K%YO!*|BDOLlxy>FZr(w{R=j}z(SR~vtTAuSZDa~FE#?tCueNK18Gi+OwFhL~ zwLr$LHioc|!B61ug=jS`I9Fzm>tS6g)~q9Kt}ay8nvI^#byE+vnlCKgw*sFK{AM4_ z`W4pRV?4v%l7>xecTrjLX;jlQl6LhUNKGotsAxwHQ5dPeR4GI8gU5QXQ!j0sB6@B_v*#& znmtt7Zizdel#KoJKJcyoq1v7*T(~k5Yj};gBZn6to3tKX?f19({Xg5q0(mM{On4MH zte;~*_;2HgZi95l4C*7HjT4+r6G=+L)()uoA&LqUfgVa0fERF__f^8L5RUb4kO#f7Gn+TWlTXg*l&I5UuN3 zA{a25G}m|I-mibEo;x^?=J@51#+rQ(b8OMm+?K(d1_saUS z@K?GUm(owm#;BXOoxv5^nXF018StGI_*xF!jm6s^BE21h*}2#!$hKSrKH6cVAbJN8 z|H!1?nqQ>5eJ1VLHC|Qk;D{`?mQb^^N10hE!D^na95_2`sqMw1*kau(+*SG&N!vj` zjopu?c7Ia|ItSB&t!32gh9a__*N*zgAIb~L{2*icgl1&liEk?3N{+1P$8+QSoMcfE zLPtsFxQnCuP-XK+nrAxn>y6JNja6Ndp;D*l!-Eyc20N+>r3F3+xpg z)OHZ$HxjG~$1od%?g-k@2r4XJhlEz&kZ$2}sGp|8IW~@LX?kQ+AFILDqTUnbt%0ap zH;uIIS`2yUWt6{3ge@MG;sOIolpF#cw>EmJf1f3VA`80T^nFB4eo^VQw$8CbcN>OlwSF@oyxM-q z`hgdHKHEzL^$F;n;H$jwcoHY>7#7x=Bc;s(>@nt!pyTZ}=2*?wu>!~@7Yuz0XVFlo zIZVN8U60yE9w1pRHze}&1o4gc#m_)?Imc<@fu32&RB@UV)16R%K+nYa zMS6M$04HM}WZ>Ij{b+myk}cT3>Y9qI9Fxv5;8K2?1m@`jaK7OOTGUi?DEp_EbKH^Q9PE9ohG*AAVY`pqJ zDX2_9H%+&Nf7#ueZQ5dwH3j}b`+FE5rKOI>1x-{nPv?VYL2Nq?$3+ zu`=j&uzq+AE0|z`g$7@Uax`fBZ~sO@kq@43{4qegU>kB{%;7T(^E&hJy5vjeAf>SK zGx9FmLUKn}Ah}T}W(;nV!k=eAFW!JeCmv$qH$SRK9!5Cgd8j)o8ZVJ&PE6>GWexR~ z`b+jYFtfG7}Lvd|7RnaOWu0#2-f+{yG(&x_SR_L1Z5!{GCRm zlQ*)`k@f0LcNY8Ge4R<_2UdfIqDKYuZ_&i-AJkIGQ(C>kf?f`po|L>=uI7go2Ghj-t;^g*JevOD67W~t@RHRWmjjO6@uHiA zXt>*vn_m!au>FgQ)JdedTu!@32$5U19=2}*EiE#YHcwxQY_3kE`Hsi2UWq>N$zBlA zWncP2`jFveyeG$vcBmAa$`L0nj)<}c(H==u;Jf{E?55YRp~rB9{?3qAMW-*8HC>|| z7GZDAcB17BK>7oV5dYd9oIm|D^jOp3S;5)u`yI2XO7H)M{&TY8qlLm45EvPW0gPb<1<_msqN4Y=y&U+Uc zqqdjDk)&O3)CF@)W4w41P>siD;x;=ZSzIf?qJ+1|Le_~IZ8d~lzZxl|U$NHI5@|A~ z)Aq;B3|H<7`>6x|es-$#PpwrASt&&?;HfNp@3i9a3e{Ge6rmZIgX?vpG2iYhHJuhL zQSPtAH>Np_%Po_VOO1DiRo+vfUjJSSkVAEC{x%J~-3iphuLN-n$J0b*l=4qb!v5hu zW5HX3C3Ulj_}vp?-=W1e_nNWt!ZD}^>WD*_1lSREs5(GKFXuX`p;jU$k=CfIjz=3m zJFB#d525B8Qf$Wcqsl3A=b{;2c;da^iPa|yY4I^iqCZUW)Gjzi^mzM$4RVdxZJs3Y zIm-^~vYI<)L!VVa^^vP!cJT#?R`iC?3*5yX<+xC@j9kfjCVPC-ncG-D3kgp@B}(%u zG{vi)Wge|X{NIZi3t-7fVjJnD`{zUXyL^L$=A&`LNc%{3M+nTDh5*xUHO(wuWFx#Y z+~%a@n)>;>7wSTE3t4162T#pZBF(U*_I~q;^|WW?b;UNdCFnI>%uAwQ7fiZdBoQ%q zgV?RdA%kZGUJ_sO4{NOM&Wc2-$0x=%dS9hC6E89*Sul5z?ZDQi)pWAu%9Ns@gSOg^ z0+^Bh4fC_tIPb*)fJMiWo%BSR_2BnZ#g=+5xA zIe7Az5ZH70zJ(+8h@e_}wa z$&8>|L~|z`A?7Mq#BbUGnV}fO_9!M*Z|uJiiq5CL;o^I@ah)LH84)SraW{c}L~YU-+S}1r`*~+AQU* zjzD8HuUY4b7g^;R@DvZ6>CgG(iy6NM;ET?{#R)x7^%*~`XsS zI@mjWloZb4@kjvk+18uik>YwZm4w8AFYlXAK*Wy#<>_oHyt0GTRzuKo!I+9v+d_=|Foy4Nz5^<9l zBJpw;+{G)x^G_QsvAPmWWpjKY(h9u5b3dNO@5Rylv?L-3-iZq{;)&^%{#03+L9G8( zqu5)AyGjaRg-ASqrl(4rf>-0PgNxh@y>79YrWZ6@07=ZRUAn@SY_2{YM4aI^FW zb?fLR<|o>q2K$MeZ=}N9zza2gGNm%h!^FPY9*c(@!pe_Ebk0C0|C~;DY{@u~AB*&p zc$@5yEU?|$T$hSXws>NuUnXsQ)`?%n7BX!1edM*x$5yg(g~}p+DRpBU{yYz;-C$06 zdo2}Y+(uPaA8B=?hTMLf=qX&=2>-s0Dw$(M>{l0WURFeDXofg9U#B`%QkgEBlTIR6 zWriz_cft3zz}Mu+8CJN+@{gwtS#<0~%m_KPxI5WD@68!hShg2m`(`C%Z-Rzg*B|BX z=Bv#5Oby~hz9S;$Jjp4UMeXivW(CLkVBXYM@bk|4bW+mTT$t4H-^O=MQJgGWuO8%m#3 z0=+)u>x&*^=28(_6I#n0@%`>9-TIk0#;`^~np%h6#C92uux=BPPBMXHpGfr- zEE7=H*BKd2HcaEaf-K|KC+M%A51izEPGakdWWv7NNJM(ih~4Wvq1dkj=5kY!X;}^A zw6lrq)pqcF?!b27H8zz_mT`!HS?)9FEgGrf=Q2FNzFOTZPC(MgpXhDc5GLT` z0miuMN`QGr56A=l1W)oPD(3lu&mkTa>i#AZic6D!I6RRlH@rs$UJp@*^DfoybDze{ z93odj!Os&wZ@?y#^uk{mukh&32A{1#HGOpOt}Q3z8f{B#hr0L;;go$K$Mj>;?|cJSzKe8~9&!xZbKnrDZ9PM#=PonqBj=s2 zJlZ%yJ_+Xb;8iL8PY__z9gXtDjif##k<_@B)AP+e7j@Sa@;9A)mMl7HicY;SOOsZt z!PZ+YfMFeZS`+Z!Fy+7R#^vpJ!hzcvM}%KRkWaIeb7C6LS;p!%#dDmL8?+U+W#lZ$+N95?-keTd6pfX`ygqVpU`!9ZBWt%48dgv<)Bqd1kyOU@PqLA@) zqX;c?6+DYYSXbMNM!cL#hrAsrFC6Mc!$XUaFjNox9Usw+!Q0f`UPG`+hmvZCZ=lU@ za;bby1NBaiPvAmkvEp=pRn>tRkU0WwDx*O)FQ(G=aF=BHsT3q$;DLMhp0PynZ3HPA zV+uSSJIe7Z#>M`AkipGHJy)5grH9+oSwS@3=I$R3AK#=#l4K5(Kh`SaX454Yo|lMCc#yxx!*RUMyfvwVytp57Q72D|`1BXD_*_7EN2`EU zu}iHu>`b=3+8m}#Ho-MNbhzn88)T{0QqG&3M7cVF-2PP0?-ETTe7yi_)xMgt9_w&q z@$MAy4l|Ut|1grBil7bpcF08ThZ23>$${-k1-DzsN4AwwT;E3={!L%DHRfihSq01% zpE!|-A(5m=lML^&A=Uo4h;p^y2fh7-FhBaxuCi-rzWc=ChYTK$h`9bDP&nu|uDP5* z+jrYJ+ts}$c9G7=3p|PiJ0H>`r57FLNAsye-U?}i;c4Jgj;BqQ`Qy{vhGIc%E~@(Y z9(_K%P-SiO4b2!4!*c2xN%<8)+@9(g@$9W3#2scaX4(;0`F;f2KBPKATRo3T9h%S= z=^25zJ%w-v-k^=I_2OT&O2$d_cA>WHez=~oB%8;!r)c&qQhS_v5!84bzWZ$vz`R?E zEBl_qg2|2~@5VmhgK|+!Readlfn%esSFXicpLW#sZYPNge-n0~uVa+WCidJh5c&DYD zHk|!&ud3Ow8_B*V;k6qtfL{SLr_mYE50>GSaVJ<$+o}FS2_am4cfyA~$7cFRD%*F0 zsp-ilV&4j?n6QePeJ3WAH8h zg_^`7+>qMEaBXX71Ahl(jP@W4(=_a+XM=Tzl*lkBf?fakNtkJi3sw5<1wFk0W_?%j zq7A*If4nVP$$zLJ(*@Z@9>mI)=fDdbgWCT+67bSXFeXQK4KlN5utoL}BD|fB8l)4E z;G&RdA}fi|`vDaXOvjRt(^%A>#i9jrYR9{abuE?P(|iZoVKH{EfQ-S%K$RHIGQJ%m zmYPSn^7<;G7QGK>nm>ami^tHWPlhyHl@31A9+*FHFzMBNPQ}S6p-=xk6=9!M4PN(Y zw6d@TUHmt5f@Ox1@QQq>s%o)PVSJSeD>vcvuWnepa0YgH>Ko3!?W9&`&;adL>Z5WP7`Dvye_Nmo#+)f1-OJ)O&`c@p>b3Jv-bf_x37f zt^ioti~_17ju%)^UL^t}(O!pQnad|D0M4Sc&wrH=+Ev5G3qS!~S24 zs+tvq8R16jHCa@V<%at-O%7c-ViD6isSQc9eqy0aMq8tSRcc^~+_UEp;m=z{@VgJO z>zs{+#nZ8{d+%tE>B9o@=C@Pp)sRU#VT8M5F=~sRl_;O8Mf)zvfGcH8Er&wBHq{xS zq~0?5uK~16@(?NA+;HXGCS*J4EFL-Q0At_s7zt)UhP|^C>c~2}V_oSu=EW?~XKoVa zu?f`;>`$hjatNzBrb6Z=$=E9>!zCuT*Vy1)Km6@YZQ=w67J**ih@Ji_i68lBmq=gF z4@vdEg*#s2F*0jIBIa_js3U+Le(cKfkItl;tiH6cq#d6v{}CfCd4wBGYKZ9VVx(AR zjNVr5RGDN#-Rl!ic?CPEXhaAWxbH&+S;54#EE%{j8_8c2zbw@cVTnya5|z8ng5TMX z@~=_K?>L5(6RuI&xC(XG-=~R1ODPgChN!Xo3{s994s(rONYGM3awZQWIias`tIINM znsFRhZO@P^}w26-^0eGKKD(jti;#^#y_@Q(Rm7_kH& z=xIo_<6l$T%oI|%Hy7!*Uxy4sIbtOqXx-Rh;BCkv5nJveacU|OH}pkI0&-cCtr2)= zTC``t_)FofC0cBq8!r$(Sxim1ZdAa%hq`NfB6IL=F#Yp!gLpm>XV=oUmv*taY3D%G zEJNbDZOA0#JNYzdBioL9FV>9!AJEG`438`#J+e?XX3af@d*cAs=4N6EuMXv%e>$%# zs~^@$$_X>08jHDSu&;BmO0gH__3u1EyZ(!OH;WE7Y57i>PB*&6Jy9*ujitGDzfpaM z3$c^DLgH!$GFb0K^k&06+0hr6ReiwL#g@b8m?f!XlcMR#>dQ>`yKK;m9APf`2DQC; zD~&ilm-at*ZJ6uaAobON8K{u6=n29HKmiuxD!^4*^_Hz&w!q4 z(V2Z@(^Eec@8|=ZP7Wcf?7P5ee~j`Rz0tSBqyKPDsrdcRn1Z1pM37wzT;f<-X}^yO zS~4h4W`k1><*B;HpC%>`^>A)&AK>ps(Rl}>LaU5jP~pnku!q+nWyy4!^76(wQNUTq zR6ZwN4vT=}G>AlhTFaP!?m)`Z1~~V9Dbe$)LEV<0g9HwTvDM@wSa+rq*N^Z?brDn2=(7jZUKAOC?d!y!c zyrOxT+hNXh9aVaUV>d<$dUY5Q4pri$a?j|tA(r%I;z~v@@*{Xv+o7iAdAVm-!29P& z80QwqOBZ09(R$!fUQSb;?gsG(E=RT(UjPS#2i~@L`sD$a4LAT=AnyTvlhe*J)tiac z*~Rc|9O?dVpZqo1M^L52CW=|Nn#kOHrQ}HmQPGRvsAocd761HZ)U7ukeP$*waVxK~ zomy9>()}pml?(??Q$6NOwu26Ai86Mu6`h5 zffK<$Kbi=xB$KEwiIbGSPm+zl_obRuo+C1CU$wlCj3~3YM6r4q&Ae0}KFcJEoz~Y( zz<(79eZ~~5&CVe1vWKW?%WQPW_ESCdK@OPXmkGSUwRGUD2Z84PGN;00FA+cMIOr+EvB{g0^r^@u z;;;84UEaC|df`}7<#Hbz-?U5+C$vCK_#YCYt%w<%30y)3TWThd^ivGt7rlZSIuVZsy^ z0kQQyNFy9-<#}ssQCCVik#=Ix;VI5jNfM;(nY2M1L&uHsWQrY%;e05=#bZxX;kReh z)$*r9k>(W2{bw`uSPoF9g?TCEtBG>DCvG)fhLsuLf#=X4_AoD$ljVeYlk9QftY}xU z!$Bfi9FBay^VqKKp(tTYmYO3mfuG@rxzC_CXx^n3pBP5l-Z)Sl_d96tu~hKQn;v35 zt7f>dEX?jfqxQq+?!Hai657gMTF6ziSs_H3xQ2+I|0H>frenK(=N!$q`eGsXHqDnm zLCNo=L5!plID-km+1P`!1JA1zuV*7prXCS5+(1PhPiYlRp;qQ%RC7{`xQ=f4SLV_1 zvSlB~=PlAh%=7t>rx`%Cxk11)0W ze9+$vjo9$h>6FP@N!YiI$fkk8;C!c458&)U2eO3N+vT%)ER=&vzpOt6i)?)3i|e z{t9G^YvFzqAK!-Kb;Nf5d6@T?V+Hph%447Ync1Nu-6iThrlzW`{FiCG5L2Av`zol) z=9iODl#Pe~ejH?1eFXO$o~f+QdX9x#{zVok>rwTu=brC3wI!IVHX!9JGt?{{gapy0 zz~`pKbmU`Nbon&3Va8(ffoIXUVJB3T2P9PVcOt#~)0I(vcmSM%MZol%h}`wuu)tvh zwI1U|rFv?3XUkE9da8|bE!3TtdXeS!vjdjC`+J^p!%Up}^D)$dHo$8u!18eL&FulE z=hl&MXUTgcigY4&>)b{(KRbs_N&>0iCuD~Q{fC8t93p%Bh!Iq_k+xWv6*a{oSwRM! z)-pw6n4P2&^?Zx#c`cOHT_jD0I%0ioJ+|}vjdk4pkn^f0U9w7~mYO>5J~2aGSdoju z$|GXKPOM1FR`yhfem0IsSUrm+db;785eKrWOdTKdtY`l5%fNmS4Kp-1#9#fI z^h=sMEW28#mf6X$^~~?MumUu*B{LXB^;A?bdQFg*s+DDZ5k7R_wJKj6O2ryqdOd%S zs({x8{)Te6zabjEelR)EOL7xO$etqe<)BNJZ$bP1OJJ+bh9RNBE>d)E0pXvIq{i(i zQBaePaDa`IVl!l7)*r`qS!(Jr{<&LIrVzEggztFyYXo-#5l$p@au#Cr7Dm;Bh`Yq`~8rN_g zp9o#!%TJu6xaS$5ewc=oNp3j!^re*m(c=7Q=u3YcrGg*6k03ilQv znPn6;T@Q@VvIb;rkV+E1mI7zE4qHW+khFQP$i0zgMu^QuQbqA(dg9YasH4mAC(%N+ z$mefT)bW}`%-6@d9hYH$Go<<*t6=>>cK4$X?bvL@*6T@#LU#l;KWj$s71u@80f(sB zz`aDQiiO`BzWYiw+-c)X`8OJeDRimQ5VL2VFFcN_B$Ly)%E`0gvu7b~deYVn-~Z;Ri;8FUf+6 z4rL)mrX#K!1_-%t3USSsF}T$m7@CX;{jq0AqRiNWf1qb9eMtP{O?JD{@&PeSQ~70@ ztNX~dIkiK6CKH?2e!`7gMv-?1G>w^6_OtJD_pa#B>bl8n53#6`TI32Qn}(YzbU zm{+@(GAE8BAD{k=Am%R=hqBaeWkXN`TpOX-sSmkVcVIFW(XSuudH*`QNDI+GRG@u; zndPP^C-q;oj+c(K{bylw123FkZcKXY3{hKbO(Q*y^jK2kdmoEN{HDuyKNmtzL-Q{F zq{=`m!uVjiCEbeUaG&9-*M=x_l!+>#WHo5zhQ!2n7BM?n!Tix+?P{|qFZLTUcX!xI=i7&rOl3pdvoqk-u*Ey&2NqoPTjv0^lMb@x}6Ai_5{X^6e;z- z5x(peW&KOg$Q+)^PSc9y`-9*;t)mjX3&3qZ1NjO!Y+|^DRNi|_{D%Uw=EYCsEdnpg z>PnSTR7pK#57Pf|8xlByYDNV!rJ46*(mq6C>l!m8asXb(*7d*-OCn>Y-IF#f`hlCL z|G*!94wiYh_Of=p<)XfMWdyfX@(*pBOo(}wE#c_>M9hnqL_TRBwTPcbAZJF*JPz;; z^wNABE|_|_d)bqZdA7FPPwz=VVjuGGSGC*k^;_pzW+joMjca4IgciQ3XEb)3~_EgQrPDbVf(~0@^CVcBm z?C99Q0+fjijmOKg#O}#J75SsHD~2z?m3E zdS#ZVb1qzAx+9_ImmDPjMa&GUa(_hhHTNiYTLIizb`NiUnxo?B9BFRJI@n`kNiPr# z-D=ZG)talM=HwYN@tGoq&-fuh`ERJVY>??~Q<|Fmz|+;ri9Ps02ke?2q$_(ie2y}7 zqR3x;b<9$gUBz!=S@s`DA>Bi!;8$L&#P(TZAwLcbyBUc@DOy09 z_2-g%?J4XJ<5RXvdL*hjwY{JwmHM!}{bbeH8dZbVKAdB467!+PvDvu>2@kyf<8>s; zKto{G{Dr$T(2suWrWTjxLtbzo8L+QX72z=m^9t;!ZG8+d1czZ}#~E~NY?NyE&54X+ z^E$NdMv=l4W`-i(dy+eA47&C)Ph>R`@+wmZRE%bxvw^Zu~9QfA~r-0v$ zNkaD!B63(qn1xDgUp-gVC_YAkk%cX?5=l&sX$)V}jn>8=WGz&fu5WlfulnRps$6M6 z<8C$C7EG8h`r~^K=H^D%p_P<}wx?<0OfTJJ1omGc8+{s!^(2U|ca?U%gZw}AD>N-CNPn4Z155*T=+=Q{to->o~Z8j$j4Q|hR9TpLp6&(sz)xEnf&=m=y*%C8n_01px*Q5OMbKHf-k3mnagFQwMnT!uy zc3%JPc;2KzczOTSU>}bvbz{8)cxYc?MfOdiua`#CUWF1)`y67~!q6{vU1|IctHx=# z6G@@@RFuZy4Cj~h#=SNSV7)7T!CAeQDych7x8%az54kX}-HoI=1-!c!KGh={(7hUO zwKk*jId(_HXG`I)hcAvlvizkkDs|~=F$J%k)`4?!z@5JE>cSKvC=41D*;C~(P z5FJ}`f;Cxmj0(kdhz+Ty^1BPL;jq3c%aJ;SC$zY@M{=kzE1Lw(XpT_mML@O|`jEYs z1MMQ0qK;n!RbNtXFHT?Ghx`*C&Q?xSV7rhrRQdZF);znwG6^3^tBn^GC0s!fS(qp~ zmXqRn22oW*YiYL4Uy&egHlBx?_4og1i8;0HJMEojhnM(W0gjriYwCPB)Gek4~^jQDc~ zf!1{y37QX++~^swzO5kxe)vx>4fn*k64TKukx8A0l8u@~loyJTt=yIfHHV0q-bJL) ze*?d7FQ{*1SUCM0tg&Ql{`nbIUQr;=D(XlCdm+c^bsbH}4N4VgErH=91s+umwWxEY3a^8RdD{xQRqrII?Jsf_AE(18cm~49#dD{%f@bjCPBGe7BUTk2opf)vdI?X%x?x^g~1hit7qsu zc;|5U!r8=LVo0o?Ov05`T;Qk;fEp>7xV2Z{rLU^OMV}90x5#gZRlz-W&pdI1)Cvm* zaS`{8JJRJYgn8dP4DUA7)K2K|jyvkgV>77oCvYrUH{twkqmV_&e7eMX2{X3bQSzt9 z(Y`AqFh@Y{Eh@?mT#7mM3alNHjb_~S7&;)dNu}{yK^*>C9(v7Pz%o5&)8a!{!gxy~ zCDlWM@m#4(YSo1+lzGuk?6SV#rrrBc*1Nv80!`mPH7}e+HxRQti~OZ?NNju8j^%S^ zpe=(O)Ryn}L+Q zH}IWW5nEdYKi82&rsBw=kFH3}3!*DpLzx_}?X>ktn2XuKlSo{42eD555%1MvEV?us zYVAGA7XEQG)nTw8|6v+p+kf+X|f9fqs2xKpr=C}jHxuPzvLiX*Ux?Lt~r3$@6OMO}+u5x&t~n$Opfh?m2NxT1o17T=hV zJ8J^XNC;CmzX_+slMf*aSsJoZyv3(xg~9inhjX^pQ&TSwxG&}vW}VhT|5FF^q(-=V z;}!Y*@vd6z3+u4%PhLI_56;R=){Zcsg3Ucqxs^7)O}GPx@5l%KHO77y+maNm^NFr~ z7xH=P!RvGR#=O~i2Uv3_cRF9NB(_`Dhbjs(i2dLGY7aeyf{h=Fm=y!C?C48cb7%}! z^xcBOU#h5hT_qJWCy{XTMaq#bB`!&!DrVs}YB2dkkcnJQ&CH9?Klf&;yGpfKn30GC zna|0X%f)K%3R@fzQ-WL)>Q$nwKzj3ig<4p#9^YHKdP1I92KR$_Iz#_OyM|mR8}kd9 znp@9ElSu*5`WBIf8}7hElHeW_3K%_0UV`ACN+RFC5BB3H9d^dzpZi z^8gj)ttITjowT-}F;7^(kC-o4LM_)ptV8su!lfq?);`CIp}^gQxv%AfGvxdZ8?mCb z6pI;(B<-cxtxg5+M39%v=sT&`#G{lL9xHB){F4ns!ZqMw>4?JKEv=MS@`l!Tq+vl& z;ZnsQ;77UVVbgY)rHG7Z%Kfcecb*(8+tX?B_%PHK{F(^QPC%lyE8|5>4CU7ECsxdV zD1ZI})S4xtOz9!=BgH>{z^TV-zMVJK{Ek&y@?eJbHh{{CYX0yBi5^#t`TrG6P`0`O zli3yZ%3qk;XnTV~ABCSZMLJvfc+tYWS5dmOYmsr+^k30Gc#?EWw! zIA%jRl05WfP8Mq~dj@ycu7vxsuF-}!AxN2Mi<#$ZV4Y6K7IidenAj9q#5hV)?0Hs+`+{3cH6w#(f9)PY$EgbBvSO z+84lAJdMZRJghh~BrDLay^-+C3b82UKF*z7M@&AY5>dMkmNj{R|N9V;oytRpw#wsS zj)3Kp%+SUtU_Q2t#qv$(=~VB>YH_NT2s8SShTY)%>2^m)Y-NvBg@_;$EYUg0V8t`}yzX5Zz zw^&$KM$BaEXtDDW*c*}v>>gNQo&fh*&LZAl;uz)6by&F>7-xy6Nqswb<$n$%*|7`R zHawckTl;E}X`lgevfC9P(#)E4z&|M2+Peh2j<0aJ@NSGE%ZzY6mpO@N@`!R4Jip%` z5GQ*&8k9IZXs&-YTQF&6z=Dr#VD9K1FoXMy>_7w8AoWlyEIYjBep>50Ck+QfC7C9L~9V_v& z3;7)AZgwuJ^;;h*-@leT6`l>f-&ZzFrxQ@~1rvxed@U6Z-;44G-NIhHao`Pj0@=f< z&@25%^bJJhQ^+`V|K)CKrEY*gw!K}=pVrKdY%XTlkZjDU{)QBL;l2X(8N#|supr_k zDOX$bcw8&sTV8}b;{fU{nM^M2b!OSR9>_;E*xf)c)MtN>H*kks0kQ4wgW0z%=D!+? z3%DgHr}8VA^1zdoTzRAxnTBD8`;{gYI4UxRKf+2UZJ_rcqAXB!fAxS~RHy;O^J*Kujzr|Pbo`G`|ji_AqQ z31h&;5{U{|xv!$`4neeH+0r0!<2~9Ha2zzF>2&UrDU5D~JAN{v*Ki$^N6g11kW0~A z5qonqvU&N< zz~RWu?+$UZn>0Z?+>)AVr=qqg2QlZguZ-#JfxC2ETF~+fxXT<`wb=`6m(Qe@_Z_5A zLsH=UQTI;p_q7j4;>0V9>>TDzl!O46_d;(xu=!YWV^WEl^S5}6J+NUuT(-5f;NHU0 zfmWFHzYg53%f#qnm7>StO)*jpu<&=AAO#ang^<&c80;hk?TN(Hd3H$J5BpV~lJQtS{y(+yOoae8dJc&$|VW@%_OnvgZGodf| z3bk1osdpGhl`GCC!yJ~f3(kT^Fp@HwTH-w6hN?Tt4-3AXg?FrjH0U3LI=+rn#dzbr zX1dS@HUMT#<;3Q}QL5v~(8*6%f%P9wd!)MfS6@znecl0C^;&~0b)isuz5#Z_HDuZG zo=URqk|Ihy$=RK&fP?r3*jAH>6mtEqSMFr%%bc*;&%?;^z?DR+ogw(8#S!&p$8${e zj*GM=Dh#=IuBYD1b0;YJ{=y~m4~=7TXJh5DBs8b@2S!g%FOvDL2j}P;`u~3gpDX;m TonAXN@BR(@O*@7E#_#_C#(;`P literal 0 HcmV?d00001 diff --git a/tests/105.out b/tests/105.out new file mode 100644 index 0000000..d72036b --- /dev/null +++ b/tests/105.out @@ -0,0 +1,32 @@ +REG 0000000048200024 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000002 +REG FFFFFFFF82410000 +REG 0000000000000000 +REG 00000000BA76001F +REG 020000202A26001F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000001F0000000A +REG 0004C0000004C000 +REG FFFFFFFFF7FFFFFD +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG BE041CE7FFFBDC6A +REG 00000000000059A0 +REG 0000000000000006 +REG 0000000048200024 +REG 0000000000000000 +REG FFFB3FFFFFFB4000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000090400048 diff --git a/tests/106.bin b/tests/106.bin new file mode 100644 index 0000000000000000000000000000000000000000..71d3b423068e90e04a94a6128f4c66242d3fc8b4 GIT binary patch literal 33468 zcmW(+XH-*57Y$&+o;H6@(`bmqJRysgB3MsuzutBgLP-^wYZskXXc!J_CA-x;mCv^`=C5CbNbf}rJ8UM zhr=01|3WIdau|9I`!nWngcP%Hy~_baFOtDsl~{f!FxyA>fO zoaS+6rmraWis{_xRan<9uR^+X<&5vt**vtOt2t|GW**KlF%SLC5sv@Oai*9vORwR7 z#+=`Z@toh&DK?#@*YH2%Lx)1fA3C(0V#`^24gWLda1zFII9n;Um8I8kQ#z*equ4Qu z9i!N>@J@8h;gnPCHpOmJ>~^?09dkI%6#GE24;1?l&ZT4ez9w)u+(i{#xmtQ%BBW#b z{wOAy`a1<2tp_mWFe3m58F@4n(i=|jB#bTFmOkydPK(SPcrBW<)3CA>* zVmTBmp;!sUN|tasl~AmlVs#X&qgdS%j(Hu$nkn{`VqYotbqR<2m13NU9FEQ6imo;~ zdR@v9+AOB?qnMmxa*D~9aujlkDJZspVhbp?U@0eI0mVWnrlXinXTs4f{WGTXqu5@G z?bVrb_EKyw#d0WifnpbQoj4aLc7bB$6lk?{I@p^lIxB(K7C@Y@=JA7_i7NE22Hj$ZwtMtH(kiC(e(6CMpS=e(KJVJ5(D z_cjF@&bfhl-Y4vBP_u&Ok3>KA3+8{@0g+cb+gYf0K;Jk0rIM+iF|Xh~7!!lBAafIv zRB5nz#n14c$phyVXH=o4P0OKt&UD?V7ecL=t3}~^X6jNy({Q?R0ufinVW}Si2Tm^} zTP#Ahx{;XcAtl}g-+{RjfHdb95%nD&#GK`6EmPkSQQ|Wq%IraUPPSq*WWykjJ|8#n z6XcmC!pKA+`^@D!%evkIZf?Oik=0K6{4H=`nVykm+#_CBAdnF?W1hJyIs5b81a`|> zTzlUXX|6tkeA7gnZ|00<~-R@`1`it|jJ zu!PHu56XA()!&dJg^YulqRwb>FEN|**_pLl>yI@Pxx}*P9K3Nrf32Zm4~T63!3F*m zj5%k&hqP!HVPuz)F(4H&S8gGbh6-|Aa7D{k49B7_O7di>j2*K0kuG~nIb=JQW0fs~ zYE9lDcJwSFXj6c`HXlcO9wmF^--W_URbaJc)(Xb#99Tb3AjUo4V1-|#&)af^kBGUA zYo_hPx{-V01>M(!&qR+RggCDq$r3j}$-Gcd|2R)XIn%Lz?H(*o z*-jGgzGkaMZ$O-vOOjVu5dD=X%$B`J`MGacHnSAVLlD$dm%!v@pLE{LWa1stfvk;7 za58@~91urGs*`+Bd-pSFP={b(j&3zMGi!0Hhfg4BB#VT;5n#8KuWyS@tGcTdF9QM>V@Zx5WKClsLizQKr5 z{zmopd!TB~-y>=UzSGIe(vWINKcZ|IPO_F>$I6^Ky5ADX4fTdVd8{Qi_BVh-gAgRI z0?9=@Zh~c4BW`kdjoVWgl4mxJ7<{v^)FYG(@9}ndNLi(}Wa$=S9q|AOE{EamT31%0 zc!az~?O5aY8Il9jh&e|)sXn8}2x+A!5&z;KrScBc&o2UF^E%SrgOHPVZ|LNM2B8&m zJlTA+O=NTXSvIxwZ!BF^gw)+I)MiI8N}GX5{i+4T8&b*AISH;NNwMH)JcisD0jaW{ zE{=*iCud|^p{C;$>bn23s0luh(KWx>m^J&D_>t{&4%QwGMGeXtk96Xwc!F!iLG*vW z!*gqSY_0bZaTvRw(9x_To0_?xIF{)=R$N07kvDrj21pnS7w zc=3g>z{B?|?F?hLlFYw%ilpU7P`k?;!fn0`S$ETkTR=bhuAG6{;|`L(u2_F#A9idR z4C*^aNY;~9Q0hyt{ruyks>K0KbT~MHyV@Lc<=;r1vjtd(eFs_5S2F3Lr}oc1>^eAv znZ61ot0Exz$3bkp*M(&C>8cldJqdS!b>4{U;ts{2Q=+L0t zK3HVaitA2C3)L*lZV?cLubXzTJd>9EbSrRr$I!w}6B!eiS6E5+)6+bdU zG?$4SK-kLLAU*K|bCegbvEdToUT*>Wk0#h|?6bi=2Yq6j&UeMh5x0=-v1XK{{yO^3 z^k2%G6Jl7k(hTd%qR1#os@B#%9eDa@C^e_ka#dpi4nBI3RM|Wtd?&ikhDlJPa{_e4 zb|tCZOd)&e0+7c@NYhtSBz@$Ft1^3IZcz)-{Aefg+J8v;>(9}e=flV*ACYUmSs|(} zIEthJ7z73N;N4J)-3vYwi<>}W@g{VoX4{C^{r+s}@?@y0T1@hyUo*nWJBv?TcVw4E z^_y#v8HVi64kZGa4oj4wgs*bMrHcncRly7-dN~^lKKbD|8VmD>v}wKaWJ{&HdSK?$ z2U5Gq9H;U6AXZxq67C$#b8qGD2+SNm_WAkqEVV%vqj%U3ETC z=kT0Ie=)MMN08#>iQoSHmGR~rMOhJg%&gglqis`g?Z+a_d~PSwq*0)^oJ8clpD^X8 zkmZ+vOYUe$jLCbQvBz4nOVTW@>zIxBUg^qFEfP)6+w;GjVLc|w)`#6C#=P#@gJ;D6VYl$OAL}SSw!`&jxM)x_NMWU%dm9AZ__15__t@PyH>LP^GGTvr{1 zMGEdyomA<^`%V)gaB(5N^YH#9o<#l$m^7fT|heEy`Z zpT7_EmtBVCn-!p~pH?Oh}zelA#rD9bWSZ~npJ^Lv-BB~p4bU{ z9%Kf_hON=@BF5mWt1mIDhQDEJ_tsFZltnaW6Cggy4@ve@BfS_MS-N&BLp<7x**x<8KgsKf!!NEL$?Dp+98Ia_J8KxX6C95YHx;lc+|?3 zmv*jXmZzb59!9lsKiJf6jX1S+6|r1L@XlU!ItSx@5YG1?U1KNcvX0eax0X9df5Z*- z*<`75Y=|NiyJLN3blAloy1ms^V!R%0&S58Mlyiu5M?2yNBodk813e?l;6f*Hpml#= zVe(pkR)3=yTfY5(xys(4Y?%tz-TZ=s%V&do`ou^zF97ool;LZh#oBGo19kbP-LOVc zjir5CL69?%lsFzIamVw?CxH{g?lHlozRyAZ&lJi_BCtiL&!|RjiI{VJ>2J2uJhB|z zoT^BN`9xfLIt0{)uOPLHh4}YJNn4>mbHJ^~Xl_6!#Isoje6PDu88d~^T^ zo@uOu{vH0Xe6EhW)i#)A%1BKI&6R`BVBYoXn4_`>pId)L{^6aP;aP~o=|PzCW|Vqe zK{OIenDw;KTg)Ac3}v%W>GHFnc2p6^Do2_Z+dx9OE{z-TCf9u~_mTrCzGn!PtMs5u znu^22!{-*;-NB;r<@jA%A9lpO#d3rGG765JiKX&yzzq9=GWL2RMtG382Hj?bR(>p; z`VlC(lS$euJJYz8O=P-WIB-CdZJuc*=5n7CS&J3o`#+UjQVa@S;%$GgIvOOnJbxs9x z)O#bY^K=qCX8_K=eVmAo`xACXI?hVY`Lm}fzu81Cc6%`QrcD>Nwy=swIGG@LkW8{@ zUM$rO#D@>wT*g-{$H@f}#GdT4vmMV(z8(9G>I5(_66pK9*y979 z+>RzqnZbG}j*;qwyI7j2#UnNu7^dbvNN3)_HP*LuR;lHf&&egCk)bGcSueUa1z4QZ zfbWeY67`Z#$RW`I*sOiR=n0#V_1*zkb1esp-IieEkpn1sMJFP%T1E4#6ZAT;W}NU- z`Z$iN0Q0$lAQjakZ*Ci{V_G5Tj!~UwT1Yf@UvcnL4v6)qz@gDn-WCQ-`)X_ zW)&GckI&Xe*OBm_6SeZ@e~@(95iq@WK`ROvisiYbBx=rK*0Pc%YOb2jflu>ocQEIa zD-N3ZF%HcNNWVHABv%`-l=Clcy?ZWLSU3e%Fh->VQ-EHhaP-%s@PZk0@eFHEvHdAi8Tyg1MEF&@5N8@%O2tr0u zp6dY{(mecS|2ZJ*KHZjRJXVnu;|QE~DIB%scJbGL|3udykt8?oA*%~#v0Zt0!^P&S zz$(=b=ao&L%akRPrtjszZ$5~nE2_vaLo;Joa}XPxCgav6-J_%X%Iw@CPSY4rNT2f= zl3aa*uAH?VbI+wMs6B?(17@utSv3wx*rmu&laC!_{b9Y+4$8c~(zu?8+&_Cv%t$f= zRZltFxE|Bz9fLB4grmly&nVjFm{8iO4oil_5l!$H*z#t*3o|26v5MZH=Z~q*<$sa`6S~<6xJk_lG4RPfl;L( z$(;b4Q9OgL=?f2K%Wf>4NQg#chc(432pa#^PZiiB)Jm}utvFX@@2I*>)Q+1`@rhqh zIzb)4d7#BSLT^5Lv_85IC(V8rTeo0vQJL)mPO`4^p_W;X*EWj8qY)= zS~+f&MsgM<=S*e)x~N(4Pr{ubyFR;c@$Uh+wa_DQRXSO0S=EFzc@IG_<_%QDH|spd zbTDZ<*Q4V6aQr+gTq`^L9*LD>kmiX4GAKi^bdE2CITww!2>A<5!XtDw9Sey-l6KS$z zfb~6!Y{%$8HfasMePD^MQ2bq|{-q=ZqQ35K<$i=)*ahqNJcH=%b4Zn!88DHvVOFPh z-LY-U$JbuUK;BF^=I(5?(=6=|&M8^!{)%3VcZ5B0Zrdo=xVPirBX*dZP=?wE&B0GD zcFd)mj5K<`L-Iv)N!Zcp+DZLWS;K)`QX3tGQ~OqcL%BUl9-fQTg$qf&w-*wMqY<0* zn%Et@g2G!DYW0@2D7WbR=q$fVy6;;_a;6B0+j`Jga2*>J{Xvvoiev?6Kv^}HbY!Lw zWtAzG1ScXjvj}(rbFgsjh?vxF!E_&3PChu*XC)JH3xc_?&`eK}&NC~`S99|`Xsk_u z>oo(`dVv&opt*$=0nC{O4DDsqz zr`28Kd?4_NBY_}{9+rIz3jhC zoO*u;sVu$5SaJS?71w&nG$SLBMxTqtBLzeg*NofuEGFwB*dUGd4$KVONYWxaBb8Yr zwT?EoK`dH@G>iZn_C(_`7cG`$`;QuK_{j>~L;ZePA zh~(Eu`yR)r=J#76nK~WkIrt7VI2njQF&6PEn_)oe7p1L_0)ngO0YCC2jfvN>;Hf3> z3f-{!%TVN4ei|h1JgAp{07=d@VijNwjG_Rf^O}&dste&bj__;m&k)0rZ6tNtLmd6< zK4{JlMCtmmV7c|LKXn6A#~%Ri38xstWG|fdWGLmz10W-lMFqAGwU*8+vC_ zz|yJVS#B>$d&p8?1{LDpk-TX3v>BEZ|BI_X57Y@Z1NPVbilzDla=iXecvhV)E?E^% zxjH=~Y2sk@qv=!=sdm|LP97NR8oV&AbqrW@e&f!MZH60?d!xwe5Wh5M3DFE)uasNg z4i0X$Lz=CPB;}wStYs(Y9&=PkuessTzCRd;TSxu54Mx=X#2qJJtwdsn!^C=>FaC&X zSxc{KChj8;I)CVd>f4o&(g@5jkD$&)*|pw@csEu zC!!QXr+L?66pUlrL1>zGI7)j>rg0howF(w5i^bC1HX|4s7#@;2?KO=^fE|!x0-j}tf%f#Bc zj>niCrbbm%e>$G8SYoI&Ao2Scvgz|bi_*uvMe2hLzGC@`sgtXaprM#Z4Yx2i=ON}f z-@?NuuN)(BABWc@?q2wkpQUrd=IWrAPO(a7v~-B=vQ1iB5MVy_bqu zeQZxGY`uW$Sx3;!oPzzntP3m{?5&jb8-om)*(f^w1vUnlV0*b5*{w-IBZO$eXnnpv z)sBfBM~2l3D^e@I4YD%&F24Vb3RiW~1x-|tl+oR4k<(=q?a+f* z*rebbGAXirdtj($$yE{_d{?3xIY28o6^Qwjr@(9TbvsePTl6mJ#$xvH1K1$mt)sk? z%vMxH*ybH1C&pDoyW9K)r&DTT8_n;5?FZK&=_pTZ&pSkX_^!GW-L|=FzI5Q`A*pOi zqBk)A?MIT6n=#v9LD#twd{_7Ow|)5?iu-V}v|A$H>QK%)WY&;W=WF2E{5fX!^1)il zD+pJ0S*vJ%f$V#Frg(@=ryOv=%YFXr+*s{1&~=FnK;<)P_gPb7Q2D90(pQRWUh zhXtKQ(z}$)i3w4+NWo1QkM*@`kl!dK!7BjrPie;)g~`PFksOPaXR-40IaVMX50{(v z_&MbKgN5^@xTEoFyHJc_7NW zf;y7wA+_2Q$NfXKy@JOmx$-wQ#B>6N`2pz-Mc5XH;H@{XTYcX$Ecuv(SeJ*mUA6_= ze>?}g&~TI-avTnyb<(6o+n}0D=|uhfEanN_h`chM@=3Xe?Y>|rU7m)G!l_hOe2P07 zqOjcTAX0mu#~QEm=tfS7R`s~Aj)`~!QtIc_RnGwak$HB0tIU>5o*%>>=F^b89D{3d z2rFhzp=|aJeRmy`^G&DGXE1=~>~4~s_8F?oFQWWq&az~`mk^BaV8=uYlvOhmOU@o6 z(f_=`n#^Iuq3srt6jM#Pc{NV|u@y1~P%e0X9ZI=*3>lNGk?_)N$|=22+o@ODqq#|1 zX+#C8>H8aTOJ`zsu@Z|b?TMUY3JwLkAm7X!D~rycbmUEpPoD<)o*An>`g@5sy_KjM#YA@a8X07`6}hsAqkDX})w{aE6@rHi!)Yet2-9|k>K0p( zAz&FwKIo5ltDAAjZxs>&(LJT* z*8gC5>tkK%vr#1Y=m}K4XA#vmc{qfFweqpgC_nSZL8%V_CXz%CH4R}W)s$QqWQT%M)*0-P-pT13rpuhqG}HPuZZ9j?UH#;>HPwPRk|rfBN* z4Mz@&6cj9c220LmX!A_HXl)_FlBOjf**gRoEHm(;?myT+d03Xa9lMtw2B~Z&)S6Ty z+gqvl@+Q8n!^s|M)JI)QCm$p;DsTESLNRn*9m`nQtfn!(15C2bnOm)Nxk?o6t zLHw8kBAM9}SVZUNQ-+7HGYcyHJRJ)z7o(KD)3I1&i!@HXi99lb><&0JUUYi^_AUy<%F23B zH2lVlc?U7^^cl7G;~TBPsGz<@F){H{V7uV=Alvesa^f3^-WL^|yf&c2*cYF59XYSg zw_Xxtbgl|8|10UhgeuqJLB zI=IkIo8EF4EDe2$%5y%cQcfi5{CmW*?Hge?-#{DU_IlS>KZB}oSJ=`S>uHYIgd<&M zyB6ouy<+?YIbov)tE={)`7b4-RU>DRtdd_a*E`!zP*h3`4bh0JRDhx1YQ!r(sb#km zB56cFVz7(`Mp=uatxkhun=eFL%_K_WWKj2g2c?#JEb$&kBvZbEpzRvcKQx1&w_}l% znS)hXUufLAPU~7Fgr2KsR?1EXWxZ0+bCXJjtUm_7D4S?Y0i07lD@1oSB9k40@B#M3-WHevLq#nFz$#7+7KYA2 z)#m+h3f0|8ldi|>kMM{%4-qD%8e2AZNAhp?2^&*Jg2eUojB-%i?axGd{xM>wpN6HE zinY}_kvLg30UMi-QUB^cQaU<`WV~Gp>ia)H8t+BD<+b3hSEJfX>FE8iX{_3@7pj@> zif-(yW7;iiLHx3W)a>7iIuiR5iE=R7X_L(aZR?K>7Qcw9A_kbJ^N`i~hq$&d5CzLw z#Qryf=DA_0rZ5=-@)t|es;QR~aFoQ=O+^kvYjl=v3y7+54X!Q{6U(XxRCljLlO{3q zM9f1}x+fQ_`|LxqBh%1*`y2kE*$lDRyAM|^Fx5u-8J7MCx*4#GF_3dAj$EQM0Z=%akUP5+nzSDYl0*&1Bjuh$g2XVHA7-anMa zhj1bp(t^Fqy-95RZ$@J%fnw|JkcZ@~q3j5jibfLE_77066J(!ElN zN}5cNTjf-E+0%bQntcz5ZXAfYl|D!{w+C^v(L)t?BUI}H5&wY?wr?Gan9NYhaVnA3 zknK1%=h8gG=C4R(WWmCC0Ll9AC!0MyS1Kx35Y{CD$q$%=XhIL9uEM}Gzf1V~y@V_4 zN~G#y-2dn3aO;qvI2i$2Hh^K}Ylc9u_cM}W(+fla=Rwq6qhpy=x_^cdqp}fM^Uo80 zcL{OVe<2gki2C&t@);*l*B9~?P|cvsskvl_jn>p=!5NOy=cilL)Voh{K^nuzOTkXx&*3G ztVA?FVNv5_BE2L*{Z=gY;aB#+Rz?9RRsW%l2TbPit_MK-_iJcM#=bGdzn5XI{Rv{! zSD`yok1U_!JHxIjaTd92;xQq8N*I>z^#@Jkchr8`7V$Ix0nNl5IDKO1D5I|fVzv~5 z==deD_drPRn~8&FG~i(OZ-08cKSM-D!7K9?UGMlcuGpSwX4uVMqq-0_@ z;F`Zj?g@vfueNYvcJ)qdWE{YL+27b=(iPT%IY`pxKf!`XEwR|OK0`15#5=@FovgqE*sAG7-FpSpuik?K?yMe_NY6>c4S!kK&a)JR^=Kjgynq~%$>*&wvvO$sMz2FPAV zKx(%mDE<6kGQDZ5PGiqfeK#~p6CVaqj*mz2JWi41qB}^U-hee%*HS&Nh1gfiXbx|q zJqb@y?zmEhYM!Khw=;=cl^eLh*_3r?euq1_FM+LTLh*6)K<4w4=Ckp*I@5rgTYR)n zpBBi)+sd?&J@)FsYvByb#O>XZK8t>0!jTwbccGvi%dDzqbkOxp-xD!kIq#^5@w~_pfJEYFHz{jtd z>g2LRs9s(H)!cVf6MIjrid7^>lA=?$>5%24ZHU*BM!Z$mFkiJDxx3g&ug}W$7JVPa zW{pk2;<+<0r@<0lEgBhYQI$xw!#+5>>oROq?WFIdkjTbr@w)9B2TI08V1ZK>mMLPv zu(pvj*?+|X&P|$=(kEqg^BXR@-AtIIC$#pc1GOp{@pjpPMzRs#D8u8Hl83rKI*Z++ zmE%(F%h2Dm+$e`S4FfJw&&KH~ZjA3ubVqwZ+yxUxgF9lUL8lttc+tqd8%1@ydC)-=d&``Y@`m^g4J91llHdBh}pal zbrbIPujP3V^-MyfO|!7-{R|{k*tx3%J#lig`2#I}U-Gj>-tk~cThE2^pzAltkfvQ*B5Vo1W`4G)oK z_HQ_5`JJ6sK0>_2@%%uCkn2c)Iv0j}y>PF;)Q%j5#y@@|5)A4A-22O*D%rtLz3c++ zm^|tK+H3WIgOT*SfJ3G~Fu5^k78WAddLmL7x=-9yUcG^PZ z&lYd_e^$uobqUF}rbte?jws|5v<9O8l<6A&)hcoFJYpIueKQsZ7u|w%877*$Lm|9ogf3#m z2}WL72~5>YQqt!uvXprN*Xar=w*HK>M;;(|?7lJTf;<}EXiPi#w_G*kkgH783r+Mt zBH1``Uj&omjwP$z2y=sv(s)0?pj-+sIGx$txba#>_YJ3pIwFZ|Ba)Y`r94_id>hKx z#`~XRG{5y&*>Z_;h58qmoE`o&@t+iox4_3^1l2NLt5PFnZY|qwfJ)wCqZFT4!-x+Ll3$_c2^mIJ|_ zhoE-eHuhX$Vf@78AK|RoT;PW0B2D~L(9Br@iYh(|^}Mi9;JOSTRqEH64C>u^&N}0x(in2Q}5a#!iDlE zs{1`nB#f6S2nz*-YrYp$Kd(kw4}VJJW1b*A)t90@2a(sY<8)%(e4R}B1xy~y43u~w z)TnDBjXO7B%YrmgHT<-$zAy#rKRco9`_Hg<8$EZzy_i=%07O*_wIc3YmRnhcW#5J( z@$Amj`=|XSM~d+WhZ(wh?|mfkNP9@lrddRqzX{21I3t19Ku)I|AD8X74hz{xBrmvv zB;`Ih>s5eX(dR8}dc#=Tju~A+|9vy~`mXmY&Ao?()3Px;*$ntk^C<7w1T`kz(Bb=` zjQ;dmB)@!|yp;CQRwa%kGjv~cmNy@2rAt3zX~Y4fjCe(?GpAv_Z#|M+nnOk%n4%RO zubs%{9=N5!n8#8L z=JZU|{)u{TgAN1B`9<2RQb`r9IUT(2p!;i|1akWh2EI=b7IVuXjdC1b!f^EJM6CMH z`>9xGU}|)@27uMyDJ)=n#5Lv_xlp-=G z#Y=C4!i$FtvRKTW--y*a*U`S743aKcO*Cq2c=jur(a5f%j>^qo-E%*-t#qS($}iBB zOGamRFAu8wZ$b=bGm$V}l%o-pQ9cWooTc8?p3y||m4o|TuwNx;t3&dfMVN7!Pxc)e zIVflU90xC`j8`K-ahx$t?eZ;`s6C@R6VPM?QVjS`=7e>76Syzm_9) zPB;?YKY?qn&m-!BGGeKcfyz~)lUyH!yPGvLs#j+6t*cyD^m$SoJVbSB?4L7{we}q9 zR(2-Hy3Gcuho>S#ZV`6$8baE3t{R-2XocI)-34)C0dp8~Haxh4E~xs6t*fZkcy|U^tjl1HOfMAtqzSy6 z7o&!1bLXtGgSeXGL{t+@NNu;L5a-~EWK_>)IPpjj(G%@_Wf{$LK8)+;I}^*}iHkd8 zA7Qn744kyt&IoqDK=u3W@Vcro0}?bv>Mm?Rg!*kQ$c8OLr*zBM@THHWqN+J?T=>{k zDyt-h9!0^kY43@^`~_hr?*pe9TbK^72D0?hV_#+4Q?zlxaz^cS0vQ`jaQ2|t*vm1U zQQZzBY9~wLz`alN^L0%9ZG}aP8R>{yJwTp25hpACL5iLdS*9;$MITUo=pbZL&>wku z-C>eZ7c#?UsL)y%ib@|o!qS{Ww8yiR2)KWNtXxKzXIF_8_W<4&R>6v8?dWQnk$Ji= zFU)V?Iv-)TIxP5Bh$<8ZneB61b>3zZbi37)y_qdCEUZhG2e}QzOvG=L)&DoX`RyQE zAF9LZmL5oR=cKRbeFlgR_0tJBiy_Ngfw>$7;VK`Z9v4n&<=<#MDO!)^Ui(lmRMMJy zI(?=j5575#uhp+;9&thzdI`46zk<|Ly1?!J&vlJuyGZ@z>nrH<6KT(6EN**`iya>m z!Q~sM=Hn2!7V&OS?(h!x_xIjT_`?AbJyynlm{rP1<_(3?8>Jv<2*mzddMr-!o=<-( zQ6c_#Q>&@?jZ)OxXufa3+yXxsI#3yuGKEiqc#FqKu9bn*yd5;xOF{0EgLKPWWz|)l zSUzJK5^?S#D;4$NzaFQWy3vDQJ|5JYlBp-S9U6bQBE>u>ZHaYnEWLk+c*t&IenKOz z()Wk>C7Xe1F(77!jwHLdYP(N$Rhn`&5%m-mskf(l((gFt4=W%%_5o^% z9nHuh`#{DJf6CR@O@5j2UzpVR4l%B75N-P#iS!q+W5@<BqH)WL>!OUv37^9pgkjs#hW4I)c}3by9}vlj?a=GLzgEcx zPefKTJwR?rH4)h*{C==2n`h<)@>4U3Btid2zoR{)Gf8AS(!Tu6M)LnVPOfzOIhGe; zgV>gIFfw*f`ph2;r_REoZ^xr#+$^-FE`4tONgrIZ;DfF0b1!i7E7It zz}qogjj53z0f{EZX+2e^|ucP1FNy#Z7+A zq`<6|wfJ5a=w|+zys+*TXi^n{S=YW`HO>jrC4&$*GZeceEh7@gyJSaO<(uc+^Ac zrc=i;n0;^&yK~I2fV%^^n>q%zPx6LoKm4>OAN~wWZVb?B&VM7ZPd@6hEwuP?U^kJZ z&l!5JfyiQ0vsO^q6XdJEsw^hB>ps8j%+!_H4wGBFN3Ty^S{eJfZG_CMCm4F%gHkgk z5x=~Ge+ldSULJm+EuOdpNsBfSb>`p1v0)8}Z+FGfwjYtjk0w$yarfZVzBlL|C_voi zI3hE|E@`@Ui*UWZQ{U_~k^DG^a>tNBmWiUdAOcs(7;Myi zLA9slT2;^!a@;v2Cd+a>QSW#F1I==MJOb{~UJ@@$9^1Mb)T08yCm@Dl^v6IcGDX6ZJxI#%DBuNd9?2}Y zMWWwNAwO58EGpf%J1%J8Poj6>(^%M9k<8H&+a9jOK}C7Z*{)>h*41IWob$xnoJae+ z7m)gDZzL5*;nE`^AZoTkyi3oKW@dk)F+YS}S2&CoC@*27sGa7A$Dsb`f`yl?up8$G zDPCns#ER2c$`ZnD`%bl^!L)980P!zvY2OzI4klTNEZUyJ=rEOTc2PXbn%$!sa3NSK zwi4UyW+eUi3yNQL0-kpz^&SI}L~n_i$)Az(;_x8VhA+4}r$3Rq_DAZ}lVp1KedbRN zY7CA9!Ge)srCtQ0#vg>yAI27v2vWL-^1*-!WJlt0hW|Q)@FR~CR!fj5eIhB`8W@;- z@C=T2%Z9X8f3V`L!qU6n@S+QL%hLH`T4x=_me0*5N|V;(_C<4%rFtIW@~TmB{B5l1 z=uFtB&Ln!kKU(>bd#E@&9myhZqNp83L6+w3+HL*RtVnrbiO(2PhTr9 z;x-aAuR}63+6PuB1n#nS`pu0y*7rBygZ(txRF92>pWK02uc7E__>UkHeKzKGuq4^< z6RN5_3~?1JAmuKf{*MHtQx@QPsh37)O}RywGu_Ak`_3uGmHoi>*;vTfvW-Zxm-^8G zn~BWwHB^PT;n2A8tkaIGx=F9`h}!EL(UA3n#(Njdr#{SY+~_n+EL-#i%Mzxe!tjyN z-1~nKo^w9PlW6}!%S<%P)`^>le-BG;CLrgGP4*6)V>)i;PI`{T;Ary;4;!L$NqHNJ)eTM;aK^R!w-90U zYvj?e1Mc;!4Vu`G8!TJv1JZE@QZ1|i59R_%9(q{4e#n$DvZ6#3^D;oYWRGg8%d6>Z z*U)>q>b4$O%6)^R(=;^pM1ZW}G*T9H2Iln|=un+P(NW*9`KjIXJELh>+-y(I=FVBc z^X^3C0mF%$8;zBl3deNV+y#by&lEJinD&kvu~-pD{d^6{NGbzI<$5&Z)l8_pkln`+I=?JTQKI!VjHo$*IeROPW>6tW#rKOo94@|B+ALkyE^d; z`s?&KR`X;AsH0Tm(b6FkYfC?3Rb4FhDECMFkUEsVweGZj>yY^I-a$=7aJxOy&Py^7%J`!3qXCEZC~=xNa0lp}WR7OYHq zOP@Cn+dK2HX6iOz|L%oLQ!atcm=uv?;uZQ$(Jt(0?2b~s^RR_q6;>~;!>YJ`xT?IC z_7!v@(YHe|-)Mr|g@mr}K#!VfOG*8uCxoAvLi|fIMDetbC3{;4F3Gq%OuDKIVfXxp zo=eIWNfIltWl<0@anho}Gd8jIA3sp;vz9~@u8+;Tv!Ff@hg|>ZCp+EurOwUV3+c08E4^C;w62UmM*8i;sJ6+G zOeKT(y8}RNl+d2l?zE402C9p8B?i}@NT5oiI%g1>Kd@*CQ+9^>(u?qbta!0oLLFik za1polDU$d1hs%R6Xrn8lv1H>$V%3%acB!@~JFgk>=2v0E78lG7`9$Z}AL-v5Cq6N5 ztZeynQv52Le0qC_wR~=hYIr~d!~9{|I`O=cjB=`H`oYqHKNi-O8Zno52sarxxH@qn zydU5jJZkm?-O>Nfvo5W_qAiBkf=6XvMh$Ub(HsCJeg2}}wE;_uE1-Mp1ueh9n$G1g z{l;Q2d0YG-)Eo^VH18$z-Y7d2-)ES%v zc)~uhx5n-bvAh5t)Dhkd9cbE4*MTXO=fuAO&t zfH~);qL0s-g}kbH$f4>5k$szkxup}y&}$2s6ypgb`OyR>0TUr>)_mZ7{0kt^JW~JN z6?Z@V9#TsVtASAOGO^RX{_({9ELVa`v=5TXJN!L`QuKSE@ zy6pyo_5k?REe^K~oa(IZ=0O}pTTs169jM|{8F7^Zipz=xq4x@OYDaHv>Gu}&!DFcp z|C|%q#Y>IR#H|E{(@HE&wL{hK)@vI}nn9Vg4VA7{;+jyZU1zjH{eB}ZKXiY5ZDAs< z8%ofXoQXn(`3(9^)_$b%?2faqY{MG<6*N9(vkP5E$|(_IEyiHYMOtGzM??Ki78^5L zpeU{vQ!oC6xI7HEt_yYC;&!cd&k;m(-;aI+xC0$~e^8ef@Ri}sw@1?EAQWfiKTnF@ zP!8J-*R)ol=nXL>SxsZNl7qSkdfO_dUlJ)3H1K?ii*BW^U2D!Mo~-`xkx1pX#A-k@ zE^ZRiZ|o)!lY(qKLKwD?*R2k1x^ALvuUQ4cWsgA2nSri(P7TV+oK3X^Pg>JBvsedUL$c9VY8%7d!!eH8ObROIi6i5(r^-oV8X{_vwf^`8Thf^kU7#HrD{(Xp?`bSZoNeEj!D+RA2Z zMmhOeN{!P{x@VT*YFlT99qdO+pK*aX5C_6b0l<9P37&t4j{i2ZZtPzNo-LYiV!;Ha z-%qT`+YTln4E5@5kxAxEvacNoUffPbZB>%OYIO{6)45*DaH;Jx3hOe?j7_ zSVns3JlZ{Wy7M1TnP0vinymPkO`Zz;%=09y*L&^fhQX}ZWzj?jgGh z(SOZVI(^DYsADM~+SyKQujbNT$X0kiF-Lc3UnnD1?7`CJNg%!ILHY4t*zW91>hIK3 zKXDh9%L0)mScB4yv^ObfH41;fopEeg2XfaNBqii9D9zVk5rat9`OYZ&7YlWv+mK}^ zsu4KxktofZ3A!6f6i#E1==Kn3U*w6I{>?aT*KpLKqu*5t8$h~i0IrF4C-qM(Q2oc{ zbPc?)@_!|rcT`hZ*T#btdjg@@AXsRkCYV5is2~8z^Y7ew+9Ei?vt~Lhili?EUQL`5k3%yh3x?>uApkTv#`f zNXyNa%=-%++J6b z8nBBKwJ#$E`Kh?*@(FC&JPU+HWk{)O#jfll!X~lUC{l-1@waJ}ej~hZ3siJD5DQ91 zfp^N9WVAtENAD5Z_GKA(SNoyV`3G2;-85{)y3whACDNiH`$^=HR~EaAKdOL%;BsjF ze}0lAm_?bdqYiDmV3tyjrjCJ$i7zh zbE%LHEYD6*v@Jm#e;#B%o+6pBHuYbQZ}! zk0oV+^R%4q7A>zY>`_WN$;wkwNnU zG+t=FQf~MZay}JUYI=pfUANJv;rBS2VS0xu7Ue-E=NjkPmVpPIkBtzUfuG>@Kd5Wo zaVoO;8=i|iEEBFIBhOA8DJZE!Fvy|`mzR*)S&YSe10%p z%a{Wbvu%G7dHx*Kz`KlJWiMCtw%o2Y;N3y}9P2d(E=oq`-%4Kg$z!F8NFveSOWUW@) zwAYJG{)fmU`;j<*H_dig0zH?Is`<-kv6~GQl}9ZRt^G(8d!)!Xzk)KGB!(NuZbNcWHolvE&P%vD38!`KLFy;RXqV0h6~|me;?rwT$BFCcbhQ^dC-s(nSNScH zt)x(ITagpJm0D(q5Lv0-W5MR}RPo&iD-NhYGmNCEmG|iMdbg=Eguvq5jyHczWK|7Ot{^9^omSwyoC`nSVXGXWXt$ZuMHp zuY}oy4!n*^TP#a_PQ@$v)SxgNjql;H%;4HVT+*|XCO0tJj{XIdzpD%%o6Q?7*Zriz z-M8rC(JEGy1Wh= zH)|oTnRA3lHoAewwi*?$zk&_5_RQc$~r}nU=`#*JGKzdL`2etCg3hpSI7!nCA!28+;+6Th2nxYk+&T~ zYNf|mEUiq;z{1rP`0Bwf4S!(~T`=XEx2gQGk0N|Inm($(SHkO8 zP`_0W&$iX%2>!vOl!E7pOXeg=a8GH^`KPhx9s8{{m>_t?pV);%2SJ#glz;!}NVbPh|^ziavg>aPU{R?|q%`zrO zAy*0-qqD!3iyluZa~aHp{zlvGZS%bQwbGXvlY!68oz1d0fhn-ZiG1b_W|fUKoZ>(e zF{(d;PXrC{s?6<$8&nUd=k*JIl0QV;z2Gxyecrt3v0L6bg`2c^aifYb3z^%py@$A( zC@C<9GO2<4P$Def3mRS<+UBy!SB7dxw~oL#{-DV(bHjZOIwpMSV;ik=D?=ICM|~t; z?$SaJOtzfAW^pmz)Kj15Y8uiypEAh!z9=IbWq2byU&Mg%LpV{ zHk8y>9Y!`$e1Fx2w_fV=j#xJ495P`_z>j_h8K0a+D!rXJOYcVZ*}pYGicuygCm;uM z8fIw17u87CFp)faXs+t{LBXkWmJ(s<5SsVvyJpL#yINuRL&%xz!Jd^viTZa8krbPe zTvWyJH@`-XIu6I1S)ru4E9KrPTbBOym)E$=`k~0|lYU6pU*mj5a~es+nOAK4K~s%* z;XOO zL|#we=WoJ>ud}rsF!PstO~Rd@(m=~I0OrfzM16ZZmEIeNm_}Fh!zCe^`t>PE8rpGC2b>_U{8Rzsgp zJ|;FQ2e0&@4w7Esf*kWNL0+i^sjf$3i&6O;Ul&H0A!F$76G}Nh@@%&km6>96&}%7mz>%EExUSP;aT_Pclz-Njv$Jf74ovP;@!=*~Au%pSd6^fWH!pyND@&lW2uWcz>X4-9Mj|f&+c2{WKCj zucxA+D{Gpsxb~#zmOUNR_p7zHY+Lg<7dtz!U z^r>QND~+?9LiY~$7$q@#ha{y2xY6}rqHga(!N6CsDjo&itzSs(>O_+Byx6Tz)@U-X z*`b{;l^UZfi-1>QLhOd7IVPT|p2$RGQ}r3h0gC2OK~^n^fA=_Wf>V!?8A0opgl&4~ z$FG95CTSRE6e^lM@hLHCI)djlg|9KWy%5VlYwF?+M<;50yrt*~DSmvBc3vGrJaSSv z09ep2KOnMK3b`@~|bcqpeWiwjg%gIsE>RvzjqhAtu-!do*9tlzi?* zrE^Y#k6|-;`;YNR>5Spn%Dq1dXl8Rge!a)y`YLG61CJ{Ntm_0f&Uy11jDg}p$QJPXX^iBZFT6C$|( zm#^$3L#=ZE#Om5stkZ8oUBzS2ITP2w;*0vIrXP57^lt(q=OpOY?}^On2C{PTq?ImH zk}&m=12IOzA1@ba1(AHn_= zB)mE>q2R|h&O$E|xfJ&$Du-S~y>~cXQ6>Oxh&3r#a+Z6yxPz1EbaXS{Rjc~@9*%om zj-?%LRI$69G_GkSAB(cI@xk7hshvP`#{Sc-IpV6OWUNT}gBnX$gMZMU?aI1?M>{{z z$hyGCQSCzdox0EF6bBL`{Sa)BCZPQKbSnPUk9an1Ar8$JMAWDM@=AUPHCGprl*l)@ zaHP__Hf#i}dG44s*g$1@%SP+-Ub{MqYw1E$b~Lv~PGy42w6@?Q%5-VOvb9Q@(-}(I zmUOZ*{k5=u^uux4gV5Qd-^APJ9)juhYAn^W!mIe}wb|E-P?fa>sR49BSG^C>W%Z{f zm-en=0*}&}AD_m#=GLLfb^l2XZ^z=^ZSmIk?^;ZV@7fbsWMWTMAKuW8!bd9`i@{gb zF%;|EAdB+D2Q4-^yh3RD24@zJAtm26ko!GE>jWo|;<*mkDWKh-0}oQuIigxH5+6C) zhgGa;M*Lk?=#JG)E~BuMmbS92c-9J{OWp&zb`ll{Dv@H#BJ|mo$H}1HWBk);2s0e( z+;g$?kS$?~o#5WG!8wob5uPX-yl+3qwb)lH_yw1-Qd~#v+j67Y3j=~I_9qhiFMo3r z6y=(@jC%N;W~z2?C;bzB&Cf`3)T@3gg1FJK*rKcru44riiZ2tsgLr(+p4t#|7Xj5p zPQ#58b|69SI%*_VA@*A&ijUujOXeXet$z;n@H-1 z>1$uKY6O-hkE6YAyH8ecn+1Fkc%8y5Y~cI?smG+j|J?2xH?;-DJqXvhe@^l<2q+`+ z)L!5@IY8nX5|M>#5J{`BL_3eQYer?S3yYt%lM1?4!0#<^6YQ!d;=z-VZmTEOYYUw? z+u;*eW?9A=*}p@&)E*=*cr>cmJe2Ed>rE2LdA8)DJK>rRk^!^-2{oxN#{InPwdw+Y zsx04$t^@?LuJ0eQH5D+A-mxC$j8gDyJq2$>JNVWDPzrE+?)tXJ2%|yg)9*oAwKLdL z_Pw>n(qf!(--q<-J={w&*a7L9zM!s2hLoQa3f}uj#N^c=q3t)wkF23J0uK^rwi{W@ zxkF`ff8xel8qrV8ImJ!&!Rj!`t$EoZw`Mn%iM61SdJ`a@*MeE=Saie0)uQn7V;oX? zhPb#ri^*VoXvx+X%nv(+mORf6cHhjg%!hS!`OdT@4a-~T{FyPH<_kRGUhaow+dQ$I z(_W2C`5c>@9mUmpRaC6BrAzN$SI$eb;P}cLxcGZdwB`F$4Le)_jGYC9xi=BbKJ!!^ z=eL;lACjt-(m-OqWm>oH2!5llXvfGuq#3>JiTuK7{O7%X+H(ioxX_uUu@*K_^zP6q zRxj@qWgk66xAhu)*Cz9(Fn-U$f8vBB$CU|&xkQ^c_hax5ZXkF~Kr<t+9 z5yG8SP}znnc@D1t_l0I{BJqc|g|SZGUrwsi`++$5IV@;1Bhpn{ zko27ezP8zTlNnoF$pZ&Fud81lDz2Fh|!D1DvgNr{7kP(DHm0@ z(+fWPb{fyU^+~y3jmO)s^JaOsu%KxteIM=;<7!#XvTN#*iE1%Xx{Sq!Su?5PD)>=j zK2!aFPH=L!Ay`q#A;rx{^ol=;=zJT3)PX$6B;5xs_$7VkUF0unSwxNWTdBb()hfv! zC%o@>UhrY_JaAgsOHx+UQ^eoD5S2_mfvdU6lnJ(=OvevgnSv&;2UGCn+xtdY9Gebv z`9CaDwCA}G-u~mB-^^sCRu9q5x4j)5M30HY?;W-Ncb)3MA58B)xe@(e*0*@Ivk*8@F;p$|0)E|pD6w9naV-7{nfNtWn%@)g^*F-s zS_s*>#MDcldsYk2hKs{^2QCsCu6_i&H*qg89m zl>E&($Y|Y4B+e2OqeOEwQ)p`4$8E`|v@QW~i>_gVOJ(%^6C1~jH!mhx>V6{TV-L#2 zt%jN?iMkyg9#+YB#pBDbOSoZUsW|T|F;jd2%{hrSu9iU-=?La}j6%%6#fVGUhlHP^ zsH4j|$c)0wB$SWU=0QZU*b_09a4(Ddlf8N8oDLQl1!wH#0n;^;j`MfXsKZ#Q;9aK5 zQh1-yf76{ydTV>Mxq5P98}z4Q`O4&uD_VhmyLq?&xI4qFdi@4{(wnKRz7`keX)d=j zjwI-?F^~H-Qsf^ZpMe|_O^NmF$(kew3!C8Cmx%1)ZN&H&kUl&wj#qyYjU75uOPhOT9ik!>?!s|{&a7I1~i$0Mk-k;9XM5NX-~TABQqw5=W<)DgJ_D{l1#Uc*r& zHnSl%S9)qBun#x4r*jVVGa)1NvP%!T<2hM;htLWHi~$ zT%}&w8`iivRK8>*4YKZ1>hl&&7qpKY9rs#K+m$#D*MtE>XJIGW*^+=^#Z>>N%8V4WyPL#z2rw{!?LQd%d1+P0a?2F&T_UvpelTd=Yf~H7> zx(CQBHQt_c`9eKK)ih>BroF5Bv>g zW2=d<_$cP$HwUFAZ^NAjKA}wg1llQbK!!gy5ay!+KGjnj%P)ySU5Spk>qRV)Y?mU} z=PxCS6Q{_Zqn68Lq8#X%*PsPnDy12-mB=z0P|+rLJowmefAL{SWX%G9w{d z{ykd1Lo7Jpak|h? zH>XC0k7Jh~*M=JEYB2L_Bu=rrhZV}>DD&L|@aqIkS6?3(ZlH7mj(H9?ng0>JX*A+G zoNTbL6?lC|1|Y@R1;nH|j>yoQZqEiupK!rz;Qc6HVe1p3*OU{*D!9?8AMJ*=SAj^pH!vn7HtOW6lB}sYfa~{BS5MzxoG~#$KaRRTHojZjf_X zEt;MYr7H}@_po}wI3%!cq9rGc@qu}FSXSjr*ym+LwDTvhdyKJnnI|_y@@uIl&kNXh zz@J{~$O>0KqQlQ1UrDwYzxmgg^KAYBeQz^zZDVlC27BbCw?Cw&Y%A2;)+E1exP~eC z3_X26vj5H||3%d%4)UZ)$gpVuuHl?9)4`C0!Ahjs)01t?KQk)*;dk73nx9rZTb~L? zEhWr`gE+-Hg(O^Wp-kQj{LTR>Y=&hh6aiXVm46&n>IPuT_x_$CWx&5JoQZYb0mM`6 z20WXgWOd~RO^UT1R@g43U2S4owBsFQDnhAjwv6hDeW{}O7CL$95!>rO6(^lNj<}fm zq0Tk1f7rgnQph$}b2d0rM{(4(@j-m94$FrJV}rK6NZo9Jj`*(;%NKhi#-|6Br*`+o z8dPUffVuB=xYI?U&ItHLGgx3?gtn958PkX|=@6+2;}NrqV&r#t((>Rhouu00Io@VA z4}3J%G&Q0h@Do!Y=NXBDdVLQzE}eim(^ptl{EVpkv_QsTKV^$=AnwEu^eV|usR&(1 zbKW)K*r=Y6#YiRmsyh5;{0Ap#zfYuJkE7t}aGCyZr%RwDJ{Jj&<&pLNhCWPQFUU(o z0lV!N>iIm?ulU?WDp~fLt{QrXReWLy!vgz))B5_~-!x@Yx;pDbQK*ZzAK48eG*IS--3UCJYp&-zOvyitG>Gk@*Rc1nD3;ScHYq2FF~yK19$}d zK^yo<_`sPG2gH%=Dj)E`UBG7tm3eNubHi`wfH+y<`NiZ_qSHiiQ!vb`)5lbQ!Jr3p*u&L1~evGNkE4;47) z^Ft&_wgjC>6m;|Ve62&`R3zhnLXE?w;rFWmqxOLy)qy=)zPpl0rLg`S%|aK=Jguwv zo%FB2giZ|`&7dc-(%+@DDwq^+_G6xTEyg#nlxs-SgQ}|~@G}7(nEVmcs?$N-_vI#gb%X+aU;Y7D<1J{DH4V1dd50LJ+AW`wy?ZJ1 zdnT+U8{F8)e~HmBKgbjT8{TjSHBx~;!}KxDIN3;kUAY-F{jY*$vc0iT8@@&Yl@Sp) zxKPh>GwR4Ir0S4In0fMavG8^OX5s6o~S#GI*xISsH7BInW0H4G^}xCeK=y@8~8 z-;i-f6XZK$aaHjF$Ow88wa;W&Yu11#awu*(dvbElkUAub%YwSCglwv>)H0XSK>t6D zb-CSH(O8mR;*Vt#I2*y<8>J%1w!j$~>g1oaQMZYR>t9j*gX1G*ztd>(xNvf3ns7v? zcQsNcdy|I5SY(+z3T6Jt0tU*zgy~a^Ec2tm2e5>uL`?W!ZipW`3wI=^&@;^rTD7!0 zE7wey*bnxdw{BmQWbkj2);85!*3^rtex`4kCUtMe{(ac6O7(p0LxYzKA2Rb*QX>i|BnQTX#{wljd0FD6)~GSgD{uR zk=mU(NIIkd4>R9nQPngW_Oucl{Awx{Rd`@2H9@I~A92m8of^8n=gP)K)3Im3Kss(l ztX66_k;E-fBKgfd)UL8iQ+y(k_Ij;|JhVDhE1#Q(YHWN8J>daygOhY+mN1ei;Jgy%os3B3m&xI^MWbXTw}~-t8#-FI*T*z-!Nh|69pP*uZ~+9jNczJ! zFq7{`WjDqn)yDInA+?~|_F=>`D-V}UnobzrpSUQ*6<0^;k10+nw1NK zy^ClG2`R}WHTNQITMs$nNtlAxWWz7HPaq%3$2DoFaXOv(WJMaVZJyz+xE z<8C4BqZ6buz#U5~*U+m1J(ZeP5#tCE_zz^L`y2``X(3`p{EJ`DG5SGKVu6!$M}^3F(WgAA4o zmyCnYLJm2#!CNTcfT_V>Lp5DVp}=r~*{1F;Vb6X*Tjz%OnK*BO-U#%!iHo2g@&nI? zj;4+&N21`BHXpwEO6unPLygCbpidd#9)~Z*qkf4MQ(*V=X*ys$)7yB&NJMzD5z6G7e%malgP%dHRLjF-dkmnYvQ zcAxj549@jQ*OMaVOHa_J!Ar%Ti$3od&2F{d$H_K4Cg%BDvGJJkbTWI+8M1XaT`v|} z<}IfCqX)9FU#pj?8+{>*+?RIMZ%3XfS2P0A4C;3BDa$2hp^_S4+lrf!WAZdK#Acty z%KbC=%AO#urwTmj&*7YgbiANz4rB{bK&xHna`0M#U7^QhWGOC22M)N0g$!vT)p|cs z627hVw3b7D@E~m~`xUPa`$^mv`f=R)VTAD%W4_`e;rEs@(1{R*xj+?x!s%@k#Sl_0A;A?{lLoEqnS!|J31Sl!r)Qhi<` zgVRQ_F7BI=MSFj|ZQ%0IPL&~M4*kSZS3Tfz#4U^UD77dacO7_Lk(6P7z^t!Rs;vw5)!#c>P(r^B7@MT<+82 zo(m{l#3m_Bu&}Zfi?-&Qu`8bs~#Hp%$GiA3bklHf}JZ|QChYi$c= z2EL}T@)IjE0wz;O(J|7wcptDWIK)I9AvHc>5e~UiP|kUn5jLzL>V%b~Aj?r(l;c56 z^lgE))q%NiU>}T}iL856FICpF$YYATBF*MC^p_P#;qc6#sXb2?kpI}gi5n8qp6Me| zivvzMX+W9#TZv?F80|cM6ghS7^A$ybe%HH2X}z_dc8Pa|f5P9!Sfp2u>f&6eg`yS7 zSC^q{D-$_3bMfSk00n9|y&H!e4UU$9PoC*@39-?J_C+}-v6Y-5)h(|P!-+tPaHsQD zI4{Xa8%x#q{P3#juQ^tv!0z{VnW_6+fNN_EXFqi!Ug9m1;E+IB?O51HKe$Q*zO}b=iokR-wJfpID%tPgopXnt_40JZfHNo~PHfMEJX!I@Pt=m1N(Jl( zst){!I_5rvnZkaQ+V(kK4V=zO-WrmjJV=z0(S+lTK|M~+nIKDh3SQbjfKMy|uLaWR zVEz{Db(x5d2(f(0Dry#$Muo~hfH#zaI>OIj#q$ZY%hUp2c5z$5eH#0}TEcw5Md$&~ zA?b#TG{FJpCx5@fix|N)uEz@CZ4^_>$o+ItktViNlSpl|HDTG>A5-Tn95~{}*#?m@ zKO=;F@fF_~QKjjeY)*yK$D_WZZKKll7J--TCH@n6`tWUn$eF4y8upeN9gUbZdKJ<>Cs*5b_avu3-PeNVjnal*puJ=3{DL?4?XHu7xw#%mH?2a7(8svp zVGhhDSK-c!I(+;6mZ@xyb;!`JANo4%m1nl&Xly<;$1l!7joIXJM84%aNjw(y25geAit_y($bRY?n~) zu|h37_a*5n+c!b5*@ZH*55s=Dj#!otqW5py@~u@|qYiNH4D_4unMYHYiBYzC8D?f= zQOPf0KPyvEW&L)nW&^O#;A_Dp!wY~@r$$!1c=SH{i|Exsa}@x*18ccYm>U&{m`#ne zUqw>9+)@QkPslfKwvz*|gjDSxE3Zrhk79El z+ST(gov`bIRxS@FCsOulWjlM~V;f{6>TF_R_S6%(?tJUn(Z3f}9Jm19o9ie|?;J36 zv;B_t)Ta`gSz4>6tuRxqLq|>b;D*i-q86McY!jIZB)m$w}4CJZ8fZzD2dp#tUB0bE!o=+6h zw?Lgg1fH$I(^CT%A*=USSYgUiI-x2@F4sRo*wEpWYbyYsRu+~w`(uNayZ+MIP!pd& zPdZyWh|c;j{;aoDs}6XJhwyK*uQq;((c^uk>gz9&VOtW^QARYl&tA&STYwDt7NGOY z!b4}hkFZ@Auj#aR!Rg0dgU1cnSIH4*;=)92N?tiKQne#Rw2%mrPhnU0BE(Lv$M%0s z(bV4&O-VRyhNI@L1AU+k$34u~x}93i74$kLGkItl$b?vtO(nU`mY=tqNyMorbwnc- ziZ)^)e?HltpKl{ColO;=HNXIh06l6O6^N%JwKyFsR+kbcSqQb<4q~{MhuGE8WG#3% zjHW;7zK@Vg(;=c(q*L!-iEM4b-^hH07NtG=hU6+oY*d*?hFVTl#{b)hDvMWREAczZ zi5SqUEWvYOjnt(qtqynytmb2&QJNv<;WQf3aSms?_(RU(CTLsD#Bbw2QFkuXjub~8 zWQ|pWsFk=sU8P$dXVEf`2K3|wtIIyn>w9)+?MrM~(ayh-(bWF_0>Mr2F8{<;q6TEh zs;Id5E$~PZkb`O*F$f(`Cl(a06pI*SmijMlJReGP8sT|8F%s=J1NPoLA>5lkQCaRs zPF8paDS9NMUOa{q?1GvW&OYjRY)``$L``#d`K(pE1uhgno^*3|xarAQoP7~*_@_wY zdG~KFqdXNDmc5D5rzxZ=cO21sYpOL%DTQoS2evHzgiEf5VX4a;UzocR@%9*EAt^== z=AH-?mN$a8)DXB&uaR;|rVrmO#nWS~kvEgb;zggnEUVdR4xV;zEM5MZY`0tJsV;fe zJ&Sie@%-)b*N^%xQT2&K@>$dD)n6vz>64I1owo~53Xk)DJ0xKV2mUXae+PV!GZCS9_Y;s-Uvs%Le=d5g31h+0D z2{`f>@tH{vxJ=PkTI2bcz#s+{-RnSugyE}tbtF$<(k>wj$!K7fa@5ub{ERm3L>TFU zt4)=fj`|BEe(Jwupt*BU_9-hWi#XcNj3Zay%%76JAF?v>(fDvz@MQHFGm>H*fJ+Wu zLo%QBkQ>TDfg|qvRSOyk*Rz%C@?BBQVS6_Ih=zF0`ID_mh8kztVQOhTkGkd!$3{J# z;)W*-nr8El)3VYdY}|p1{*~gtfz1gl^p{1NYB;0I{Oh=wVcCzOI)=kMiRb28G%Xf$ z&-cPCVJI0~@|`u_Hk^jeS*qoWjDSVuNV9V;!oCh10Vgd!I%tuW5xB#33vdZ;vPDM< zYt)RM6S3s=MYUWjTI6#tp3S-i=fRbO&hCpl`6uzA7mnIjC)a5$t;c|meGzEg0_4J; zM+WQyDh<7g6<5EL#*2@!*z`Tj3f?(7pdjFQPgw81Wi#FQW61OvRPg7q4OQxf=w?V;!Chgri3DBJBZ%r%FjcgBObv1``IWvi^adk=cU za-cRfVm+RhdY5(cI49%h52s!FF(iF|8j{T)idBQb+qY#a)L4UYO$l&*T7IFrIU8Z$ z-HVp(?9dd)Y(<~q!hDKrUQzBX3v=;#M8O`0YY9A>D+n~2K4kKx+bilGW>cw7jJNGy zF+!jBpIhQQg%@N+kswb(g=fvWH43WeGjw%TmKLAY`2?1@VPX{R1i67~n9pR<;bubaN9}n05vF)$%{QU%1KeWX)1;E(rCBsF_D{!dOX{{{l6zsVzgnN9NgxGyV zYeka+yQd`$W}8{zBr)vtgokbNJc6K&$9x zQ`+FJbFS3F^fb5Ds8Lgy>xM$!I1=?Q*qckP!rc8o$eoIK_V$%gpFUxtLSnyZMHnJ5_K+{x8Y95Y|&xSAgUGJ&y5H z$(pXKqma+^kv4>Ln&!X@z9KhaNU|f&?dW?j?Faqjxkp9%t>aN2U7by zfiqzvh=cMOaY&klgy9wFWyS`bsi-FcCy;KHke;!*?vrif*9C=SPNQ*uIE{0OjDs2MT$nrL!Rthk zy%*+AQd11M4B~2%Sb^Vu{}NKN*cy2)&trAkBe>xo4|?R-Dp6e=^bfWl5f^$6-S4?T zYB|MTQ}C!P(9`Z0yKKo2E+uq2UTbB{eRen=l4SXilklX-Q+JQ<`Xg^;p3#t%m02y+ zI5(Dxm&xH7>4(pMjt`E=dSj#J=_6UcPuTGJJ&wJyp0N3|z#o4b&QW~=HTw_RYvGge z(+|{|bGQ284qZ6mT7MF~nv+_|MtdBr*NXmFzLk}J+&~OxK=x(H1E__TV)?nlz%&-o zZH712m=&Kw_l7?+tNPxg$tdnZDKAeULAf_&emDVh5zer9G?XfiTj09QdEmjfp`XX> z(#Rr|Slp&U{Y*b=GQ>s5Q(OzYX;Z2&P~&hEFRe<3`Db1q99Nrwg^R+7?2uVEQ^<{) znR<3^F(&fedf8=M!}T?6=@2CTuo!iG8S4xlBNVrw2kl?G zXnE)Ak2uv-h$WWJRKDmF&6I;qB+4NYIh;YNe;+yMoRG9dN?Ah{Wvq=++#kO+T{Qxd zZt)aL^5N{F#NM>-=H;j^UL|I)K1L?*^l;o@xaWt2A}Q|xt=b@yDQbYXTDhF;%9-qw zbZ=@{T0tbOG`&Ehw>Fyz6pKijs~#?-iwLWE0ng4HY_W47HP~B*Ui9`3HUv(FdC6X) zs+FRSbv`(?Vl1al-hqV2h1h}3p#n~XQml8;oQhDA8CZus?OthwXa52|X9^8`w{N6g z(oovDwKrCLGoWvJUm0PgG@*uhrnvZO1)A|{w^rU7fE}!tQtoU%l}DfKem!ED=S;ZD zVOY=l7V(^H#EP%3({_~G5|LgUZC#eZ%{N}@%deE+XW?_TW&Nf^+-AZwM#J7wS6dYU9ap?wBTt-2 zJIB4i4FQ9xRdFUc+SzR9pbMg(eh`g3DHXBn8pz%BIV#!j<(RA4LE9`00;|iw>m~jh zl{Z`$S*k3EAccfAA<^qvT3 zrcEHMG96XA+t8?cR+{tHb0ftDlQ_ljJS3hpi#s!4f8vW!@T6KFM#TqjfCe-WJGN~= z0yuxWdTlfDE?xy*wn((_$$e?gioR5F;vrR80v~a+f`~<(IIZLr>__@YB5orcZ_}_* zsWD-vpTk8bFHiFLDp6T)37lYg0?BTVCUT8F=(C%lXK=@Z)A?F)sXe+ra-t@)5SZ^h*P@z&$M{&# zf0~mM_AV71iy*x<-kM;~ZNM(xP1E(FsUc&BRxB&hs$-XSPy~JLSQVD6>&b*ax<5tr#m^=3o=e%@ykw zmIn1~V7UfiDH7#wurqP40sp8EwmzdQBTC|RiC^6hYCzK$v`xT66# z;-+n18_t%DUWpPuw38^`bAJ7|@*S%>)&{3^1d+C19wGclOVa4PisU$%6XPFoh?l$x zw~RU_lkGo=;jD7HzW*O)?i*Uoi(hW^)Fl?7=`mxpLi-=clD`8NPIL!v+%aHWzelQ3 zPD)9n5KG~FceQ>B?WwMxtnl6lIlddTh(^%z{K0I^&R4hqd1{Mj5vuMQPvwSxqgI2$ zH3JKCluQM1C|f~q&5c8qNi&ga(s|f(S55EsM=tnqn=>-_fH^H8j7J)H(#0e-SArVx zKJ?9Qi>j-+hMFySPYiqlQB~!CE*VA*-SbGp zm}<?==fShkf-^_lBAvIgfh#UT#=a%qBjQqHEase`&H+Ucop3&??&41(D2@U) z#&7&gZx9z>dx)gwTT`RtACO`B4jOh2FrFS0SMV@))c^x+M>w zWx~DKM5^1JX}tald%Ah7_kbzq60kZCmL7e{KHXS4&iuDDMq9Rq0rUpo0Cb{=qcsA@vx6v$M zd5Q`etXO`E&qh%|QNWHJ6*Q<%zw7r$*4(uhOeQmT&N+LZeK;JBO!#py+HYt?KgKBA zfP*+3&Sd%tz1ok%(BsnIYYs<9F&m26P|Rkj0bT$4`(FAs`&F!Z?e_nOBGoy_A! zP%MI>$ECm5>FKGH)6-W|Y&AoVOMkC9oFaO@eH7bA|92n#-+lCa)%1KOj&ZHnEd*zHIoy5?}&DfWS4A1L-Al1tb0eof_YxZzj(aW(W9 zC8TS5|0pJ=n3!VXC^x$1aNH>7LopwU`9!7CHNDjoOQKj3#gd{p21yi4rC2t_vMH7w z#p#tzu_B69Qmm30h(JH@_I>?_5-Msc`bDaM(`;aEgm z?PsB-$7LL$MFhP*ipeP^rQYc2R5>#fm6)j$-Guy*cM7c8+4z6l)Y@#7pqlScz>_!*j&^}D%Ner5;Ooyw*H6Pp7;T;(~__bxu{lmg-AOrv5dO_ z%K{asfwO^`uB`XF^S*_ZR;LrWvkmIDeT=*Fml2t89_UvQEHoYqb1&{@Lt6|PBaWBb zp(AhECNV>}!mG$YXbchuTPW?-fd$HR(%mr<^TKYE9+5v%m3_pj^dle@C4%&88;GtS zk?uE4Csj#TP(xZXR=M#=lcO!NId+x&71OS<@%Bb~oer5i+=hZD2_bp^Gq9LZ?4QTC z!{*O#rgSYjfK&lWP*f*^EKU!j(P*Xt>3rPWreIZw8<9*az$RW>@MMlrf~@2OGU8;p z8F4(_3v63ax91jAXQ86+YzI^&%_P!Sv1nJg!IbV15oFN3vrMVs0TQuwmp^ac231J^ zKDe}3IvFR=Vg#qXNssAstb5u4TZ&r4vrOGswZU1U>Ya}_FTE{!*YAghS6o2MWzWft z|Mj-4x!T6QAA5uqI+lRo_@D6myvgqyu!(*ZWNixxve@nw*7&L_biA3(*2fv{u6_e8#S zx2?o|6_UMC!b-meCS}EYWR|@Ux#})M;efDESN(9rGcv*U?Vpfzx)m0ENx=IJU!mOS zQ^e-LOGs%v53-I8Sl8l7iaXA^I#)DL^cI~W2`@0}3EhoEqE!5AV4oy`#TqPqRRNLd zmP}z626Ik1QP(+;-{;b26_B7Ya$wJFMuJZbcxJ2(BQ!s$ z6%KKOEdEB2X74B22PVLow+B>BHmit9z89(bzL(V25>z$j97$;GPh{FLD6eQc?wK=& zWT*pKHewsHF{ps7T`N%i<^b0Gha+aR=dtMLEKs|75OvfM^!QyrmNB|c?ArXJ-MkKw z;P>CL`^G~dlHNMZe(r+$u!TgOe--4-eIO+E1o)oYJyx1>12KVbfZ>)9>C)#|I8@9+I|Nhh~c-h`#6&k_6r+>aKQD*U^vE8~g)S z*6;nzvK@&_%u;`qTQNyE;zW+l8@ptyMPoq1#^ahj&t@;Fzkid6xqERv? zYkt=rtBSj@gz1l@<T~MB!16hbe>SKJcdaMU-tjdGN z`vZu4!+0#%@P;VLUy;VDY%I+yMy{zx@WBlcOk>&=Vk%lga;|$Iac)0sI?@zORcBF= zbpc}&lZi}KYq3lDBf_z5WDJd>*;2#x*of1|gYjBUY6Df+=6(*khELnblKutJmjOu4 zrE%?zGZGhAq6*igST|=Gj4>5xb7mXI=;xJ%iO;WvKO>*A{BUzHyE_W2=6a(qZz7ld z8C}BuTG(T2=QbMgk26SB^ccxId$4(p70C)+3;TPeV|RyZAiwmGupND2ns+aWFeVLU z^8Wzu(3PaXHIB%>FNa)C4iPN<7E+sW83kltu-)IwnBc_z64U)E=gO5OsI*r!QRV)L zB-}>aGpwF8F1(GTMVZ7-b`ZKvTZqZrm&pD}W$^CX*ArZ1o3Uy986?#O(U=~M?3grM zt}bJIyCSrqyn-mf!7#AO2nD`=5ApfhrL{B)3;2&Lm{2QR2jZwrNVn<@wl+JA1y52? zUg%8BHh;sC=xQPhT!Cayvr*%#f3YO&3X;m4(AAIclvzbNIAq*@Y*rnLgU1QchQ%6d z8#WsP_J0a7+5Uz-Sb4)+=H*r9BVj9@j9t5?n6GT@Z;ik0z;W_(9Ym4m|t>E>2!rhhyJ)EaV zsviKgWj$zrFC(N?kuX(J709w~l5n{xoBKA53|e?lBhKFhSDKQnCdcfSn#KE&guYi` z%KPy_xv5*ld2?SNezg*-lvA;KlOcGgDSblX+fiNmOjLDZ9jP6d6>0syP{K{WK~#@F zVP0A?$a?k0l^>R%hM*^m?4Tu1?3tyJD?G8C$P;9Hhes)MT}hTcPb(W5fO%OB%&S*o zmdDd!;gEBrr@V!j8Q&YNE?q!+HR0jX)c&wP?&j2LUx7kWVL*8DrHDT|ALVY+qsnRa z^nEG=)-4WnpE1s^+k)iGD^R)p&h^G5X~j_&w~l7u7AK*Sl${!-iw%Ce>xfv^@f|YD z%1Gm^B&731z%e=og4ccVst3O8aowI3qAgCK7wNJ5%rgkDTR2s%xIh|@-2x*{m#5k5 z?ZJ;Vw%YDqe8PlhA-O^gqSOtz!Fx3%?2jj`=6_hy?PDziCK-kO8?3Q=>VeML87tDMzLm_KtCs;*T zViVbMqz>MMq<14pqkA+6#TT%R!8o$|NS!rXZwcnHf)fOi7KOom`0munqHM4S^ZTojlY1hk4+(x)#mtCd7QQ;NvM^@N*BNtr{00ewI4Dl3IR5{1aXhOM4}hrM9`NX$Grnrl} zIhVmt@3*Ww?f_xqT5(qMbnK?c1MAY$NKxbqBlil}CvS;ufnR+9Bg)5JEm0t-H-zr| zg~<3q(}Jo22g&j{*#tXL3C=UB1V#RG$l;yFuAz1C+2&tuj=@DFu`I;q<;RF1qlQEo z6lvr|aY(gwFILSogQ{T(SUs==9a|r%F;EPJugQBBG{n3iYR4zUpnWk(F8BolHn^~Y z+0IDRdY$go8zAqvfnC}ekQ_dbZ?=h8Gp|2LTl1;mU0E?m#r=VD9cppg+M&oMK8+P~ z>}lckX^nHS!Kf^)XqekGN_pB?==g|=Z+%kybzT9Vl1*+ z;|S~|6{+)zLRRg?{#~6Fq=2&*yt)4ao0vV=!+Lu7-w6l23kLo`A~zwhrhZth*bIXH zlOX4!6_js@W@xR9Iho*9ss#;Fkwa{qxscarZKBx$e;!r?a-s^hf_@e*u`cLJHVEy zEWC>3lcvx(+E!7!vPUhPEy~>cRGm`}S zSEFu=Pl#7sipAAmNJH^s`dgz(Rf{WjuA4ZJ~7B5CJCrZ5%d$reF!qY&8_ z$>`qof^1s5i}rtoQMZpr{h6<3O5Vq5rJIDbrac8+J}JmPeHfMtKVoUe8Pw~})nuQa zZH(226(ABxkzUpht1@N*KO+d~7CoHYuzdxpTz3Nr`irp4_9;kZuYjrl2d4?g2dMYX zA*v37Lvq`Y+D$_1&=O?Q%*W!;iCFihgGh=!NBl7`BzWbq7utrfOz28y7Sx)yCj zX|=C|b1D~j^P=OR)oPhz(~xo4oPV6iX8(;t6f2RLs4twC&6uKayG}ml^69>DfIL|) zRE;sh(KGkQ<@6+A_O*)ivij3uz@|R39>o_>v-9x7Dc!=4y3-8z%MRAH+#MRy86Od& zBS(oHYqY0(bnI*;+kMCeLSCkl-0}+`C`p7{)8?~Fo*<{p?T^`~?t(yRB#*Yo|PbTMbPk*^SkRiFG2mMek8#+Cjv|4ae&B@z{oa z0bI9<$aI%A7DY|PO&{a1D0DN`E`o5#NKb#7pKvIDWk|@K7Ft(rLBZGEknq3`sx3&M zLHrTr_2z*>=uMiu;|O0d5WT4#nY72CAfoVs3VDmyX=Prn*e<$)a)R}E+~!9qO&1aL zRC`daMm$)Dn>aT<1WS1rNnP_{tR7r~n}#eSsxBXFEU~d2IF=i%Tv1E%cEusxn|>(k ztQ(1lxHx*mYiV3UGTo1p>Tp)PHONaIN1IlJ;GXZhk-P3PsXSGJaxHJb*Tg9+*Q!%k zweA-&cl$(gc)bzx?J^#h*ySrVpNw+nW+HD+AbDA5@3gY$>omzGz>+&Bk?GtbsJFR` z-l>NBb8FY)gJ1u3Wy_b~tctOy&F~K*wcbt4n_u9{!XoS%xCguBf5ANY7H|#p$82;i z7QDU+U2%8uahsFT@;rm2dc|GFc!Yw<`It)l#Us3@O)YQ<(a!TV;>>hAKBFH~+_*7$ z!=V!~D>psxb8l`YiNzJHxOolENi(8+<_)ONzCdoxkLZ2QfyRq#NEY9iR8C982HYz| zlDZYEj0Yo`g)^AU*gTrU-8i~(nvPT+JRB}vmXCrr?}nb#yC}Qv1y(Dyc-tSl8Mb~J z>a*dV*8FBV5!CuZ;?y!mv?2|=wr2n@K4rXh%y2aCk+C*+*IDxGgCy3pb_9K1-#q0$ z>Daqw1kM^+3Oz%R-<4Xi`0kF(fh@^)D2kR~~9`xhe_ zoQbnSU*qH>hS2&=Pnb(q@MA*#*x_j13h_z0|CkaqaB01~;PyB_rP*Ae<7a0xX8$>Xz#>$M!X+slcI>=RKsX@RG(MQ-$ldjocp)SJ!C!7tU^*}VK zEt-XLjegC&XokodkhOTojj+g7cR za2XtL!7@B%3e=6i7k$l7G5OzHgQgZ@Ddy@7iF~v>)Vn()$w-WsjS{F1{S06xz1$fQ zR{WojJZ>0BlvZFiy?_Xq`8a#~Ta@c{6mi;z;=y;%CEhgbJHGNL7KrU+VsPS`L_m+$Bm*|b~LK9?8kOL@kQJO zL`n@~5YPP&tW3U71X|}Xe#Zq;b@?2Tj06zpNHVbk-&cUixM=jNNEJ3b4N=%=9MomL*Vd<$na-(2?#?HtWmNXPhRrVc3 z%D37D*C~hL=%19|ww^$qn)4c!lM~{}02QokBdV>VP*31ukkx)8roOq1$*%8UmoXn2 zwr>FWo_R$2*kX*Fc}&cf-oeWA?~shf_wJVOfbV!h{}Lck^1G<_>^Yd-|4 z>i0;tV-#Y!DNwO>G(vM9PBX7viOi#mDL0pcI)U|(zn%p=-5_YJipO$|J?Ux)CT=1F z%#88C%me``@sIIFoEAxz#FDsm7GjY;oZg#PsH-CdOZ(Ns(FLBYym>B8u-ieNKiDZ| z!^e=iazm`x!Z%J|XFU$iS}7B*fm8ED3+gO>5<&PWQgXV2HM5+DzTFHM-!^nC z;_r9{>X)O4p!x}f45+5H_$nM5`(rZS>lpf&cvjQcGlaN`Y_U!oOPZ?EAk(2oWAJ6U ztIXpf-P4zdONK8>{L!g(&p!idRU4S4A0!Pun~3O(4XU4&NoJ09iODfo00#zUu(iz> zsLs0Av(&H#3Z7m=R=NQoT)zejYP}J+>R+VDOI5sd{A1Z_n>uFOcYlp?P#hFKv%u2p z?@pM8n5c|Re{a0EbpTF$TN#u}k$>e4H!128d7*%c;6b_q@CQF7*RsLLnq9!h38tcvg zJG2aC-lUvq_8*X?*a2@753;r8-=T2)u@GmgQ*pFs=BXZk0P!D$q6?#4C6AX}9$wlj z223PvM9rU!1G-H@On32s>Q!X#(E%%d@AH}eR83o2Zp|=HN{H;W19^aI{pAXG+)eui zH*aTYC4Y^=1-c7Z74VI?x;-IXpFUxI^C_r$+JSO8eX+iy6V$<`RR40s@&|38Hopp1 z`@e(v!%^gRy<&+SV*)no55s8r(-c>o5vsSb2A7()DnY|%lIo61487VcOw_ZtW} z7WmRA4<^fhlZ&0@p5WSXC$xnX@8Dx_i6$ZKJ?$5lqWS}ISa4hb-G}^fQ`kMy9SGQ3 zqz$)W?Q!8C%A1?_lP1c`ROu!3^8s?!cY(Pm40xO=jO;`wggC6mPcPPL0 z>fFJzzS-B$Ayudw@M@Mr(3G<&y~W}M3b$uO*8c->DLRg99-Yzf(*tpncRob?cU&V* zJ%!8VgDv&#=c%5Ui|UQ5(Y94dVaJ2cGnvyd)NI-k)-aPRv{^VBe{8v>m8KuZlA03y zfp5sDKAga^^gCE3+)L#Bw^IHv0D5ywv~KUwaCOiTl=J$6P+GMZx>lW@WM!F!6MQyl z`PE0z_0<^xO^;XOTxC3D@9wuu+WdrSmvcN;UwN)kZ;D4*cgHQ^v7d+vyi0y7iM%KPz9_cx(Ng=T^Kt;@`Kj+xb^HLwY|A9jWFM zjcOMAjESfPrnk{u4PW#c&9oe>jkyr4p?x3x>|!UQJH&-$`U4Bx-PVArCJ)O!dSivf zP*TVFf>~Q{5vhZ8qDiH#DmXsruS?H5OomwvS0 z4BW?Rkhh${r8tVIJeq=db>pFzXPVaD$N;1t>e2UH+ay!Bv$$Y?5z(KhMW+0|*3wMA>l{EjV5>yhaR)A=J=cFuD8jwO&}%HMx$H+q?tNb?qA zRbDjJ_-IdRnuPH6p&$_v%3UX6GyYB@B|NIt4Zzk5uaS?=O+r<`ZNe@}?LDAOi*W<8@NOtX|T75j>6IG%CeAHOgUEKraPRE;*uusn5`6ps;ca)MeB(c?oKZ+x(`pfuX^v!N(`Zc@ zgxO1rh*eQL;pVR<@?L)+^~i&ewE%!0mln(Wm<|m=F9M>E{-b>r$Y|v|VnM7N z8jR0l*`lq8`F@Rr3D3vNgttho=o9jGn1GnhOQ_<*7^IKh3$9+zsU~&=Gn}2IoWFvV z$d-{CDPr$Z!&k)WzsgwV%qJo|HW$^oy&x{l$D#l20;X!?bdWP^NYmph#I0EjIkTEc zg3}oi+%<@B+uxzgGTO76)Pv2+4|2VL3(;APy^x;CFDnLi3Jx9$p3 zDZZknW4p0>-BbK$`(0mw$s^PzDk6+%DA}*LG+8w_2I~!<5lP2QpnL(W(+7~qb>CTg zdfoa6E!u#WEZbvN7{a}D&R-mAg1S~^kb<$H;Kn$iic>B~eYhBBhWg`7i4s&?F5wkv zpvTh=)cPBwAU%j=Up$Q@%2-stZ6(wNno@4)g^X$s#*gW%V?0g|@?j?ZK(+M2&R>_5YBGh6WU zE4rI4aBV$04$OMb0jEg=Y5hp!EO#Lo$n5F9tRWF=N5{&-4M5OdM&C6vEdPF#G<`e; zqJnrLQP94V?I2{OClGw3PNx6-1Lkh^N}jXCNmFMOiV~7FApd?Cy{o*(R;|(_$y*@e z^G89l`Z=zveS#A*3LIp$u0&)p8=0Oxh$@?Qq0xfflYetd0n?0J%GVK9$U^csutod( z9g!~mh8W>CTyaN$yLACHpDlIgw$r_5y&cyN`m(^hLy0nl2Ds16j3}p#$F!;;AtWb8 zP1>&qX}P*=%ENTfz;h+4=IumuB*gf<%pN5LVA=H7&D ziNoh>KX^A?mx1h30%DE4uwAL89#&EC@N z8t0WL5e-J&v9m4h^G{)p`=up<H8=j&9ny|1C_`#n&tTtpI$_Gt^Rf2F@83G+Ta zz~Zb0tXj5$h=T7Ulf4fR*V_dz+;hN&dR|Dc%pg|M6^@e&mVq+rBq+BuQ7uR78Af!B z_}eH2?K#k1)FdMCGRM2$1_YRJViHH!mXf#iMcT^EnKcSxw9|lbyHJ ze9{VvT8Vl^I-a1&Vw++{A$i7q)Ua(AJ@){&Ecb4v<1e(l=$SfR?yMu6qV15CpT=gb zvuCYOQ;pK-1k{^MU>E;6bGfMT7gF_0z)GXn%uvI(6F7lZL|~DQB2kJp-CG5`C5OTnc-q-7p#|Kd4e}zfk_Qj|V{J?_QP3V(*lGB7kmE$B!mw=m6 zM%=zQha{U>{=b)~r(q8ooUw6C(|sGFS0+Mc`$&-V-io>9b0}X3!us<4s4Mz!st;X< zx<{-0VlK5LW^Tf`BE%H3Mtzzrop}pKo+{9q^=^bD-d0V3t2UzI!vB!`@&VHD@fGYp z_KB6$9tQd7xyZczJhZKUiQKBKi6FfY#1_Y~(VndinVpNVw?2&M(?e0GrLi#EW-oDm z<~1QD*bwoQ-w@A6Pj%*Yu-+1i_OqWE)1b>>=2k?w&A*6PaTSl+lC#Xbb_RA&?Smqg zS<2b^URbJgh5E-uSQUMesBSYTYoG$DZihhjF*UlsrEvjUmXFnKeaNBtIc zr1nb<~ttYzEPJYrJp+XQlN?2lVx1$%66Do5u4G-oge8cd#n> z=QlqmRdGk4@l`x>E&Br!%zSb8qzaVDrMbCyAl3McV8-Hpj3j;)k*;$gGZUVNs}DX7 z&gJ*U2GPYJ5)Oi~kIyre7bIxZxJi?3#D@vvrA42wh!kqeU8Kt*2P@LIAZFD(bl%z_ zwAak*@#<^Gsiu>Ub&F?%!e}okw6Fzk%{|<BK&n4K-Z#v_sd2JO^a$1Glgj2xvegcx}zKEArLj7M~DQ61Ag$I9;kcsQ@ z5w8nY!YVn{;Cdg~ z=MN%vo&O^|BR?eN{tfjPr+}M`4@o2VTDH@N){05E>v#<+o1MXWA7T}3;9`=(5ytR} zXy3AbKJ=8$My&7-DXE&580w&A1@*hI)sHN2w`fIKWu8PF6^R>TX#Ht(OIzjXgFEZ4 z#ij(?B2y*;jnbX5&W#>|`?So9G~+(R{Gv}pwRA2Bo;V^lBZf5H*J8t$tk(0;l>l}z zP#@$lg#@R62&dUOC_$@Z z+yb{?!Raof3hcsZ1}{Ty2RUn%`zH}Q;RIr{a5)yGdJ^yQIbc1#8s)HR%U8|y9uC6B{sYU)?^9nE^?~&CCN_;X7qpGeLrJe1{p5;b+-5#l z-92C>%9g*SIU$BjSM=88?z)I%14>}`4ZebF5Co=3MiLcoMn5;+>7d}OMNC;OT-&qW zM?LodP6)U5GX<8dzqf%28QYF>%R52oQifG43-KDKCr&2JAtLvvM#*-8$okWK5TtIV zz41vTe$O`c&9kFS`H3GI<^Hq8=lUPof}>`M_B-eMOI^2-7mu}UL&_`dxxrf&h#bz7 zI-@=i9(0A3SB;GueEt9{u6c@;Q7Z|**DCb6Uo?|@x0Ynj+KO}Yr+}3F0ojkU$Y1x{ z7i5+f0nfn*_p>~#5h-Li;mZxeb~<4=eGYoRSIe5%zls_3r+A4x{SL5CrhtUG zLUQgCh#prsMbfzsHd?o6x|J=2>rFKx)ncY?T?3@#ek79S;h?_R3twK_6K`@g(Yh+> zGmX)0keObHRhBMTV8S9vybaCwdd$t&5modSl0D=b$chFcL*HxO;*LP{&pL5pu+vYZ zT0IQ6>4S(Q`v8(yETTQ$3CQ%y8LSAj$JQHs(eq*_rl8Lc#P65}(m1}q&~Xx38B!Ol zuD(F@h6Y47<{}6j8mUG$i~L$X+pj=vh#m&jtJS*GU{KQ^_|1l3Vrc>e%r7DzFbBCd zXF);0I_eX81G#UV$Tpu=w&|5Qt__vK(N+6@_XTj*p$JlXZCAYD;6TE6TaKDk&zz6> z{>fT}rV{VYIn;A87UpqFQ|v6dY26j-E)*XDn}s1D;org1j9b5R9g&ENf$cqDxVX#= zg-_6GGk;hSk&_fNUSi_ZTH>EneU6czS%SnrSL4>k3T&`1)L%F0FgbYWq~);u{9rq` zLZ}Rgg6D#)X@9*nh~^LNqQB7?^;m4D``|whljfPoSgj72pR`OfbJVfrdeIRi_x*yT z)m=z&`K&|N-_E4=KgWq7`+DPJ;KrGc+Qrry#j0qQ0tqm?s+!tFPMxm-f4;wa-t& z1LOBO*p*L5aUV{x)+g5^7vXSR(e1H3t34ZTjeEDmB;Js$d%Ri`oOKUR&Z&|LhfE+% zX{+FQRFul4JrDJi^Y9LiW5{uvnQVB&Ka7ks4y4x0NTq!s=DP33^30JSy-xX2$y{{# z(=*?uDq1tV^acKg5bC4K^>qzxCSPAn3>TCqLRQTx(w%xAar48lbnG<5m2ZY}j*V9S zU?IrEqi{paBapk5qC77%m^pknW5#8mn|fKzdkgUYj_=gw6%k@zzKX`f{oriY?rW88 z=c2IiMm*gLsB^mj6G}Z;E{h>gv7GwU`(js%4DecW$b# z>Jye=@d;nHYgjnSbE_om;sID4Vh=gjYrsHwjAXx`8fu>}Br3%ry!=;9gmhLlI<$Wm zBOB39dl>Y&4oQW`yS;sX34=uy6L=H!C!E1F!y1VPdBXRfPp2hT&z{J3oQ`%a))R027*3+0FqMws_Ax>sJU*ya+*gF zGdhL-trs>K@>7|&RXw~cq@Mc4uA^K%oiT`AuIU;r1_OuPR2TBbb-%AUoN{={C=lHf zYeb=UsYcn3%+tStlv@aybKR+TGM;qyc*Tf3oHe4gdq@HG8b@j5 zpMK}c7*sjFj^@Bw_@6pM*7|unmVH8~y9l8T2Jr#zfyS^D5603V8RQpIlT@$zA7uAoW z)PX%z16YG9R{G(tgHvhTmSXRX_kCOysnp-M3a)CBSozL0%Bh`+gu59f+|I(vlOquS zk`7B34##Gja**bK7OryNJn9?pC0U$GBHn)p>AeJ~r!$4*toH@=Qa{MNB1Xc;Z_zvE zWkBKfZ^*!ikLnltAXC~~p!Fl{$+#5D%^w8vEP?X*)58H>rmwJi?+JW)sg;#_;U&yt z-hfCaKw_#%G>DVXp_xV#*mQ)fk2#}%4|e$vOzL4|^|82Hdydq#%W?hs$;is(DSh^@ zpkc@%#MUqPe?3d;a1rMfy}+XL@370CD5=#a6lWdg;RcCH%hVuZFUuyZTQky4+#8}i zKL%9%FktHu^|I%Yq{8bO^^b)h4Hy9~N>d^p6{V@)G?-DPcf&fru5pFxb6{%ZNF?41 zajt9@bPkMW?K&5OjY}viJ#0pJ>2t{+Lmo^{TB=j?HGhyEUo{dh$<^+=QKV@QKf)4s zQzH2G6ovfV5TZKzkt|!+J{y7*`T$@V$T)w2EpOZ-1?;)+t=b&ZJBrLaj55ki_ z#9kgg(w~1Ri{>#8PyL?hOV)GTC7GNg!n4tm2Cs$M&tno5t&Rb#*h}Y3ZlG(Ni<&jI&B^9n4?z(91nR4% zA!+khl3is?Hhh)()fwN>6sm7w;j4O*xwjgJj6Vt89s{x1=qrl0T<1gMFX0v+L#msB zNb!2)GV2?0SUv3xVk1`Kp3rgdab^b-lhTjXmmk72vXn9WST{0Ireh`c3(#x)A*3#& z01vS8)TpVCi`%>vjBl@I8q!R_W7e+a)`b&+t#(GqHPe>mhlB32X`*ACAscpo)%KnZ__7_9_fv>+kYX4v3RW-cCtx^fdSEbNd zm0Z-*ZjJ@d(k$7(b7@~ToNB2DfLG*%QW~8}R`_SFBrl5cLh2Dr8$qOLGjZjGjle9j z235b&q^_)-Tr51OIf9p3Oa4kl@8>^exM4=rJ9&`gOuT4Szp#+%G&1(l3Sgd$z=`?B zAt_N=h^x#(yo@ZgV9~UR>Mt`;PLB_A&;Jj`q-8MW#X_b|cM`Te3(yuG(c#s7x3H!~ zn?Ub%2Sih6fZWy(l!IKcJZlBkm-Pj8aX6@~9uvvK5p*WSh33T!$Xb1asHx8@Q)l)& zS76h8TDvMLLfZQB4z3ms$VzDUdG9sWSC553Kqz2uW(! zV0$kGQV+gPX9=kHpf(HrTD;AhAO4)Y+&xW``+RM1!+HbC#edJ3Ed;S$3NrZ+rrBvy zoVa=S*+_1FE>aH~O;isT;|;#ylWp!ZxXP|%gc5$xeO-k8hb>JUHTcPRg~Mf%+4(22 zudPQipDud+)W=A#CA0M$%MKe%XX2(}S)ws+9~S8&sTLqk=w?*g`3y|>fgri~9W$Z5 z=yUag*1UI6D4a)qPT#24;uy)RDI>Y6dL&Ue(|2eK(XCpC|1;>Z7QKkYrAzyUl~O<2 z^+~O)>WSylM>(`rdJ3b<565ff?K_a1>n4VzEhVhFT~240+K|XA9v#@ddByJ@j$|hF z?r6^tv!c~VlChN}ti6ThhF|CmSRL)XUk1BWb2?vf3tV)1BJBhsyx57lH%$AT%aHs* z6uH8m>es+Sh&SM@uwu%_i7vI~NO1Zt`g>?8BlC!aUa)!W*vdeEX4oWRniI^9esf7J zpSXc|pI*rH_bz4GBvYtbwTRp@8n5XwS~%r*u2g4;kj(8WzH;s?tL9!OUHbdL8}g3c z7f1SR8__?jF0)DDJH_!XHHqU~wy@)TAl&(HBj%`OZ_WM@eKhJKjJfOoMf)7BoeS&# zvQ^*7BchXHY|`}>NiD*0$~9SpeD*M4d=G=q8C#~{N)+L8dSS_xopcVVkf_q@;mT!S z)?N1>s;zyE8`9dbJa8Ui=xpOV+PV^S9wzQ(!O(VN265?FMLNrlXv~y+q&M13%x^|u zzR(J>Ufx9Du$a6LJI0zH4n$l>S`Umpg5zhmsMYa8+N-S~b&+Q^>HixT;w?K*?`Ih* zoO}@P~c`*9JTc)W$whS|iE&w-U5UMiN(|!%rZd+_YRis7grIjc|F~>@s ze*m)HoY($mIy9kTS|Z}=BSG-V4C01*#3s%eKgK5G1H*n_forSnvAZG1QgE)qVcp{~ zjKsJ%QeS+8gMOr_FK!$w>eBWl-G&(HJ%oN?SNcyiE;Z3e??#~9&J+}UvjNL*zg2Q&zccNc>wqhk;E)xG0hL>J*#f)#NM(b zWZ$t3H>O1*L5-Te$I&Q6pNgz@^e2LrGfUt0f3`rlz6_M(i?z&bOU&n5fw_Wm*zh^9 zO}kpw5zW*7DH+bt86RR_-G>Op>yUTN3;Zqhp1-1bI`zc3LyKQUKyYvfpeAi zUS!sf6VRRh50X*s-YWVU)?3V@9DF3G-af*jR$I(8*nw(l1W5b&ktn;J5h+%^actq| ziA?yvSWzTFS?q@?GKbAL`R6=n{qrHMbIK6^$$!8e8b!6*4&- zu%(cCo$`_3K?>#SFELYp1h;NJ5@Ij>AM{WjrtaDJJHKH5+Ec#j@jt0wWgM9FMj+16 zVe2D8I*-+jyUeQ-D+Bg`hGat=M8nkr6=y@9P5rl+8f=JV`VMLX@2mfOd zIJzneL*%Yj38!WmmUL!fdzlZ^d*4CbiRp;@Y6smDH;K)xBh)YGi6wgj;NXar$x_z` zw9jxBat1jotl!U|`R)~Ja(qr2x7E|R&kImjK9x96+{~&@S)iP^&eutmdr2P1_=1>3VOC_sgSxj4&Br-5A5F@6IN( zO8yJr2TGA7KPa*KgB#rBPVe>1isebMSU50VAUrOgp zo{Fi~@S48kpGlsCCEb5d1FmClBH-9je%}Ev4Qzd4{{5&GY%l~j;URjDyimf=vq55g zDK_g`2eq=fxFF0JxpFg6)5n1z^XVYj+ZO__-2-m!AH&)inPU$1)5$OMK-Qi~)ORXK zSH>Th%R5TCi(HV+<9>uWGZFYZE)i*V9BjQ6IK0lQiq5K;z=;e4#wN@J3fD-$s{d1> zR;&QIq9537e@1Ia9+90n29jBEShn~CZW$->v$ioD=yS^&OqKp zn`zJSEf&{aB%<~dEG{^R)fXP)^%qK<3~IgbUmrA#9VZg~#p%yVzdpyo)`8UT5QKx* zG=jsbTn+6l&{>~QB+pF=wHY--^J+<0?BxxhVVXJ$gO6*ve9~!eHIi(0 zv)1~KaZI|`bH%2mx1sOjONryUl(~>{@;UTOsv}~ropAh-oUN0^;^%J;GU{4yS_3a2 zRtJ1YY}1A?*^^=rRd{;{4-2$g0h+wrnd_zpo#?Zy8HCp8zO$COyP z)&?S-?`gYN9VU4<&qI(TCP3_viH~O=WB1JirY_o_cz4_Y3D=tDh9!NFH8DyO-Eml%>8MS>oh5K(9?wrm0i{=s| z&{sR6s$rg#cQm6L5yx3o>Kn8$qpz&C;}-Bse2B@D;X&E6ENKll1u^==^qGApHxeQk z=1e=t4z^)MxsrHiECSy8?^xeC5-qEkpYXfZR9=+4;D<*^9VidAAte)_{=T_RfboKvrbmrku^=}wQ8?7^prHv8UQq9y1 zGif0$w9aHnWs3?e8q9Fc%y-f9miFvYucejhEkvl^(oXB3(1zAmyNVjEzsK*duDZGy z$N8S~JkNdKp9iG`jKaEY(OCJeC*{PrU{zhBjI;D_8WTU5CKL=0?at+8Hm#=a7nX^R z^jWsDQ}1baO^@W4#%V+g|HizcZ^W!Ak=p5XLWbZQ;$z1ATcMWJed$ z;gPG|>KBbh=I(H=i^7p>Vz=+!6|v7UX?o>ua(!9+!Wg@|@VhiZT!)V&`owz|ZsJ$^ z*P1^n>46!9YdsKKOaCF!+<~J+6C+WYa0gXwUqvM2qN3)P^bczER+0KJAC2YEcUZf- z4y%Uwk=sMv)I7d9f78XHn$UJ(7d zATRo|XA7&irh}Z!EO=(^gU9YeqGY z&;Ecc3vW`UuL!YKkZpp#R53$|L%jE5UHxOw>bGGsJ$Hq?^&}QwG{T(*GttLFv07QM zl%&2t&Q38I9cHd~hd%sQXZdI9?#SkgaL*p+glgTZ@qS{tGF5$S1^av-c4>2^nY-^U z^e{N69%b;7t$5#KG^f%J&D_+NRa&KBla0-ox6%;{TkTM~{1MjQZBOeer^2-&*9V_rRW)0|k9y7Dw0$R* z>ea*d^LJ;zgb>5k2SRuiZ*kqhVD|1FqBLPNq+s9?{}E_%oW9~RPI+8FW!ia=`QM3! zf{SF>xtTUcp!RW^u|06P(2$i3c5{|g6i`)jDT!a7sETtIYR0?yGh)#qDlT4$t)^t+ zhGH>wDY!$-uS_Mb7Tbv8L@CUx{zeVlnbfW-4>z!}q>FD(b?xc+?d~D6vOndhcXKa> z)v8FxgvUske+iy@`#qy)Ui7m)JUv4Cb{g(qGfpiUbPlE0XsD@^H@cN%?W&soh+j7U zCT)IvlH`5_9cWrK7EG=sWrHRmNq`F$PR>W-nMzzeD}<@Ai6yu8Zq>xMcni$h5|Q$0 z3C>77Lf)+XsCEvT&r14YVzSj$vnl1nGMDy?)V&SzFKv0mROU^jo;yix(oiIbE+oef zykpa9wN$%p6C*t{97{{?(jiNR2D?-^VGqN-R7kEvE^smu+gDL(wl!{8)Pn0?UmCUB1}r3FMfXW3eQ07-Xwj$kuZ3N}TVFN&>z{=-f7Am)+Z8b~uiv z6r6(o^aJ88?u|d&I|WC?{Ux@#$%_*8bVS^|By3lai=;rCtFKHuY&iZ3*;MK?%-)3uW_R-VuMqs=vqRr{;)@a71HHcpOyGn85mQEcq zV=S$Ak^85vUPWR)B;)TL;)SZU9YkxGk9+PNAj{a-lkC4bSKe~e1^VF4P&b{RY@#3X zs1{K3i6WX|dj{@Ldk=QP$L@C-@-Du+X3f)LjWDM_WzGa4oyBc3wId}^Q2cax)1QJV zT}^*r7SIOs^Eu%8+)Y#k6-1ikjAIVOsLeUIvEY#``$SUT4+|W&BH_GD;9K|+M!=(z z10Si=_Th}-*X3;Qqh3sxMH8~lucXhfUC{6hh9EKcwagX$@sD2`8QbsQZk-lMTelJR z%VFZ1Hhe+q#2`F%$|+6Ru0gc)hyiK2aSC;;x`9=T7+hxm9BP~@oI7+7usFHmFr9q`=#pTbMtb=yi8!^A-+%YnQOxX1M47b>OK&8g66;t^tzjbcHA!e!lS-rf zeT*9H@n=;(JJDY+_G;Gba#GuC??K(2MC7}NBVK?b<{h;l{0BYpxW9>pc{H?}O+YL5 z4xozVy)~6XM`FRX+ui>L?-&nSdfkUg4TocJK(S^&rKmy!Ip>-lc#8e=NLT;U(k;B? zn5mWy^TrAD&@G|@}-*yES;)g#aEVmiD$Y3H*x%uT+9Gdr@eIUMDB=^|8D^MbGn z8|d5Ih`IghNxTU@;D6R2QK&EEJZr&IpM*k89$^#631tL=*MC7PsrqFu=TsruvGcB@Oj*{Y8@`im)h z6mkjUT1j~FVu@K`G`23DPAVQvpu-2RapR5a177?L{J1YsJo!Sbb4HRcWND1>_UdAxO7A;WT~EiW z#To3Fr@I(c3;06|o&#gy7^;`vrf$7cLcTOqSzk{nk_bFwx;ZaxlW zetYD=)ZIqLX%66VAn2)UEq`CqrUeO`AP>3m6`6T?rRJTR&|mU>1{!tvgj(Tv%vU`B z8g2CW4=Y!|`K+Eww2@*gY=08NHU(n=#|#OYMxhP|X_(UX2+S%gskwUsNtO)*zu^{I z`6H9uoYcii&#b4`iBmP<4@L#E?E+MMZVZs@Sf?h2-+cZ^Km{3vjwoBjh!T zaeCB8qZ z<5$=q^J*LzTf< zjl#Ltry*|93}7GG5q5S5?aptBMO`%a*`zQIh^_a2IiBYb2m&ht;i!Oyz{hU$s*JYzSsI%YgFa`l`S5=idF6zirfQc!E>bBw-ZQt zx?_|p=pX|BuXF^e$@-I!iD!^*)eQV|<_ihO{RGsr zZInr!2xqkuNx}_bPIC>ZRxgMAKsFIqQk{6w&<9P>lX|8zNiH)qsj_k(G*xCgG~d2cm{d&*6e%+ z5D-|EconNq9PZ^hjO_?NNFDN)kKivF0or^SZhqZLO%8sAEbMfD$pK(|}7~VUd5Mw-)*fo_S(MfL-dAl-PD$%E9CoAyqH&G!gwl7kv%7>w{kKpwR zkRnNspIFKCz+%^#B-KGj8;!=2KMphGW<_=M?DC%eW&i!a5`&*edfkBE{45*`)|sj$ z>n&+T`?U=}6fuz&;!2tl&V;-Lcq^Dsr@>ZqEWD)E>uWeX3y& zK0UiV8SvZ_4+A!d|4D3Kss&xe3hih(p%$S^ zY^vZ;LEAvc$c7_n-E{n*%GGMegj%*)=t*RT7qOY%YFziggaWFGTu-%}+&RJ%bBkjT z_d#EjaW4{8#yI(~5B~#y;Wk>lelk;$x)$`WVYuP7DVFq;AZsZH#rD6<$T)+Li`OmU z`_W1|d(5;ocH^Vi;34ivb=?+AmRUwkD(6#L-A;zY=wPzKTaH z`)Z2EPh^92O>m7);*aY}*@nVyebxwSzcwriET&~vgQ%(T5F~3$pmX*_swL0QQqe*W zstlZl|GnlN)mS+dGH8*Q`5ugT?O9~p^J-@AWp(uFEqmhU|JxJhMn*(>%9(O6-XNtR zws=CHNQV_L>o-;wlRjO}6Kf4sP#3-;2UnaDSROW~d-bhC2J9Qn@Yeqe{rcOpU2Zyjrgl1#Ji+Z5C;xe+hM`!Sxvzh9h>xO93m(D}1 z=W-gevl+7`pD8DROXU1iyun!M7`cLR3(3AgwI@fjC0koGtfwEracd$>+TPNV>1&-t z0W6%E8Z>rDtXg6A7BUMKz|)bD(}KI>YrQ^UX6hFBSs!|G3$y649&J(eOXm}NiG)h` zFQ$SD4=f$E9CaKkfz0k*RL7l&g$~)&=z1C>y&>7~r#16=cnZtAY zH8$TDi9?*KfS1-txZbl-SHE2(@AXEtE-MyGD|Um1e}*V}YpIMYkw`ZTfcKIiQY}*9 zeutwqk|jNfyH`(?8py$S`Yc!1ON$W$&qDLI(u$KpSQd zpPOJk`uN&OmW`gcvFjXe-r@($99z(R98uhX6YQYkehk0M9V;FCpb=XoLrs|i z(oWYgs?~RhJZ})5db&U&|{vam%lvG#B3Ufr*D7H+AH=U(YHM0FmbIcz>rc_-4B2Hb@A;@*rTuZY;W zCs5wFFkESK2iv#{gRnD)oCD`_4PRB`F^?SDpf&mvv)9;1fBPr!2e z4z<^PoR(ilq=sCgykbMshC9e5+DIy}TZW4B#;WZFgRv-g6g9ZAeW}UtT87#CoERNh zHDc*y1F_U5gnEpwV8q7{zzp4s8Yh-f)Ato(bHf8L%K;XRbuf4=WY7ynlKQ8mh~rR< z?F&AjC)I0&($@7zlvRV~7youLgZn4`ya*rrc6!7w%cgiyMPDo~bVi-^-o!ZTGq4Gk zfR_D(in^vie$o-q$B$ObJg(Nn*#0LMUNfd4Hd>VDc1YeK5QG1}ma=EoA<0dcf%s~W zr1~!^dN7sb++O~z}mJA?Y#@q|l%e#Z4YM+LS+dQ$7-40$d1^Ik#pC*;}mFU6DQ(WOh8!8K- zK6gMPuby7YHyQ)?Z#DHux(Txx;E1$S{A1PFF>l6quBzMd??TSwH?oqAdo=9$Y`Xf* z`f$BS3z9Bh*ga1qr_Nkr(`)A8gx}kVQZ|*mq@{s(et#dOgZo{p%|(Mn{=q`M9Bj64 z72aUp7i!%$_+CbsT^Wx1=Z2|`Q`QFln|glrKUFu!O7iBTTES(K;=GJj)lOho;~kVa zx)bM|Uk`bzaFSEsN-Y=vgM_`y(C1($rs6qdZU)t;l_-N|hJE#wn3&S|^8&Q+gTbWs z30N&o`$;k_&cYm_w`VsO2P{!A6aV6QYsNK=VE1fdA5e}i9{H@6#ukG9J|21ey}bH9&zUB^Wkv+WvA_r3`~zaz4)d;~ccU^_Z& zC3<1YG#&hpIQMlt9g(2VWCof-cKJ8fefb;mS0$utXmEE1hAO|UBdOc>Cfj^07nfEI*xB z67WY$sO`{qD-ZVktUA8(Gb=7QO}GWE#HCdqHCW$8Oi3x_cFjc3=jcX*rbhYf58`lg zvXAn#2-O6WNf!rQP(N(!?P}@;8gF|r)P!pGH^u_5STrlA zg)Lp^gV|AOROcujvhe^EKMQ5QC|*c88z-`)l zQJ9*4dACEy4H*&TSrB`#PbhBeRmR%mHc>Ww!GZu2g!;T&krDZTx;W$$n5jXYXC0LY z*8abyuD-&X$jLfE6$9mXv##D!-$FqIS>JK+pYx8Tf2Pw)li@UJhu#8dNjB}&t)(6n zW^kRBy1O?`A?DCai^m?LDS|VIyYx0S-94H5_}XhsAF3fIY7aed1<7sxjA|X+Xu?;> zP(U6qXu>|BX~8!ny$Sx|vjS2%+Yk6Ve3~|d^@lk&)To)1t%CPs>t4Bwdrxdqpu?x- z!y-bry-|1fl*4QV6Gcs7NaxU>2w&Yo%zA?L`5U2DhcnE{!l?llK)*gfbnd}e1i2=z z;eT+=3yH@+8lSR|09y6jIj*+Kmsr)h6T#MOkv%Y3;2ljFgC5`&nM_k2Yp^7BI1+?z z0d}M{N!1R(`Z^EP#mvV0A` zkVEY}0GSy?i%+cgbLN#?r5X1IkmT|mLeZystb5BsmiQD=1~rZlSbjya#2u_OfP?ps zeyMg*JV7-RCyeF44keRs&Q-!0M@nDg|La_LhptxZzWhhKA_pVBP=%CLy}>*4kj9w( zp(T~Y8l6N%Rf-{G!W6ZiRhc_At&;On2@M=Uk2ibfp<9f~V{7-DJI63~ZTfz_K&2MX?}?eY%8 z?{org0rh?l&Kc-@T!#@6Di@9Lu1lV;1Yf9N-`D2=>P!?rGXE*o8LM ztff|zPgBOL)y8e#5PS48Hs2kKv`OC7^>lxSjAbdLF=`J|Rfo}-VT;+RJ3BS3dJJMr zI7FgnjU`$*r|yrjsvw)P1;tda{Uy~xzh{@&fip_a;~2~1xMJ@xDt-Q$JQ-#cT3P>u z2+Yz*r=Wz!o?ioXx*^o$z-w~&O+>l+c-HqgjbyJ0F<+*`4l#FGae@0>No$|Xi7h>qI0Inmc39IsK;M% za(lb8oUJ!%AYISD(9#QAXlCFD`hNahA5q{7WTF>?t*ZZ{4f+zAA!z~Tt}7jN@G~p8 z23%dpQ9a1&<2zha?tA0)P3h zx+_RJi-Wb=`}mXRT-MqMST#mxk%>hSDa~yF4|gbGAFd?9;XBAiQ;wxs-oG$kk0inC zol(fu47~l7`?3sC5X=fj(-xans+fEdmwgKdU*IWn-?3LnN@zS%zB-OhM(ox|)Q>20 zAOifufw-*vAEer^5_e9`#zOyIv_XzxhIR~_9tEwgbsKPvyeTJX9Zl!yTWVX+lKQ1@ z$-B)hW1hZw!BmU_PSDt~R3%wQ43624Zp=>xj%gxl+gdAS4d>vNpv^?C_&`(J{Ls4v z;>jI)>!{SB5N|mb$Cz#02Mk?4nsffh8fHj3$=T$Hk|UIw)P3PJeRi#L2(W<-^`?7> zl7lcCwvM>l{R6(TLIkTG7;)$e#JH=m+~Fot^*;h$oliKV-)73?Gl*MtjJV3ODSvPg z5&`>NWv3y{JCbpI?hxi&thAS`hLAgghQ}M}W(%2PDIg6GN<&->l z1}(ytxEW^elPK#1HP_p9$h5>5IPakQCx)Ytk8yO`IGZ3|(L-W#Zy6Ts+=YZ=fZe<8 z4%wdbd6?jQJNTQ!appNE$o2msEufP@eGXr1Cwg%=P;IF9*wY1AxwenfWx7xW62@=B ztZXpq{2`!Hm?JkBJwY9Dz(=%rN>UkM8dL<~wQ(m|QB*8-)oaGC?%S#2PA`%c^Bc$P zoQe4tztY^759r(4)nN+ajmQ3WRmcA$53(=#53T;tK5 z)4wvdr^>~j(h4<8X$+WMeE6WTo+}I@K zMCD`EFX9FAYE{(SXjbU&zju>-A4d)EM-tc&huyE%@^Nn|is(%{NwhqBgmuuDH zeQ$}7_@M041uOJi&ny%a?L?CA^$1O!H>u;)Eb7Al18lu^%BKE6+O`N{su+SS&+H|V zWA5;bje$Db7_{UQz*0PnqF>3`x;?-UDl!LeMI%zq@u6IIYf^f>gj8xSQ_qjDR;W&z zA$EcZmW=p7#914_JLHJ8)yskLmQ7T{dXhOAPt;n;9jbIC;A7d2!qb+k?PTZ33;V@P zaA)s$`FmT^U>r6<}L#(mWWgr%EGM|4OQl~>UN+<&!#JP0rk_36db-Ph! zpe>29vjvYB`1;pRr?>0_C$THnl3>sVbNt_t`apwy#sdXOxn=3m&E(`=$@T` zt|X#-Yc9sU4o=9iy?_+{t=MGDTTS0pbJU`ui>OZjI1<0;jW>S(%rar;sG_$KP1oO# zQ$2#&F4;WHwC?Ek91s(w6O~+Q06xDyxn^p+@YLSx8WCNO%>|P%^RNlW0PC>--z%BY zBk!rTQ3`7Pb5m`}wBUEOQ$lOC+lY(BdMYwkq5AE%jPSG;3&8hWc0C08oyWM&`yCB_ zejD_FX1ri?xKocv$PO%#qn7AxM3gy!O5%P{MRNi&ZJL6t3ysjulq4qjYy?(TOatE$ zpMKx5C&9R5Giq3Rl!&&xhR+XrBxsciGvJh$R#KbKJAGvw2|n@rwx}hrg}4OVKt*pS ztGmKCP!n5sU`ozIlDKpfk$s9^`Qs?jYpq1%jN`_OKk}$c;#`upC>VSyvB=i%dZ?&v zJ@&CphYU;}iM(Vj4`yA#8(D~do{180K6z8E*C?8{bm215y)9T}dlL)Cax~JmKx*0Q zhnY6mC(%)cD-L9nnvC^+iqo!$+wKgWj15E@I|z>zmZK3 z7BXIEpN2DE${{O!h;l!jK{?~WCsLJ$RJSgWoa{s_sJa4vstKsGgr!2}J67cE$97t5 zDVZuGwOU|6Pjy5ao|^`_w7n!!K@JLga|>q2-Zb6A8{eBzZS7S&iB*0xhu+8#r7)_)e22M=^@?BS#Bo4wrd(;tC zm(QKlsr4YS7l$z}iX5D)-6=L5HwVS6sKoaCl{m+?6|KGz;3v5>0P}WSR86^k*trrs zW_41iEqFJ(XXC``)mc<=GZpbx&LMUI8Yycd0{>47Ssl1ge!_nCXk)$A#PjaWz(#p7 z=CcOWN^pdvZ7HGB19?O@k_KwqKG3>1r`hx^VybGdM{U1W1hYDG*t-))D>pKHK+vcT^6u+{e%*3*T$3M|kFqOS>g z---mArUF~)0NH(~L$m$m&k*wFp^LmK8Ff|HQE}iKYSdB2>Vp@XE3HS3h0#cwI2n(6 zxm>;G_fK`YcPVi_bt9Bhl?1h21~DmJgg(i(va^O~J1Y)H0E5&Mr+1~|<^v|MFXS2i zbVi|e6&NAGyruMf>^SF?(&_lr@70=`Bj^0et$ihpauuy;)VGPujKf_NJOX(6^So$hPN0vf4~u?Z8g40StVdj{(eldL zDUmu!94Q$&Nve8Lgd6t!g*$HAAz7X~GG6_Qgls%P1TJf-v^pF-#R+I^h$EZ!ZA`+= zt#-lNF8j0Ge{QQihThW%8whoEKY?5=VvudoV$B-$Atq+$MXWp4i@d!(fsNboQ^T7z z0}mML#jqo6ASYUjgnIYE7wm(MJt)*v^T(*Y9j-H3&ZFfWIvJhS$;LMyvcyN+J=OXa zuPIl*KVmAD)6$a%;d5LfMi&e;qCuwQ{eSKgb+AW#QR* zis0q6E;$=rdbfi)9$?2x;;!IJ^PgyH+v*7uxg1zmhrv^A4|#1VFm#NFGT4^#Mz|4e zq7h<_HG+1cfedODZn(G?F-_YrZvprJ{2K8KN5~d8;Y=?FtcgD;J9v381M_aotv-)A zMMc#7U@R86xMH(|-*MO5uO#Nx6KtOK70KJ*VkY(;N>AQ^=j6W%j(0hZ;~NHmXHZI> zn)Gz!M8yHCwFytHClPx-@G7;S+8O!D)6gWu^zIM{z>Y`ek zcoJo=Kk3x>)aSsS%OhQyyA0Q`?MH!I@Pwok9HCeHL}&y=K{?f9kkzwOG?hsqB55hz zap&O_%dA8!d9;?EYo8S6-&-M9-ZiEAZ)OK~HTluhON*ITo%b}BHLW@-|ID|$w1uB^@i=bC- zBDI{elnu{E{N}B|Cf$eldxpWBU7yTi%6wdPjaYSh4dQfFpq>BqR}0K;(DeKY8g(Tv zsx$$7fBDVSvQK3cYjO+tzAfmULC$i4BTthaLr7izYiD7Ol0NV3HQbmVf)$5byZa=h zvXcb`-#bQlDSL>S#WN&T^rajogLc`N)2Sn0%60JUT>Y-Yx1$HliQ0H{fK6tg9X#_z z?tf!LL#~>Mjir!dpHM5Gxv*nzFiG>Mg#8n4gp=h+cc1#8ANi_4$s6Yubj3}BJRQ2lp z@QMRR&^X^Kn#&F6CYBAljZzbjF!5Qc6@tW6QgR`XDT+2%^VToGb&e9EDjG#aUJ*oE zXF@wKS>Y|CPld?}-s1&BPH9A-i^Ol*isIi44e##zyZ1hc8>dqyu9R}txl!+>34WYh z8N-I2q;=Z!YMo#OYT20q9PHPi{ryIRZ`CYlsC`Ivy|&0;=MgI#G+Pnlv(we zWE3pLx#B1E_Sq#w#wb?A*G9mlGvU7`{(4;6@s97TKGxavb3Umr?1g*|4~!}7I4k5tG^l6oN>q!I79-)E ziy=C%bx3(_9MKt?;`xRl1k;vH?e1%N-MkL*8 zh0ShB>D;`*603-M8vm9%>c;n7YQ?K2=+7n8)V-G8J(SI`JvP9sQcD#X{h9cI`mk#z z7cwdRNxJ_srxb@goRX&xe&HLar(U2sC$XHA{WlafMv1}q(S{FwJ)`Mnod{R7z&xlC zd`T)~+PWNb6=KBwyILc3@FFutdxyZB6|`8`=fQahoM(hNT`HnXYeA}LIr(v_wjg)Taz9e4B%?XrnDLy<~?N@M$(YI0jh+3Fc)51Ix#linW(;#mx^y zFzqVa0$K2p{A23ObG@nM&*ju}_CA)I&%sC2Uc`2W2Vj+#3TbzjVP0z<6_?h~+DbQ( z*|K&b$$}hd;zu+p#+6C)7>iYU1F)D@lGEGzs`!J|1TwHV=gwKsziP-j!0`0}y+n^pza6Jh&6t2wl_$xGvI8!)yL6i7 zHNoiY@2@`G!g(ZPwFUg&RfK($LpZP1@D6fBn_q8$yk?-TP|=}5d8 zcw2&B#ID+lzMSjLGA5qnsnrsB*>y|u%=2N4bo^Av2CM~cPy(J&G)q%uFn8f8MTf?? zJ%=iF*>p&*g`YUo9lcq)J!;4sixtvUZ&7XrMf&E^K9c7LurgvH3RxbH5A`o!+G9bR zT;HJ?^kzpmqY*^BeF~u=i-P2apJ@6XBcu|Pf#yg&JSY6os19$%E}{F#<^HE5Rq|q5 z+ES0Cqdb%~_X#}x@?e1rSDkSHu+<~Jf8b1q&bqG>MZQ6J=^k{v}kt3#3NJwLY;UlA5N z{6$i2N71gJ^JCacZm70fCtP{}`g$*9WmG#^S!xFLZ7dPZ?7%5rk4Sm>La{ivn&kMd zzy}Rdh2n$5(LTZO7K~~JM0UyAPYRQr?U;Kk>S=nxvw;Jua()|WB#AGHEUB3t^;4E z9n{9JiJiqqxaPY&S>wY*U)vXj{g>yfLif8hA7m|`L4_6NNN>Qku^~+Xe&&&SD0Ous zmNCEKoxaPR(1X&7*0v|1D+Xki}Zw~dypY67p#RnG|at0mKKGf}!uA>5i^;9g&)Wg!}D zF3O-0$>Ek9$nxyG8|l~Rk&b#FN>&RdyV23=i)&m%_n^uH0qA*;kxa*Ya~s~Qqu5>% zOcKUS!%E0V+VcajUfyED>V_f3SKv(z`-MLh+o>}i?*QN19AKQRg&ANuR?0Tgm&rQV z593AqHs^$KYXxKzHykoFM#St+mrwJUbv}}Dk6;$E3u?mC(BHm6Aql?J-s=dpz5ISu z!H=3rpm`!e@Fe2lkclT>8WzZN_>cO3EB9yf`&A5r-jn9~}0s!A1DI3|{^ zl6!}@=6W*e9y4hCP3zc%-msrg3m&9#z*1x%W8v3IY~^<_Zrb<7^2`bY68o^mua4bM z#$H~esZ3pf*c2t?^-ohSM}%3;WRhZ=jHS;Anm5yEfneuJoU=I**|$CbR^v%DN))Y0 z%WoqCd8KT#Y$WnlzhvyR`-tqkuiDl~sWvv;2i&a7C~Uho!z_rWjrzMu>7O}Nyyyk& zJ)D8+tmBDT8cyrC#9(EMfRrVSz-;Shl5jW&Sw{^)-j=JE!Wkj#SN-&_-M zIE}G{tdmQH0WJ#YtE@Pig@ZSJ0N-LActA2?{+9$?3}+O7SV1f|MB-V=^B~WiLS}W1 z)QEUp;I$fv*qiC7>{|%QX^odMhQo-d*CqNQG+rI@=p5o^?E;N68FqZCN%Q(^@NRyG zI~CVZW94buskx0ZJBld4+tB#6J%U9C;dg0KLb>Y(s?(E4l8c7+O9$v38ZTX0MjLAj zvF<<{@P6-6#m+($wI_P~+j+9F9kU)1F(bhLG{mYo(qE`-P;Z#htUY*^{0jIpIHkIs@V#bzRSHF2~o%Z}!*aR-m2 zFRmP)kNkhOsWM)aqKetmh+V505yI{{-hx!5lkrhZ?@QFY!jsOJsACl;c2R5TA?&=d zS;GzZic(~Hc*Dp~>JB#nR-$;Cki#dU#G@#2Q7hA+e~_LsoCtfrrn_Hx@q9G@HEQALe+U`mX;>wH1fJvdX4tOD}^ht*Of2&Gf)t zD$rL;8z(ZShD2~31_8^*&Q~|e9190_lEzOSwD#abh2TRE`nK)5KYz;rlC#Q%jFc!e zhr|m?ZG7Iikhm!@w>T)`k-+hHW$&ISI%9N?!F!~RMxEf>jzdrDV^nH_(J z8-uyyZ}>&}HJ_=Z?nye}Z`RXO(?toAI8z#P%@}Qx<}Xz7|Dfds`D?Gz&Hk=cnKUit zBB~YIs4`PL7V7k@QEK}a)LC%_+e!K(%gCLi`E59Gpx={alLFJGfLb;Sjdxcu+Ql{=dHJ-YFLOL9X#N zS(B3=J+PP-1XDKgkK-i3?r$z^uh!@z0sB4zX&+_xPb)={wQ({Ln7 zDe{9}05l*;A~JGui&uu`kc^EnSf{YSWk=dk!ufybh&9t?zurEG?9R!=4tT_-yc6P< zrRVUq1)UnL!*axzv&6KWM=O@VPQN3wn4F|W9REWUSo)t5DGv9Btc@#?Bzn=ZKgFV07&&~BsppD6A3QW11Z8TM#X${@I;25efh_n3C$EbN ztn=tkJEk6{xAA9GvPiZPzU~o}du<<8X(6WsdPgFl6W zzi}kY2b*!!)4g#@=kBx zkM%9CU5jldO1`wAo3=ki$^O+7OcO<@#1wcs?auUO*xb;Buj{axMK%gC@P~ZcWU8m9 i7s`CsgZln8^kLn9w*CK4JH1xQ>-q!tW^4EV@%kSfDtdtc literal 0 HcmV?d00001 diff --git a/tests/107.out b/tests/107.out new file mode 100644 index 0000000..18a1987 --- /dev/null +++ b/tests/107.out @@ -0,0 +1,32 @@ +REG 7851A07EFFA70241 +REG 0000000000000000 +REG 0000000000004000 +REG D30FE001D310661A +REG D3102F7BD3102F7B +REG FFFBFFFFFFFD7FFF +REG 87F000010000423F +REG FFFBFFFFFFFD7E00 +REG 87F40015741BFFD1 +REG 7B81FFFF4000001F +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000C110F +REG FFFFFFFFFFFFFFCB +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF5BC0 +REG 00000000000007FF +REG 000000147419F50E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 87F40015741C5662 +REG 0000000000000000 +REG FFFFFFF94C438180 +REG 780BFFFEFFFD11AF +REG 00000000FFFFBDC0 +REG 0000000000000000 +REG FFFFFFF94C438180 +REG FFFFFFF94C43C3BF +REG 780BFFFEFFFD11AF +REG 0000000040088004 diff --git a/tests/108.bin b/tests/108.bin new file mode 100644 index 0000000000000000000000000000000000000000..2387c6ae0fbea989b15328057039b986ef9925c6 GIT binary patch literal 33468 zcmW(+cUV)&*A8I8o*)-}wEJ=g#vIlAF0R=e+MZ?{GLAsa@9)bkxL@zWSjo zBM#zlI8*2={8nEML$Aw!jX4}UiU}wtpqOB}5gq^hDWCH5=X8oqXXthLuQ87oK844N zq*x?Fugiap)6!C=q@``3*an7Pm;V}bIED1{j!^6f{of<>e~-}5tD>KGi(}$-_zlJ0Fui-dS>CtLoA5q;Ia7P}HjTK|*OWCjFxy4v4ku+QhqIkx+gW;DVNA#L z{wQ{mVkaqfaz!sX=5VSg_JCp!DE455DIIe-?G*b&u}>8Hw1P{=biSr>INW8o`f@e& z8f8bvbp9wNqL_$cq9{2Xb2xH}`BBV|Vt!F6bWEq3Vu=(>q*!7U$0(6vDHO}1SQf>y zqBzD`6f2}y1;r{TRuRSNRY9>TiZxQKkz$Qe9MeXMwNvaX#lBMPYZQn3m0}!E4#zt3 zR$pr^y+(8FtRw0DQA|cL8O3DL9J!2Qa*8dX*b<5@iRPp%p;$P@v=q~7jX2uqUt@ZI z6x&C!eOhDAK8o$5SRutOQ|z*~7w0m?E>o8~?sXpDKDpd(}7e`Is^BMwOH2l4SSSqjV~Ud}74%&uq_ zEgS68ddZsPpb(-;>WjET|3KXbE5Jc_9(A&dT?l_ZI^ZjgSv#%XXgqOA|KBK4XBHA~7Ghas9#l<}YSbH4 z#PaRZkO?n^OisXEyk++d#=)))=DQ?o3&wCz4)-g{WR%D^rVsW?(L&CL5=eS<%FoVs zCq8NtgQbTyA*t>WSeq?eC~=*PIO%5CT(J&G>ZZZ+O9wSZoYBOTvt&9?mWmbCHwm+T z9T78$gj2eNs7_0eWmYLDn)8r3a~!h@8{p3Vh>scj;A6ul`FU|7v6=1%IlgU^Mwgg{ z7`qaz@4SLG-;*wqRGJ{J;Tw_t&5X$IsYIgIXgF%zK}tR|Yxq9vQQLWIveA{BXqbDL zurb@f!Sn_Br(%I)fyd(UUZoe1?)$$;C>?@KIkUYC@=TP!;SlcG)|YI2z9%$)<9*^d zCc&NUUx_4ZR7mDLA4`THtlIn)sh8UWPdJ{edmcSaIP(u;e4>vcr*;`#cUeeLcpWv! zx{+z=Q)YhaYX9U1T3{zO6OSq>l0A*aZR{0cVdNP|}}H1G;R!j)sOg8DFpY!VniqQYJTr{5Gne&2s;?wAoVdD95${Unr17&w=;&Q9nFx) z=PxW5`oMz6e4!2LL%2OvsJprk@ldot^TYu$_RL?X>yLt%W5z`|+o^~MWX@od;H5Nh zRw0$J5B{!=vXKe%=>7Hs4pTv5;(qtdtbT#jRiP+@)4w{ql@WWPbbXuub|4^PQF$5b`}qbMdGW*=$J`0;qiLmrrAFDX@HHBxa2gk z?DdGWW`yIyu<*qET~~nD(}g|Sk0F)oRFHCVu*KTJ;IqX=DSLm5^mM!ihbk#pR@LH3 z-bG0T^?#G5*m~e8r=j}TOOW&E9g(d0h##EHjo>=oMm_2AB=@E(*>?Z6M(weW$c|q@ zhA%%*>VScxWer2HOe?^l-ZJ>Ww-B+008~Hp5Rn|qfHOvGw3A7Uz)b0el1h@7CH$L4 zbl+c+lvBG~c)i=x`d zqXpc_j5$MOou^5y@G%L!DM3~pt6}LocrdCAkM-%YmcF{p*Xg zR*zQVit{_6uE-Y^TP%hf(F`f;R1OnsVgn9j5B5~F# z@;mvwP^OJR$vH&LrtctOY$a0Yc0>2Og+$c(8U7rfv69VufbA=KPsnK>1`{4^VjOBG zgQ{{ANIK%-X4TSY_w+_W&cB4PfUo3-0J5i zZJ3C-;j8JK6(dHm7+9A(=-RdRi3!stB6ay2Bze_C=zhTLl7ZMLd@^d>1-Q@flVZm3 z0QJ;O$9nI0R~w_;xGd{nFCqk7&Q+;|`qOgU%e7Cvi0;_(Bka=(B%e-TC(qbJAjpQ|-T zQDhEYrD!d&!QIxo;mz#2 z<4K_UIu+$_U56M>BS;+OSh%PUvE8->S(J^0{JaP(o!mx?HLmsN1lS5RqJW)2A zhz0XqqMQ1C#b@3B(l+qc;;iEFxR&lw_RCgiytW0R!^G@S;~KE}y`Atc)MJYaBauY; z5H)oDiB)Vb+}(d1N#3*s%7&|hJ($agSBnu_-Aoq0PFUd{UWSfz{ywhtFCFBfKZ)$F z9}yPmF*8YyR30mc<+BsSb(-9TP1y&+FZGN{5QN36#zFF?rKEgw7P+(cH&(TIJGLk_ z!@2yeWUf@A(cRcg*RTv7H7-IP9>h(s>pQBreVQ=W)x>fhmv}W#LZVI6wLKSEZ1dMy zB+uJKB;r6K<2s}0gq^F?{x%jGYV&cU(J44c&NGK||?8%jtTO;o91J#P01tVC#FZvT_R}+Zq7vd#$BOgA;M6jTLk~oydBb zE=4(k9zB<|62Up7DiogEd_3^TfcJips2;I`{!bb??@P=+OOfBDMS*hzln5G3CKED zfp4J@M)$(bJf~cg_4skHYRDRpZgVA!|4Rtn_Ijkc-!Nd0-z2;UM`Up!5uHBy%wMwa z2GHCH_DXZ$9mpfusiolT_rc#jupFr`Jwoj0IHdoUg2PtzLzc}c*g;tjHWQt&ICw3| z=v9Cp-1;Nz8$^vL=8Vz$S4;>G)sGi)+m{f_a1FRi4||*>JRkABI|2lB_BX~=B~!$k5u{!`-G6ACb5KTHv^b=7jbC6$%Na%r}63- z=sDZ*arIt}bW$@ht9^#mm!1;|XFhT_T@RJtopIBa#lV&MB1vEvW;&iCx$qkBVg@3{ zdk~fh_xO!>y}7rD!`45P5!}S0M>us<`9>7-OBZ7+L)0A7!_v$zMYXE?Yb5G$ox|g01v%&!ARknw% zzq~hr+13H{x1dQy_uVbhi-4KqN2F!f5u0`#EcX15m~Z$WwnXPJJ8>-fdGgu{^Bpr; zQ_fTG`h+j==gs}B$me!glzk>Ur*s%|;QT)ti!>>&xU*d7pEHUv^?c@+<+T`GZD%u0 zPm&1VSqnpL1q;X=3vX5S(P(-z1a(Ffi8W~$BT zH3v)UpJ3niVCb#Dp`S{Qvst?{z&s|1mu zw*n%o9kr6aemFO6DKr-@j#KGfmxVt5H{!+L48xR8M`jxzBTn^ts1aUCLY9tNRoi7P9$xLB zaF+)WmE45nR8CYLGl?N}g;q$-q#+eY17;;>aQ*NL;#1>N{Zws~Pj7mPrFki6(&y^| z$yeGzEV_?Pj7Li9uYCh?N(~6=HX=cU8>u%MhAc+y#&a_+CU6-8aK%3~_Ktu2+OJp= z>T^q$jwS5jqQp1tT_Y^tnE@Y$~^KkZoqrl}&M+Nm9 za`%Xrlk7zleeZ0d_Atjec3y}-=>_B~ZOF+JVeVqxb|l<%7oI+@ROc8DLs$G5*Mh$m zKqf=5QA!X|zq*K;x_V)@W202Jt%Ce3xx2I*c{|(efX$)x2z_P6d!VBh3VRabF7et^tU1iB? zWJYLg$2q!HSo}4D$WrD(ZXu7>mx{^B2eZ~>d--8?(O_Jg=R(G191Ass&j;&r`SMKV zKKR*WA6ve2DQ;U?jB-<)F>EaMx9`}9`BDt^zGlF?KQ~#*SYWAcHjt$G zY?58gpXl=FfZ))wq4c+I!TdXCD0g`VhHXNi?;#0&rX$r42{ALi$lMoLv6b%ud-%)- zg>)!1h?d~`-LW+P#bQZbGkwNlP%r)+Hl3*rGu$wzaZ`x(K0|R1vjN@Nkgs7({-b*0 z1?6*&xZutS%rkmVc;Vip;ml^dER)r`haZJZ&V5{YV+MKN@HHlPyE~{0o>5)$H`pDR zNix!NvFMJoHYG%|Xi{>t?A5oo%(=lE0xX+vVd3EM#3St{TDIs_gtWSaNR0{Aga1H7 z#C>d3)d+g&Il_d8VB2d7aNT{55!;=`nD3w5BR2ys)vV064;G$lnn6B_|P>!8ug4`i6)NU ztCf5`2J0WcVf1Mik=b$?F-tp%^GoLwvq4uG!|;Px@Vqa|>TyIge-c5010)PS0Nra> zqgr_?yz75;MUT&YtXqEypGeFRTgk(a?1DGsY)FSkC4DC)&%94#(H&ILe zHlFOMeJw~h`V@bU%2_Kr@fw$;jvo2(bq}LEAG0WX_YhKFycc>JN?>65alw9XPC)n8 zzQAhxknq%I?dJ<;1*SnktktR&AnZ6v>OFnec5U6qDsL5Fc5W2D;}9Rh&Y1xxE$@YK zQwI}{-3u)C9ffr-92S=Mji-720?9GN61$1lINes%4MNU?hH3n?s*+c0?MFBvUX9{lX*mP z?{}=Kwgmp3WYoQk~+pu+iiV~7)d-C$*Mpk2_8*^?w?7{svu;+)RWqRpkV*u?Mb4%p+H&= zxJlzMWOQC7BDn|2wfh&n9d&GSmf$=%rPq0=lYCL`O%r@pXdQc$?l&9%cZ8q!6NEc- zV93*v+O#$hSr$U$^S?>G%nGE}!--g)36k@NQMbl{ct(A$$?W@4IW{efVtvNgrh ziQ}*`UQI-%!$H+Jf_O1{3~Yui_gX7q&F`R@f&t8==Z!YXkIQj#YY392AEX@Ij3n9k zlKizx$*Y|$jDxj`M74}vF1<)&+|l(5xAw8OWfDCIU-<}sYzbw3g=(DL)q*mZWyC(b z63_b+n0Sx$#68t%$-iP28@UXt8&`vJ{yPu_29mZmIZ9i>Vco;)q5q)<=C0{zjZxt+ zqO>1RHD3qWn%b&m?KYC}5B}Afxdvda@F&U%SPcf{SuDBNL*mFn|EObb@wQ4iQ7PBJ z=9gtLRt6)&8ybrJy0^ROYd7Ph_lH(n_<2EPLles6Um&F%XSI2Af1-M&qTIz4=StIv zs_7W6ZvPUxwI-E~zB$>SU-%h?Z5@HtwNGL3upW_Wi;lP#1;Z;#OJ9o#?J$TvuC1G! zs!<*5N$P3wB=sIfT8{Jw)q+m)&F_{myiyL0$7#r@!;@-`ucZ8HIJDWVgu&bvx1j3W zs9a?s&NciFOx`HE)+T#*r(MHGjf-((%^IW%?<>p~Zvmm{Je1injwti5fr}uIm8JA1 zZCnjD*BKBKcnkQH$Usf#w@%Dp#8*6tU?va|p!mZcEsi?fhx zs3&5CQKmw=R-KoGg;%(kpZ67sZ2Tcm7Zj~_yh{|OLeyiBVQ$b4P$g$f*~kXaI%N#j zPr6NW(iWndOLyD)IWx$Spj%y7Eu}7gA+DG$7XGPdxGvOq7ba!Pu?Mp%T`ona+P);@@0&7o1dZ?by zApDdXJdfdx^W|(rE&g@mWSJg|%hw!7{HMOyzN=Sa!X^bcNU5GW^AZbcrjl-#aWLV% zSlcc2f{M-WkZ5Z+o3X(iHSGEb78wVjr*=L>w8V$~_Q1kPa@Ccnw!9)c-&ZmYx`&X( zy5Y>~9N?AiCu2NX*YK{rplc=#>*mf!21fxjJJFx=wfr^lP7@+y9toxK8op#pXs0_sKU@5_FPXbTWDoH+3)3wM-+k|E!>V zFa|4ctsSlQ2x`W;K8X!l?}h6%57_L(%?|S(=yusuWc25z&WNoVyLG4R8#;t zH+^8`1z-diCEt@Rqor4Ufb zZX>>#2Vqmh&~T`pbtoDFe<81AtL^S9=vu?thn&Ue42lv?p9nUt-g*l8Ee` zkUa1K3AG-c*zFvSO8%RwRTM8`q)Q4h_u*BIUDr@@x=z6g3kLx=#tLKyS0LGmGhj7l zIkFedgiv)XUUmjpZqHDp9Dff?OSm!D4jfq{HCzDp^JeTWpFqk_Kg9eLg46;1NW+#f z=+#|cCZm+{PL3t0(@Jp9*)LGABN1^K8$77&MTqZj6SdRRodW2yLoZ-dsqshAu}|j#8Z2ZU^E5KS;>A3>&Uj zFb2nO$X4|qkys5PCH_;jyr4%&6 z@bNa$R}~XqS)7b2& z_JvjDmVP(=m-@PMeTeikkJk9VVXIniSk=Fk%_`QBo$(OLoOWrrrDI5gj75V6 zv}pxwJLxG}fKx{}vzCX?VS67fa7f!^yB$FT$?$&2<^RDv?e6F z+PT}WoYXRxz^wKUVqv@;436h%t-}dIrw_-EA#I_1hbvaku)<{_JDE*0u7;~r(dh7? z*Nl1iE|ffJf}^B;Ap#Yfz{!?G+z{hD)rF>-O`81qNow&WNjy}cuyB|eC83Q zVr6-kk@Lv0A^h+gNba)*+3VgS z;fIxstn3H+bpNf3@0fVzkMkc~8I!|AChbRRxsO0t6T_A-4x#<5fi(U{5bKY}g3U*a z!{TTaGEdKf;eXF_ktm*GwGZuSFt0FI^aKQg{a~BKLw8@!8lQV%-tnI{OmWu!E1pdM{#d$oBYNTL!QR^Y=c3RnG>-}Ov_Em0_E`2|cVRBlzZ{F~ z-rB>-6WcWg?pX3@{5?;r@)J1v;Cp5M<~*q>2g`fqm}(rV8QhaDBvU^eoRDy92eL@J ziQ{I!ah5hW;l^umSemgF9WWQOVU|@mdB=02+L=vr>o}0znT^#(L5S7{kv_u>B-OE? z%{V=US^5flR9O=r^CQ~lSM0RS%gh&7uH1wq`^1QyZ~$seUm$*AD;&z}J<4ABkqEr% zK;=3eXGJ{2&T&E7+PpN4xgL?_=ej*?{X?)K?K75T{DW?n&$VR~T_p2a2<0I`#Imq2 zdiFvx>XJoNu*&cSajRpArPKsu8CS8`)DEqEJCerwB4`>K0pdIl_<3QHZ)N6FRMBR@ ze6t^rDM!Q*Q-hWp9cR>yV@O7Ie_ZR>2Pe-gLPL%dkF4E?5HGJ0ct-DtI`<5=wDSmd z2s}v?fmNiQ6=Gh{dsx+N6PY~!Jd!yUQysJtn$;9uWBtj z1EIU*;>v81J&}|@#Il1rEXr3PZ?z&ZVfpX?>GvAK`(C8!@ZUR5noj$t)n8FLq3hw_ zcB-9=v9P8^ES;zT=G!w6oCyS9!&79CuEtHWGuWIzmgb5fAS|i_tHOEM>6V@LO0VaB z{*D(|+uCs;eeoT91FLA=pg$J>TuEv>CqP2}Kqy$NLDTO#YRtJZd?9y*o2d3BME{(| z*cbkb20We1+VwoAx{gaU-l;S`JL2r;tFf8mCs^72%vWIKrBxoSq;<#FG-egjS3BBv z?Z0tN&$ki}WiVpIM|~Z_7Z7{J2qgKj2}{=pWAThxbb==|?+r`q3cO=lqC8Y71DPl%t;*LM7qRKtgNP44% z(c@#--4LTC znX6vn6MKuNI8?i1KC_Fs%V!|V8I4GsF2Lpi-)a3M9lPs>6Y0f$Sa{SFTlh5KS9Wtp zCw^U!+^M7GwwA6TIAc{Nu~emzgiV&TuIUJ3nH$XuPQN%6(w7FJ5~n#5D^r&6 z>V#-p{B2fg)XC;lbr62wYO-aq*irH}73p5m-o=)6DE|@%W%zUwkIs2SdhjUHdrw77 z$9}^7IfxMm`e;^Med27$>p~~)?2*;1Hf41e!-&zxZN7=OM}_o!H^&@l6|DPbLzsh4 z3hrttQ>dax;KsT{98YYO$Efc>WurvmOBbOjNC9zuo_h9QKc7*TKE~=bDk6Mv9Iw&; z6UTk-g-6d{s*X>5f1pe-)<(? zpXC(;;iNENHRCi0ybhy7c&_vq<%@OIp+vfJCmj4f(o^5NX+^A0A3yu?0-MT~=fon= z1c|OpBeK2K#9f&S1G=bqI2SQ@U1@<#g)Pmfhz`GgfZ73Q7ELhi8_Bn~leNp`*Z~Q3d8?sv^j)&|;>JWNU7HVov(z$j9jUL`4d&%_ zv_8{J)UNUP*o~W^V|;4bBxrpQ7A_~x)XF{U*;S*7l)ubBCS)K4yA z8-6K`v0BQfYC!Z~C{{(^B_CQq%P7X8!o|^U!e&oAaI#ZKk9;CgZ?D1?eKSC56AO>z zF>Bqc`hz5`44;{h>hI>WhLLRU2idbr!J}v!mac0@LhDGc3+&6ByR#MeS z$_f+MuxA`l?+nD;l>boJX38aNF|3f+iES_HCnIwGAs zm`KkKqV)qGqM~~#fR}7nI=Gv4FFHqbCO_drd91elrymmS_!DHMQfR6v!yctpxB%q1 zw%PqAg^>6%2*X$J2joG0EsZ6X%CC1U=BOQi5-hellf1|Nnc8d2#O+V3BLMSU<* zw=F{Zv?anYvs}!^EUXZ8XIb?@bovTeUJJ#wn^n>T~f-j{qfD`C%XJL8^v)17+IVzA*xD=|}@ zVNTx>hVbknK_a`3r`tEgt7|ODKV5TF_19M5*1L8jFXw^3L}yQIjW!@osR9emOrfzU z275I7&>ZK4>uOJ7->PxADI%5bAx9)S^*6TL@&P1n;q>p0w3m05aAS)J$6Bg+$N#+A zAiar=r=%|%;D93Kx=pD2`XqFs&ps_1S3xoZ1Ms+B3s!yF{(7S6)Rd3|U;ko6N&>vP zIM8iN#rmbLSULY9scZJbRvVO1zGfM0vfCk3#YaPK%7bw3vDQd#@jzrzdXHo_zjEwR zR$+IG32JHca4d67BdVAlN+%d^sBKNVY(fX+-sql;VX*1@6Be{-Nw6C?+xz> z{|mEHPa;ZxMFtM9Vc&L*nc`98gGINpkf<;YvDdG|_ksU7-`+AK$~J5z`Qn=Bl(fhU zNe})6{nm$=F&&RZuQj;bHU$qcGY@B`XG4Be18H(9hVrX2P;bA24L%D%fAAAgi#?G? zZ7kH)?uT5TpXlJ0nT(Yq!aZL+K@edmzORu@2e zn(t#(Nir-S@wZm$e1LSftRo9A^QMT$6yu?Dzx!F0AEvR<2j2`ZimH!2K@^IC&>Hsl z#0s(wOP9?Af$v|~wr^U9W@Cdd^E8KYP%hOyvx(E}Zw$LP1{!AngL0YA;H$fec%|!5 zt7s6OTkt>4hvox|9XRbE=x0oF3#pFv+J!cLaq(l#B9PgPU@$kWCw?K{7=CFIKJdSj zT8?fjvfW|~32|M%@c{(@UH zAFsgT=54fQ=R|J4^3)0y38X+HMrVI~XFRI+<11NT#r^h%D!NacLkXb-H9mQaq;I`P zt5dSkHovnrd3{}EHs)LWb2m((y|8nHKg^$)1!UsJ2v=aK4hv%+B6GAKpMAVCKJ-OC z&2I;;>!6U1%LM(2?;Qh)Xy9#z>w86N#ywNbGW{ z9<&0Z^aCKQ=d;}%7jT1UKY8@RLZNb1VF|x4kt7^I6}fwH&W3$h{O~onS2J*EaJRn$ zXFL(=?h%!=3R|Rnp*_`dqbwz2O;#d{&IT-5&L_{qF0h%atT5k@f%JD@ zp+?^SkoOe6mT%0UIy))WrxuUvG7JqfwMKVmc)~(ckW7{7$beST_(F8kRyT2j9 zay=?YzKquM%{9Mzh3xQ5>I*f&f-P~teLjhPcMZ0ehZBqGmr1U|4drxV z!4#}ZKz!Y1kbQm&1tv3aLdyb8_rwnRENh^L`2)n)n$Yo+xvZ%09jP^JqI17azOZ># zls)wh{GHwYPyNmNI8f=h&76^KuTIwqNwOhvAq8m(kA7Z=>Tsqk=IgUqXDV{yq7d^Ypr zY6s3*81v09He^GJ-+ZAPeV-P0rv#x)@h!ry&Bhj!j^iG~A;=%#NyJ9z7pH`}>esX` z*A6~|hik+?I^aP(OV^zjjF(;1WOro(wQ{mBv{1!Er zu{$6jpZ^wWas!THiwQ|2bVMWREBvXDyfVh++duo+6(5Dl#HYY4*@_&Lz0m7Zw^*^< z9oS)>gx|lL%vJTDz_=73{bwyKFsvm#Qe$iX6?dKP2q8A_3CRp}Dmj~spVA39dICvGzGX9~hY`Bel^Wrc!{X4BA zJL1_ZxH0-k&(Xi8ogqAt0`&C_)Supo*q2+0Bt3{oV|Jm;DtlBA{vKqL%%Q=FL9-jz zhfxnJUhd+n;ijA-%(tgVoqvE>3}e7%R0Ei$zenb-p;~Si;D{Nk1wDcPKp1(5L!Y)o z7>lv_shIlvYO6Pr%0pMNWw0E}mSuzZo-^>e{=+n@M>a z!nOWG)l*u2ge5O>q}(NjYN*|ai90~#wa1Z)$-un!JmPn3^yG%R>5z415~>S-_W#c@ z>%dm1V;u3iH3mjBcpE4~pJHL?eYhU@JOoGGkAIn~(B{V6qaN##U=@%GYMl^S`8-Dj zYc3+2DG4M$-wms+-XXE+RTA=WR+L9f43=pIVR7ersPB4=$JR`mrXF&ID3lo_tLHrM zW4_}|pIT7YyukMQAF&E)3(inUQJ>MlZ0mWdla$$*=Q|jQtVbY^&VLX+|3K?Er=TJ7 zJ*)G62Ju#$N&2Gw$i(Vn@bfu()^H*fREHz6h3QG;vh-k-WJ@#7e<3G|&Mf%4ZSGX{ zlWrtBC&niyNBCI|sa|<;^X1Woi8DYN$srA0Dr6~N0ohN&h)2g7tf&eA_C;BMKrjw* zQ@7Mw_TM+RR>8DfAMc{RE{81|7`!J08=e2CK@byd3O|(Yq z7J9N4ds-2%Un>|1ol&FgDUm6E15rGEe!bqIBOm!A1-r9opJqK_+W&*}kp^d9)3bCA zZqxX<)?1xtM;;!Mvz++MMD}_Zk>*9=T|YZ*n`R3k|F3jhv7m|8zD5v9$7B>ca+*do zJ^+h2T>3mN5pnV;B6fI0^k-WL`fxv_!t*gU6txq{E+JA&50D(!MbtO;ny_;l&}s7> z+NLLqh&sy{)xZ58a1Xr2YRi3Cm$;tB{;^muJqw}lvk9-EYVnenho?zCB;(?pPg&lz z)ku6g6$^c?!V9a`aS2hLz(HLoGT+=PGiWS62NGKmNoWni1*i676>l=` z2{c2#otLrt)QSME9B|l(5tyz1j3b8?*c>&UO)`D95}CXju_hIG(baoX+8#}#+U`$m zxZ6c)V-65eloNUNdV;IWxj)e--og!aC4^^i!PGEI8jDwh&7hUE9@|0B2$WB0mGz3w znfHvygw@1?a~Jn`*Aw5)YGBsV^`fZ2obb2sS!klk8hQ#fCTs$mQ#=rr`XbltL9~xr z3=+?OfqmHm#ixR%syU9plkdfhwFr1aZxY)h46@tj<8Je;9zGTd1JtY;jZIFZ{oSOv zRl2xo1(F~ny`!dHWm}wTc&c%a`A#&;rJ*_cELi!)jII1p941l4BH>Iw%s8Gyb`dRf zFICf%a}t!iiG%ogS!CYHYwq3Ge?ynUzAvw9HzUGRt3l^2qNIrLB=OH8 zdNwVSh^KtOl9D~pc6Vo_#N#yeiSS5JNOSO{v_W=?@H{dvweQH)v#M8CoZmzmjLhg+ z5d^ZpU62>PC|ow+IObnS0f9_~WiQ%5-yuegz8g^0J|9NGd5-P84w9EvZxp{Y{G$0C zE9%N>S>4KQIHzzc%0ILjRjnGMX^LP;Zo3sZGjyLuQ8FHRmxI#7EN6>SBr+$Gnuzi#QR-KWA z=~%f~P4gVX^e2t_2a3kokhM?SxqYAuvzNZ!f8>`QN~+WrL@I#wXH z)dv`~rFjK=LW9*CE@RsgEouFpN$bQDap#{xR+RJw#JhuipC*lsN;pc-0_kWEF<>Hn zezntk!ZYx#-HX{=#ZsbQYKxUo^GFQWS!$c>Njc^gn75Ne-4dvhcwQTjv-@0@;_W*3`XMMV~{)L3h{e-$Tsy9n*!F_c zpB+Ueu}l1W0Sb>1VadL$%njl1YH92=ix11M*? zDLEx^W7VDGks&4r7RMf&B0GD5p3~|NVpTfL4>M?-H^NeGAkN~s64^UPV&2Ka2|LXE zyZ4Mjs@Ys5SuhWGC$@lU-$qbhrTV4F^H&`drTSJliTjQLc2WjUR% zu}W;-YZCRF1%k``aE(1ZkCM%1gDfQwa=8&6 zxJY4@QU`au+}Ve3ZQ{b3Ls96Z_i+|`Si~VecB#`RO2*wR_;Bn>$-CS>qA?*I;K+n?LPc z`jDu!F=36nJL!99eId#a+}*sN2)AFC2u%N{;X8)YvseE^?6+(rtG|!!(|2ICI1K9Y zZcvZ6GtJYNVCKbZlCIRJ;{`@Lu~Fd{V&(G{RoC6nCh< zn17Ov?k)+D_P2$#!mm<&`d=U}?M-|AgUJ`~D0lG?%8xH)Ak|e7z1P_!$0v&LH5eqH zwqgEsk%DKG2Id`uQEqt~Iq|;|*9_lhjOFq&tVl1XIpjR5PuNZt{GpTS6JHaH<`J=i^EYZxdKB~1QE^{ z#VWXWQJLWg!!8XXX2L1RY(xdF7-B=-n`k1{;j^eG=MKc}xG7Z?6_SjTA6elvf_vtU z$0pBuEff`wC3dksIN{$(R9_S$Ro_NDaK$pa4JH|(F};mf$utLXyz>`rL7#9M!>5z1 zeg$AO^~G{~Lm7d0BV8l|&yyqWS?<|GO^L`Z7D+SDVrF7J^;jQ6%X`}fG{(|?Cvyg8 z^g2qoPHcSlgmXj`ZU%fZeQIc(0M97Sq30&vPqzsCNo?MQW3Ips#qBaoX8lHEW}O)_ zR5_tsaTgMM>QLQNKFePJ6IUF&P3p21$0@3fAeSk?;$DBCimzUj8~S<~4-ATxPIN}< zo(SNNQV^?h4q>(~1<{ogBrL=Z=}zRL`WhBX6Pux&&|2f`7-Cn`hp1F4Y^xuEB}SAV zxBgBB{J$|-!~cWJa5DvIBf1h z;{c+4!4)j^*?xP*Ymh&k6yH+ryt*1Tkb(lX4V5eq~X2q0tZBESpK&mXmuSLg3FEyL3PPC5+ z{l%p!M^AuFw})#iO}nrWHwCi0=3>@CXbNktYJIY=zb zBm%)j%B?z(@Ie_Q4StKcF%qISRM5GX$h)i8VoCB6!tJ_(%dSN%mLwaHDykPUYj2}x z1NHEBn4?A#kOXXA3@EQyBE|RRjP#uyF`w}b#q}QUS#R+#GplB;*8CFnNlab@6Lpha z6gkfR?AC5*JhOw=9UmaJP(ekOtNJfX$(3+&pLVk z;(x#y9~>-A+k-Rtolq39Mx&OE2dih@h#UJB*)u;$L#!jD{5f!F2c~hu&rt{tzY&9 zL8TCKpD05Q|0mTBaQn|UM87<|Cw(TCEasz3ZZ)W4){w@UKWOc{=Ko5%(m*KJw?A5G z9n7GO5yxI;GQ&(ML!0&)6qS%Aq%>-V=b5<|Z71!rw;Z(6uF{FNqg~7C3<_;%MOswU zXnn8#?4pa~zvS5xLdh$inRxXv7q>=Z-OU%WNN8+u)z5Veor; zbX}Xa#@7$7BMzB;zfZ573{~0t*K4bq9Ld}z4}%qjx6m!ePVKCdGqg-uD|iNbBc|yG zb#W=w733z6&SEnXwq+yUFaA5g;1ol?&Ab+_FO*DlK5w=F2$mYYAnSl zsn=@k#c3w(4z@bD=O}Yc0J(FcMaP@y6u_oR$mA13tv;fJ9u>O8l{!A5roLR72|hmq z_ZlwE)j-|W3jKGc-{;J$io&bgR8r%1db&3UusVMd{lix0nSKk&xl<9mFLcw0tFh|l z1nR65vg#AViIFjj6ihd~_x=c-*gJ^uoEo5Z8I8=dz^i!DoFBav7Sn>I?zEKV(TprP>UZt6TPa-#KKCI=cKb24FusRW ziT5bibTU@=nnoSWhBJ+(v*7GE2CvyW(C>lASb7u-l+M^NWHd1~Y#`gR%>rtsm=a;K zIj-<57^ejPmbzmqV!Guc;esy`@%K+?jkuAua5@3?@UQXt2l>HMM@^3@U*SzOV+n(F-Jrt)-e$Cu%lyIH~pe9xN}@kc?dnu49v64~WB+$N$u6etJ>Ery-=P zML>7;DzMe0PavNL+|zj$-a#72b;zO=FqLPOz^Q!4Wv*#NUO5LlM7+eJE#oPFUK6g_ zFVZR5LBj9tPKUK{qBjz6oR!y=*wN)E&tpm?ODzWw&@Y|j~Z>;`X{WLgETAqO9Fc~ zh0HPuu{L$~Crt6W0QF3F%2$MgR(2QRnk1seZ+4)c*aBLo1=y6EO+2}Nq$AP~ITn|Y z$d$8L&ysi|9sdif*DR-*RReTs?~KAFFRq~=%Wl?5ISY{ZUVr3#;=FG7k1^W(Nv>Fa zcWH3N$0d=!d)>@>2P)`_qH5t4q~ILIULg!-g>vF0m_c}g<+O5MoFK(B7k55;jT&kq zNUg6DdFofw)q_8!_#e}{c-ML-g_$alUU(YzjB4C!yi3d6PC(4YAf#`~q#iF9==?gK zGM3YU@BSD@Y!>_Ab$9!RZkjY8He4%5S!{4Hm{iZ5jb+PckccW>EMK?*mmN+-TE|uK{I5lLOBJ7~wFMsAunUWC9Kalf z9ZJ7ELZLpMianhsW3$3M(y(#}5rN*p)^`N*b)v}eXo6e6+_Qp?rVt_kU9@MJ2|S~9 zaIQcOOq>=MI2IyP(6T=1Z676hu?OupDG5H^_&4kc#yCs19f?D{a8=iquWkr04x78WH0}H7)iee8CUk%C(r0uLZqg z7T|P3EUwBYGS@0Rp?RWLqZp%Dv|T7!?*n+mYb0y?mom@naqaFCWN+VZi`3rT5zk+Y z*ZY+TOrOT19Q86}`c#ZeBW4hf5kb-VHRgbKR%6xYm4wlqpw{(&;>_ujaH*F78LxfG zdd2+>z4l?0+4@Y^uqm8Ma;=flkU_G1dsB}zPo-Lak81QwaYo!Vs5^^MRaqyts7R&1 z=8jC96d?=fFiS;AiGe7`=^M2TKh5ytylCg)wS=GMPO?_ab;{vhG$`8Wq9WBZG-%u%AB&6noV(T-;l zIya7>heyrx$)EIruf86c@wQP$noT>aZ-aLBCUFFhMCWQTsVW+iCw@khCO@`C-*9)qRLFFam*v9js$6I zG?pPNuRIk%z`c; zhcpJT1TNluw2Watt;6CoyUC|D1-9jFN$5>_yv{?hV3BP60pyidf;1=uG2i-Qwbgyn z!tJN^G8R&AX5S)V`ee-IijXkJgx)-RgH=x(CX@|fk&-zGSd8+;12;T547E-Tx=da31=?Z26(_~a!h+i7Z!X4o0_bk>Wcvg zv~wi)>TW7ta|(q|1K#=KCaB|_@1)c~?C=>rW7&B9z+9{dZ37 zZddI8$aJ_gV>B6<_d}Nn*+`pb3}PhvoTz7IPw;N7rFevy()3aj7EgJN_)gDpRatLr z;e8Ob4IH~%eZ(D}m5ncT+aUNid9;ts3OVteqi{1T9Y6)XPf%6M6_osE09I5?pwin@ zknnRo&R-Er)Oik=&;8APeUf{nS5QrI7G!A*K$^QV$@w4g0pf$DSUm6}4KMS;Uh-jB z8NE`cT)vl0&MzavEstq-{BgALPf2XSj>Awt34o*gfEDe|SS8%7t4aC`i@hF^0M%JL z2kuqUeaYq^>&kJYQ-7RFuZ|g6wzC%X=ve_-UR#jHyBlCH#aL+lg!B*eQ0_^O4S3{o zWK8E&@FbrJ!b-(BRn3F-m}f9U8mgvUR@YJZd)dPBF~P{`Lc7vBF$F8?rz56MBB^+C zL7V?oflL=#k)#89+;#XRE%TU#SM=M&XnYP5QF{qBFPw(N>P{-vpCoT1zUyQ=Q}Ntt zq|Q;@#2w?WBIfKqJo40SZDZ*a&&P^28p#U*>S6Uw5%Y?hc$&(H`b`e-pRL45z(*N9 zRv@PDL~0hj2DBnBhzGcpm;4W0nLmML4f_%MtT$Cpd4Q^nx9Qk!$ARyf1b&<@%9d;; zr+@j<6?6Bowc9>Y&H8Lw8k>Z(!{5x_YI!QCtGFkze%FN^3 z(~~h(P6a$?HMQu-#g)P4EOSzUPVFyRkTEA#=TPB~s*SD@aq0@}X-J@QrwkfNC$KUr zZ}1lcA`wS|)b+K97w1BrZfOeYHm3{f(-zR1d?FH#1g;FQ*7i_(kZ{@Ag z%1+%tPkWr1^T+;0i#ePy#JH%5v~UI`a>IHFkGT#HTGEF=g9KxxzlL%ws+UI~xqoBFlC4xc^avVnp32rP zJWZMB&Co-pU}-lyDy_UqM%Gt)J4nw`>8+9Ey7vlgT*ClXvcwwB+jwf4G?+-5Ytgdl z!`Lj=iAdW{p&lOH0w-A9*7>jS)YT4>QR}my*NjRV>997H{;-EGq9V@%@ac`B zrx=}+r4`_-S8B2Gw+Ih?FrJ1W36Q~1J`|Dual0c1`J{Z=z$feD((#KSo{M&ia8s)-!O8KCR~K2 zi(g}}%5Yi`s-ravqhQ}v%kAGmu3X3}=r=ux-IDagq+UyCbKtoJd)NHOsEsShsvZxN z!gmt1)X~MsbjoUMWBwg*%ZC5;h@Fc=Np24d!l_>f-YpLz-gby^BWl3^c$shtt$%yl zVU0q~!Rdyl*sRv(z*!^wwJNZyxB&TmiL8COmfcf@)jLmkv!TD9SfX7cE zT;*t@w|a|3hNnb5I?gVeRb#&Y3z|9cB&#A#NIdW--ZU+Tk+}j-;~ImOZupnkSM($S zw9zy}za2@ukE7~x$O7XfW6{jdXu8`^?XCXLmN6UuBBf_;qJp=sNVVk@;_o?6y8I85 zE@m+;-Y}988_G~mpCVoNnX^))i@T7%{U>3*9fxdzTf_j~^*ft`+!B+!Yqw0TV~VrB zCyGk`qn%dPboN8xlB%Zt_+Sq{b9!I^Ic@WXFlEljOE?C!4XrfcOoCn5yro#o=}(SU z_%O0+N1__XkQdSyOxrOL>&1Bv8uy>TbJ-(D#dM@e+4VnPsaZuh>JmIb5&y8d)BkLw zduN`DcQ8(XeV{jeSNKp9zlV+HTN&Yw=g;xYqM&49FD11I9fW0ly=acrHCiR@BA)({ zlsWf?tUTYPA;8Nc+tXmvB3&y2JNAXyMJQ054w?fb_)}m z&tHLM(nU}!Z=zYYWu)xXH+*^-LmN%L&@;Tb0cK(q{k3V>!qQn3GY^$?a{|*?g z^=g@q70`Q1W)))oM-k2y?7&wJp3t(3U*M)aT6WRAuR3AcW*qhqaz++=Bf;(uRG3yo z)#4g7wRWGv$1{3Gh1HL^hW$UNNH2t3y+K5nKLST>>9@?2Q;*f{I-;RT#G;r>l6=<@)`{1nY8uanX!>onLEGT;6CKh$)tU0#+#K}b zR90hvcP|clm%G&9e+!?S8NpoT_m2?vI))@u>VbQR$J`U`fHPkN-MN<7#&^dVJw8$M z;*;d`>{H9x9ivd4aJj&-(hgfqv+>ZET*b{Ut8}^NIrJ~bOS8G{FTr~=0dqwwVUJxv zEDeK*+Ug!~W|?@&Fy=R=L$Af$(3IbC2s7q{`e}IP$jdh@Q8HbIjY=5G`v2y(K^K;w zL%hMwfD^?Nb?-=cKmB|)({JOQ%daMizktVgA&V?3YJn5$OJ2zfb)AcYsHQavdA8rD zy*!u%FQ+MlU-61)Rt_dL3oDT3^KdN93qov^HR0_6Z?1=u43gLfX2vxjssC=MA)er> zEg{QgU)_j#Sr4MJ5R$Md5-ju=16Ef=B@r0$_KZWkZnmHa^T62$&yvpDchPv^(C~lX z-_}XTYmm782r-QBgsclI+TrvUXmA0Oh<7DB&N;<2S`Q^1nL)U2Hv^esMaW#=NE)Lb zBc;x7=Z$UPjZ;vvHyFvsjyOUMr zXVgpl&Ly6`Y3apXY zP5Xd&h)@OXE_=Au7}V zLXzzns4jjF$tV%xo*k!>g{7fxHW3%-+ugGlxL6MX?|LiA7bMZH!p&Inpq^%n@2oJ2 zaG;uW9%?-I8D~5`hCR#RyN6yT(rH^ELnH}z*c~1t39$#SX%aSBTi|ZL)VE{<%6M@YIFb^YV?PL2%r;`snr#a^tU72>@aUnPZQZcM$`N-)BofVc z1L8XO!&1=?TSMATGQiA;<@=8&qI=;iKkpz_=tGev)|R?=4O3e8a1GA-Fam`aekK;& z7^0A_f_EGERl98bqZZu`V zPg4cDCsrGQCWpC1=+nAU^Q$+J+EPoxs@G%k-U707Ey+f=$AXdBeyr#^^c0IOQL}#2 zP`1$uA`x!Ee&c#;1?AwuRUP`xrJ;{_UIV#j2nTH zCV@{xnu9wx24Sh{5JU44DonR6yPwKa?(dRN>_a_o8(6H!{T3~ z!T(%J!zLAC*Hqrh@lo&`YcKhd{bxK!iHo#Q7pDeJQbQH8Bascv^_Z!2nx5fe!Db>u}1U2Gh zKXl~5^3w%Tls|e83A_-dQ#&t(oDe6PKOmo|727daUWJwZ8Gx;h^J9zSkZi+L`2TT` zCp!sia{O_5_gwmPQlwI$8iO)ZdF0g5b%B~~UunaFt;FNMVdFAOqJcy4A+-l*B5s@! z;+9MQ_xB!8r3-|g3+UzQXpxz{36;yCmu~Tgyvcc5(}OD7yts~GKKWx!!8pu6+eq2b zLK27^6dS>pD`8tG*LD`-kB-7-@XikQ3$hF2?!d0k^IXdgH(^tM$T%L5hg6;&*svOM z23|!4J91BB-+!cTg4Y6_S<@ceaPlDNwC&-!#8Y+nD#$M7(^Gj*na{WEH8Q_rl<#zd zcBwLHKumIMev;>G_UuX`fV%p4V!CGUIkOl|j~9fuDiKS$$BC6=poizsesg$d&l3Kg z7x0-apf%~MXe5KFB8>s<@lm99C<6`fE?Pe3I@ArTkW}}IDD)gO!Ri?+8$OTLl&8`B zAvRR<6r;jXg961STacx+FXSGmiM)ve=fXj%S7@Lvm_atjnF?!UtvGb&O|U;KqRTgw zyYmXAC_@p7eDTXUNw0jc!DBbp4AN5L!`-!_JU`U=$SSt{RA1Ej@i^6}b`alr?#zj_ zXlLQwbu>414UzownHGFaM*BkMXdTPKN#Iu_ojSHZTK-^y4dZc$NIH5WmGxC>(YIz) z=MW`M+I=~;t34Mo<7!~P>ob1fv>$fA{VTtDYS}2r>;dh%EJO~P@nXWQjD-AkDH5q0SWVls_k zH0mz$?ow5lI%Ee?^B_NS`)q5?rs*`F{{=Dyi-@AVKdE98sUe{i-fs`2kGMyLQazbA zH-?pg=h9r^OdKoz#a{iWB@clN9ymW4bmigG17BrQ^xi=azgTSk*--$au zy(gFdcm{lG>3FeCDXLzXg=9M*%b>&)Ns4|D-{LgfdC~=`-$el@Kv0e83Cs-HO|s_l zL4%4=2kM^ozu%8)8polIAywqUze`6;c9meGxZbEM zZyID^<)bLe;UgSF9Fcf(HjYl*7^XR5jc;@vWh9F3pxtgFNq^r()80&CJR`0{hUec1<;%S%9(PRr=3<1+2?vW3jQ%ZF@P+gT0 z^dUoO_CinGIsXOh^N^L&)=aV=fDSkKPn@!79o}1p!2;s+ z&mRk_ZJ*)6KcCL=EuKJDJY*Q@d{->?jl_{RWlX1)19A9`YdpJ&Wl?rW%FM=g{-s)@ ziUg{t561cm8Cu;sbZFMLDAHE+WkLA(3L?=z!~C*3viMN!qOkQgSS@}`M#65<0tMicBP6E7j$I>4l;+HXk+L^Hp81xd)?w_>(0TpHc>N4b$k>m zyw)eiliLgMa!;K3hDAv;)9JkPgKfkWkgpD$1m{jHd+kja)9+=sF-pH%+IG_`Y)15Z z#w+3#l7Sbfd-*l3VEYf~XN&P{C*$GfN$onvcGKUSHgbr|1zk7?mrcW{nytY?X%bak zccW%KifPq~Q*7hZenbN%LQR?m85kBhw%oz8%tG2>^&UCs2NTx#0p`{t)N{X0Ap7hu zENOj(>sEdMpUV@})i#-k?X7XukLOF*P0kmk&NF4CAvb`dAC2|(XCbF(Ea8`_Ah-23 ztr7Q8X31=k;8FnjjmMumamL4x2R%kdBQ;0y0cPBwQC@MasH(z^=-aB84u%<9yHW?Z zbtP`v!p;`ggTBhXj-*Vs0!^U2LSBnJaZKC4a=p=2|-Y(lkjN5a}m!}(2TXi)5=rObE>v}2fDC_Oultp5H>Buvy%iH!^8F;c*m=i#tS z4q4*;RXc90y^tq#Cq}Q@wCac)P4|9N`{imh&|}?n*wd8 z5b(boDdiOxP_<$bQb&}-`)dMct1~&$e~QiGpbgrx@u|Qwg8wQjhaP_OdD)Cv&N@%- zSE3rJChy~}BuULWQQDS99Z%tiZQ4#y-l%cJ(HQi*`hD0}F$l|*;N+UQ1K_|^h8+Vy#cYW z-yy!dKjq&Wy|93vfQ*bmhZMj<21x^Q)PKjk>L_HtMZ(k_bi(*G$F8=jfOZ<2(X%Ff zL-{4uxZR^>n8N=l;N?mxc>$RihjyXN;=y>j>956nr*pvZhf~HsiVmbtASeP4b) zV^|DdTYzh1GOZ@B2nR*3QOMd3;7*r8_<2GaE1F}4#Bo=tXVXO-^(a6qDs+Ogp#|mo ze*}(W1y*nEi^M%XkadyDaDiwUc<@FNS?Vb8G~dSsei6vCWB}^f-rp_VriC$8oJSEA z=^EaxN}6Q72V1pe#LX5dgiORoYLNxrjF1$%p)8jl|=87m6CkV4o-9E*2iw)lBY=U~~uEsuheYJ1Y8Il4_t@-{ zlTJG7D=t}Ou2p~DgnHVKvCC4fg5J9i)T2(c1AM{RPoH7YyElYexR;bil@Mi`8|;P+ca<642Tinc=2YS0R7<1MW)y?a{09 zb8I(5>fQgPG^)NMmFXkMd~!k3kBgD@#4z}u>**fZk<9biNO}&Dwpb-Ad=*Q0m6=HJpcs`F%mg0l8l36ZKnrGv%I(Hb z>AW{6y5wb$dyI|Uwd5k*roVZNEYFCDh!(3CP9ilcmm%2-;2+PIC354N0dsFb>d*~< zL++-k&k^KleScO`XN>zrR%)dc6}Vva4-~#W5ho?`X-4Q&q8_xBh!uY!v&Ua>FT3kZ zkAb6xnV}Zi`4zNKfsv4Ro{l#UR5H@#Lntp@LMM;87q9xJN(3wkCGJcVa-1YsY$Qa| z;&duo)fdidf5^y6!>80^8S`bS+CRObS(&i|aIMc#>G3qEJ$^y%{%&gay_9Bhe8J~B z1j{@uQO{AaTA5%OHZQSIsFM4j+s!{mfZvZ;M1(?5{*3Y#@5MHgzMz|fV{~;U-*Hc6 z@z7DeJrvVUE3(m0^SOx| zX0I74`^2LL;N>*u+o5k4k5{D4rsj7b7j@Tv)NH~l)VPO(HQr;WEDt;daR{r^yVEYt zJu2>;&y{()z1Jk>|Q*v3(VelYkMEy>-hJ6KW0USdA^ z6Zim}vBJ=unikqnZbS%Dmv-T#MT0S4)g9KLKav}pfW~z_Uf48TXIioqpPXc*V|5eJ z=^|hFe$&UN_T^~R(YuMKpcgHedmT%|Hb>c(FGWL5^uw%QC!@6WHrhaefVECJ8FsM} zjVvGk5Kq4oqPxZUHo~;^Puh{!iR#J+W1-b>%!SPTtf~uGJWGypN@tSN_lqc3cQLZh zp^})4r=G#TbJMsd-jfUb5gLBUaBOqwC9(;59Kd$t5u@}*l+}6^6};YrI>)<`toZ%d z^65k$TVE3*cyJXm-R-baxiC`NJ%ut+KmX?q;BY|OU-*LBcnzaT`|j(k4*?b)QVv<~ zp0Pp)8>&8YnJ~MS2TC*3h}3Euo-zFpYE-49z$EAnSKRJKFmWlN4r2r za);(yOTi~QlDgX!C{;hVf@gR?)=)D#UB}hginhY6od0mV(ir)0uD3?q$od&!nzW;z*U=9~_%q9to>wG9KB@R2+JItjxDP<>Gh z>y;OT`BQsP&=;Wm21LNKZ0LPlU?*ZJiR#LWc zATclu(xgdo!<@64=ds112ICIM`x4UV;yz5}_zOyhN*AI|JBd2y#n5gp7sG_(hCzPX zd&I4xFjFO($Xg;oU#g*IR{zT##q@B44$&txN6`oc3Z zt1=Q9#yABs8QSRE6SKT*uKpS^@1sx9`5=qgcMBX?+g8Xi*muts@Ol#Z{>{*grV6xs zkXZz;Y%FrDKSt9Rwk66^ZzH3L|FF6HpsqWTNtF63l0Lmu$1Ty(M;9Ffgj{QFftVo0 z;~68^Zy(9rkac2t*j%k`*JJ8sg|PMKAt>P76?JFSS5hUcp{SL{FZN%m z6jmZ(O^8P+2P43DvOo=wH>OKDeLAYPqe$p~7P(^5;wdXEfeJJY8q_<3u7Yut%P zX&><`o{r%PJW0oMAEdEz!>7Y#Wcl@{+TeG`g6iU5;c8nq$b9}AzVA+|EL#RWj1P8@ zN|7Z8=AB$QOAFXM!1y*H_S9PXA!u|;qvL2&S`b4sk&>u#V9rkj;G<2!Fatz~t}L<$ z@HCvGwJdN(X-UMCdjWLr({Ahv*aSM+n>44%2|O!2Z2N5|Q=_y84bVu! z-s(Cc zpq=V^0QM~@C%az`(()Az$f3j%g}Gre<+@vvs8=*Gv${W~?A#vwV*Jsiliq9!U*2r5 z)ATCENuS4I?gn^AMP7*YTTjz!d|A!;4OsZ{+V7bx#2}c5*!h=0x3Phy^!g4yXGfy< z9|?0D24cC&3iIpt6Pd6HvIo{8Yv%!YKd(v=mn8^>Tz-;rU_I9Q_(XXMTxgEqII>PL zK?>e+ZJ7@66RsJtuavNoPv8ZhbB3H)t(**p04_fWWWI{#_Ylk1(TWydyO-( z>tVH&Ngafm>H{M6C2Q%P*Nn4K{ZO1WC>8dIxjMPA1gr1-r1lRJnclBQz*%MtIn#}h z3END{10N&zA@-rwd#?LPt4Co?^CP0MZ3I1hHGKAZ#CmvQWnn6@s1OqM@sG5+E14P; zK~$2O0sRcj9f}$Q7+^G-lk(BEbKXpBJ0%d654k~d@BG$#`T2r20IPm}!Ms%`Nk@GJ z{WtYFjTv4q%Hr;Lg4K&r<(9SfQ323J@v*7_VbqByyz2NU{!%{ z-u0esd36YQz78OfA_uVJ3Q?b(C~k*BTeOaQm|(zc0ZrVbXHhnFRK}Z z6BkAqxgTieMpH?_XB?Lw%UGa`a3601{&WpHgw$iV8ONkv3KjC=o&Y^42j;`vf#-V= zd@PY@e=J?BvR;9wNiQ(G4K}z}{zjQ(U>t5-sR7O1r||N=jX3B>n@|#w1^S4`;O%`1 z*KiOi>Y>(7-vXI5XDKWHM%A$i$i`+DR_hJ)<=GiJ^(+yQjyg*$I4@|gli{8Q`FN;> z`uXMq9xAjx#wLxcB~?Yuz~4C$&veLtIsXF}oiB7_9u-lxDGD$^A>FaMb)nJJt5PZ4 z?=In5(s9@gyjSyZtt}VLOR=?Y#P6QP(Tn_{dpD@c|J zMHVfy=$fmd;n7b{4CQU$kqk~ADOmrLiaCCy?9&%){`YlV&C@AFpEj79c1=U(^NuKM zyZS;#loB)pS?I0kiEc@VDRb;PFIg-cjnrJo7a0gSzktIupM1`CXJ50^hs{n(8|$kR zzEdC(!_ulXG3=y|&CEEHrL$LvDne5{f@E^}KG>tzQ-$CLW>+xe+|6m87h1cg)Lnx7 zSos*t9rP7Ta?8+*!L7P&@5Tkym|B4^>rV`lTwS*8C{^8MP{7x740C&sbMoNJZj18L zbtd&YS2*>xVe00-q@4k9uWxUndk3ChK8qdZQ+^n~~!7dRh|mA97t%;#rh5(u*EE=i_5q2O6FpQ^ap^XU7ch*34%(L3GFmxWd(3LVrGwpqtUJ$B>y`nKtN%ByTi6>Lr9HrgD;rS5 z+NJ2j4jv4c;gYZ~fEgT*L;}VwQdT$^viE(6>#$zKC1o2)P0|V?hJG^1VG-fCyo3yR zBa$J{qmqGJN%FD%lVZG8u(JHw+o;PBIKy4aI8Yfy%{$)R8 ze)R~IFWAFmFjuI|MMrBdrJ-qg6|%7GAt9)0Yh|lM;JLhuxp9zrJYgl-FYsU3$rWJhYisdT*Bs`^ z;OV+rQ81B>38S)>=g8D)4b9n=f%5CthW)huGf?ky#;*F~s%2uQX0&CuM#U?8066Xj z!ZH2^`;##mP%%mQcX3LT^~F{^JjX#3(m5)T+ackH1M>sqSE23bI^oVZ6b zO(q|(y8Zx4wthi0vvyKL&21cPw{W>seh7>HbfYteUDb-?P9U~@C@nv=43(bIVORO6 z1^wmwl?9-!uX#ENaznfzll>HE<0_Et(~CIRqpywY{1P_eSglscsl?{SJJI%Y-m?oP zdnRb)Wsoq=%4Vvss_ z0;*YG@cZ0BQ)8d&B%!AvL#T|1AWMfAcb`mLa!kYvxsUbCN^1VK4@m^#z;t8A=JYUAF56sy4kL0Sqp+2Hl0lrKUt=?s&yFTS}>^r-&q3W9# z;rhRfmqql(f&FfVdc`Fpc4s1)toKYP1;4c^^tJAt>$JJ4Ls7S$=d`A2tEuSj)f6Ln z9bP=Jo^5>jExg8gJpMBOl8vK(HRPl)blp5%ibPlnTxvThyIn?)h07q9tCfgnMbWjr zDz#pM7g%OoM1=|o%um^fIno12Ub2Qrn*dLU-VPkVQSjD}qWt3P^iY9?Qdvm=xSr`)c6L7CV#X-LDhKu5Y%wVz=zmjW0qF`=N9n?OMH)NK9 zZDa=tr)9CWS~ik~#dB~*L@fUJsEg&-KgUO>vTAYrTB6po#2|&euI>W#Ie@K24#W+7 zS0b_LLz&bl+L`p1(x5A+7A6`mtnQH1C~vzAjvZ? zlY-E@uomLT{!``bZUmmiIGF!t1iHURj=(L|V&3QuxZY4QqgQ`+ctOwE`iM@t#pU4G z#no$>&WN?dv>}B3v&hXu;(eQlPsEct+m$rudjQ~?Sgwc|Ne>MNOouwfRji zNdNuMwVD1SaqgN<@Xues8lOWbup>eS8bP8NT0}Gv-l%%p7Niu~(X1pl%+pTBYVC!0J<^8jdT;=VeFsv*LpQQriL<@@saQY z&YQ)^RJ4_FR4aVGPAQ|cP35*ODb^#PR*b_Id0WW}uDecC z`4m0qbACm(?J<-;D1xeY_lNcO7ZDzV9w09kceQ&{$6_s(&fiT+Ek_YAg_a}{6W|S6 zQJrrAycaQk=FP6b1?k6NA1EeKcQ!=9UIiYgxmb3t32|7%@>*Ld;p*$KCMXVn%r$2^ z?LzUh&_mW4OgqehoI}LhhXe0=5V@~D!D!O@Bg31gQ>w(-}N!0h7%oH!l7gSn5?r zG+%V!Yj#GXT_z7NvvVdJu1?ejVgd77^GT=HH^V&NHgf6xc{beq8}vg?uulDOXZPu# zncRv75BfV$e9#**>McT$@;GpdLG2B8-Gkcg4iB}a8=JV<4=FjBb%)Bs-;tS{$ zTd6v}nUsbrpzmu2yjYB?AlqER+mD&FWz;;qk;;tkQ>H?NGw&%-XW$-M5x$=RtN|JJ z!dwce8cn^;WBA2ds$X|sYcND$eaTef*e;|(`5DBID1x)N6+C*QFn>cn$tYfrGSddq zOM99a@jbw(<;SoPcWFmPOLbxLhv<*HEsQ*_fO-m_poJ6rfG71Cs=K+1iWFn9A?`Ji zOrTik??O8TFH!NeeF-_Go9MHk^9i=T7&J*?RJ`d7_|3v`&9)#KF?Z0&X{j*^F>eOh zmHZ)4-4G9Wxd`%3^x$)UN&QR@jV{}3PI!I1$mDNdwc_4&)L5O#sO6KX`b#0`A#D)% z9}64ttb9T;^~o`S@srNJHKga6U9P;m)!6J!BAO7ZjS-d1E-1dx_$vB&_v;P{QnOw#P<3C literal 0 HcmV?d00001 diff --git a/tests/108.out b/tests/108.out new file mode 100644 index 0000000..655de65 --- /dev/null +++ b/tests/108.out @@ -0,0 +1,32 @@ +REG 000000000000001E +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000010 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000000 +REG 00003FFFCE9561AE +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000007 +REG 0000001F9AA70020 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF7FFFFFFF +REG 0000000000000000 +REG FFFFFFFF76D1FFF0 +REG FFFFFFFFFFFFFFF0 +REG FFFFFFFFFFFFFFFF +REG 00000000892E0000 +REG 00007FFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000040088088 diff --git a/tests/109.bin b/tests/109.bin new file mode 100644 index 0000000000000000000000000000000000000000..7c8b965e8cd9bcbc3bdcd2648ee4a97e89931a34 GIT binary patch literal 33468 zcmW(+cUTk4+YMNVJ)x@zK@<=a6HFihgn$iuC<-b~MMSYAlE7{?vj+Yed_>f6IM-{|=y707I{_f7*P0j1Ql`kYWoN zdX4?lPEAemNljfxv2_f+#{Ow@IQjJZ4pZzf{p?}-*~9evD(UxKqu4e28`tRXUW+l} zwEA>2enqiYOporbVoiF!is@;>8QZ-Fcm6dKE^FM4yNF}No&TF782g(uf?^|BdR_FV z&G{`K%lSQpVpCXpUG%4Y_;AeF!-o?nmcY{MqCag8CuJ;$vz207S$bV$OxyJSD0YHk zCn$DeQFq$ra4IQwmtuD*c6Sk%wmF zQA|WJ5yeDta@yu_?_5-QtWFShxe6Y96t`ndf_z_YaP8V z<_N48()**BjAAm1$rf|uGK$G5HkV>^DK>X8CuJ_hVkoAgm`-QJ(JlVdruRp&eH7cL zGv@50*glHoQ|uzeF6z2-E>i3w#VRS*OtEGim(xtKW{R~^>^H@J>v){s6#Km}J#Yn* z>AJ{~ZcA`YxEqpOZb6L8Hyo|MjOwZii8wP19qkrR1hRhEGJGyN^`;x!!(tU{SZIv- zLOHT66_CTn3R%--@hIc!S**+*jUz0r!?w7;U_7|)m>JmQE`|m&$*@_PKJ1A0enq11 z1ztpi$T^SHR=-4=j}Kt_^9Uw!ZG5PD-3Bb#eGRM6ZiMp07;-fGr7n7R6ngl4)S}w9 z_xPlmaTiT|2b!ymz^hck;ozBJFZ#^XHSpI%=krQ@tz;%^CO(GQsqQ3d^iHgijl-&6 z574H$595NIClDreIGhM|WEvh`K<&@Y>l|zDkar8q$J8)aNapGlAg$!!lD)5)p-Uau zqul~=WyaEosOv4rqUk^6RK6b7hR?u#%DF55b_X>#U!I`FC(JoBA2a;|noQunpOse}qhb^hV`d z96*+{9F-0jOH4yCdKY;*Li4bki1YJM)bo{a#JGT1e6z=zd!xyV4trJ%0$k`8tm8Y^ zz|tSK+KCJM3ZoL-Q6oQ@95vpA_)&H4?nkP%Hwat;X77lVNH_OBP)I*>~C3wn% zisjOHE@r~j*bq306g*5|?C0B&U4^p=&v^mii%x>4v=W==|BHAEhRD9pM^4;@{IYH@MT|-C=Q`akqzPZQX(O<7;?+*AbtHNq%P$Vb@@^Z&zLdy%zeW?){bJ7bv{)gGPa$TBJYCeGN)l9_jO^!pB$DLaV1B@X z7+RaLQ^8jJ*}+`LNQVH^I*TyknEO!E;fbx36S3$ni(0Nn(6N(BMBx@7Hr~Fnrur*U_dJR@MQ zI#f*dI*s=c^FQOV0r@EDh${{{aye2mq7a!1`y$W$^+a>wIWZFs!OFW`3nlv1zE7 zcyjre*RctjACJc7E=h!6rALBVj2{19K6`BQ1GmP9wjl1?1@%UOWQfxik0+NCyeo7) zP<~+#El*y8`BHcK>^A;f&XRFce$}oFI(#@(Em(tcw(lFA-sDC4#qSu)j9ZCX(yO2* z{4K%vhlOb5M}R%(g4IHQBt4%=;=f(ewg+_x8%2?Xz1d1Md4=e8jAwAwRVm94vc?8s zF8oYBAP({F5gQe!#ro=xsG?hUeEEZs%jV)Vtt>nnceMtArNI(&IrRY*-HK5~pTnrk zstySn({x>dSwvdbMKX7P1DWw8qM9)f1WN`GMfG!1;qU~<4Hh{YidUkjQ}MtrsAU4`b@+STVG6SDxvZFCk9rgv^${ppNhvqJLe{8vqHCzH&Mn2XQgn??qTe`8M6Mb^o*)M47KG6uH zcWXy}igR%@EiJ&Z)_o*hc|L@_$wy|Pw?OaRkEo~ZCnArHxNh40#e3WwFGLyAPW}1hK zx6WTQZB}x~pFQ_*NTg1-XAY9KO@jBCFNIS+tYKPqTwN{?p8)prHzH4NDlXl@CqXlN zYbzGYktEggVgd=9@fzs|@1gUjhn$~Zj1_^WQ3rcN$CG!5j+ga1 z{-*Vi!4PbgsUm3-8=v;elXdeQ+O<2^d|^-DKdKd}&uKGWRN!QHrvzcgRj>*iiY_KR z8e@2_La&EKYyDr}k|}Kqz$w*{h?9>K^Kb9zz8Q%1f_m~c>#BBTw7ZQ*%ye24yoO_D z;+4Cq@}cvw2skwth{d4Z=+XWav+TXDDHd!^(jD#QjLj$Xj}-NvjQMO7QFN_fo$R)T z3gh2krsS@DG_wwgyN^Qbpf&hq*tk*AJCf*pcf)>8VIC`zi*y+&1Bv1E8@$1OFY{)k zGMU4DL=Gok(@9sUkm%Pl?3&x%jtw}3H21sEuy#}Jj`ZD(xyxZx8rBmD=PuWB!h2&* z%o?or+m90Wozx{ae?ZboKNRBIhgHjhiTJWR;&QU&!Dh`7hK?MZ^zs`PMa83*E8B@{ zUwbC{pHU&Q1HL%p*Dh_ZiBqC`&x&O{oc}|Ds^eH44_NbL6shO;1uo~Z+&*iqRP999 zse|VcQ&0txzJ0KGUHDNs|VQOI>mmWB!7Y)34-Ws62%obg|$1QYa+fO^Xhg|%QHj6HXmT>WGZ57x&?_y ze~9*vBel$UP?sB!z&{%?)$<^!A(}8_Qi$Nj0xW8E1);o?=nKjSU#Uc9ZP!Q|uT@LO zC#or+zoC7Bd2=?Qji+lE@u`zo5_%A%k7lEx$-<<%LKPNQd(inD566O5>IzSf_c9aS zBo^))uxFqdtn2B zH~*<&!92|my_*~^Hp(AC>OUlh8NQo9cHc+1#VZABm?uy<>qev`x(`ZI-qkg~98Bz& z+#%9uN3lU^iOhD#DvnUTst^<)87BpVoGrL(dEOM+Ik9i`DDoziB zpd25PUKxpJ&zLgHz36|8+V3W|s7@daOFn=&KAlMJCnDPoEol6k^+CdhN~94KV|Gek zl6k`#>Q&c}NZ{Z)p;g9)tjwYFrx(#&Q8RWds&)1N52l*P3@kE~Un8Q{hq(Jd4J#2Q;O~zgE>`EC0x^AmrAK$;sADO_ z7M&y^%T8!J&t)RhCx}Fn^eDA>7!jYHgzKN$f~NajJZ5rth8^0ByA;;Ybig@6_N@bN z?dHXZF7?A4p%pxv^jUYrxD9G*l0dkj45l?_u|?n4YbEz>5vOW0s~NEkYR4HlOHQ6e zyoz<`Z?|`>e~3`09$x^Wfo0kZ^=B+C=|k2p^c>|8-UCeIFA=@*Iyf2967)5alOQha zgq-fC0_kQ~Fz3$p_D`9G?GN+S!qz)TqS%c@<%x96k3glKaY!1&;$3qtAlV}$QZVY) z{JUeg%V__hEb$5=F%+X*n@LjH-YLi|usi72+JUm+A@Ftw5ZPQ`kfnM+>B>7;J-Q4x z?A}h)>uTw^3B+oXQ>3A)J2F0)s4`E9#|?)MIh&O>5Mf+zBv~AWF7wW;+I6E7-B>$4 z-Z7;G8&c8<%UO%9UUY|`cp0kOok-{&f=$ggBRz8%9}vISs$YFVc9%!Ox~%cbO9!+f zr}%r2pZk+}Diek%I73LsNWFI6s^rC7PGf-O?F8UYuEWg^F@!ld42wEGgRmo%&eQpz zS=|lWysckc60?%7>#Mcd$LFKWhru}OLM7g%T1(iFG9vI#MN+|k*dyjQ$ga#nYJYp| z$n6f}W#g0hPp=}r>J4IxUK93tJ?V1jgEXT3#HhzdMdOlKqJC)x5kE)yl-m7=1viY5 z=8OP2rT#}`f}=QT%CwLtZ~Q~DL-Uck*Fmt=Ct*p)9$3{&J67E2gGCB=Bo^GF+;%cP zP`pjO!0K(B-60ieae5Br3ExP`!?oH|?s2-$9~Q@SEzBXN@n;Fc{fcX*UDl3!IY6Px zS_kECgMc$}i_Y@JAkt{G9hq|`A&0Po0@M6_V6I^NPxRDai4~7@rd@?HJ1b~@Z=h>o zJDSO@_9^)Hd5C630B+7|1>Wlau=G(ItxsPg*{9`nPcMXVr%lC!_CM&S;s+)6Mnen6x{TcAF~mequZBBwSLx^xncWK#gE;wrG=djYLwtB5Kp z6PNaz9>2sVm{Cu%K&qZsk^P`$+Id?7BgDVGNoEibH7N(>?7xRLju?d+=CGjN_YE@+ zHgrtACO3cD3=vQJAL5VKvZi-F$MEA*k>p1sZm=)H{!N~wBkWL={@mb1F*p*tjmPkF zW;E+5yh4<=^HHt!5As4%$JmCp6Q*M-nt6FMQ^WO#u9(AguZbsYktL4WR*BUWYY3~* zfu=PB%NEo@_xLZ-oj1F%UCt@YyxBr*u(iy((io?>OebRg@y} zv{Asf=405F;9H|qBemfnQAc>uF|`C+e7p4j`_+Lpiz9f70;Ik+9`R~-kj=|BMzId| zP`s~BSJSiwm-^9tLOuc1f;(uJ>>uoCorwk{{H>L4i6QqB2M^H<+eY}CQYc>-Ow_$( z*e)~5L%gE}*gXYA^4c7DwF|JtnnF~az{apTPssa~j}SKj`#R{sUgr0C&}qJ$S;$s>sX= zF?unpT|KU>s;Se{MEmK>pskzsi}m{(=KFg zzX5(d3$C&j@gIol^g%{_KNF<&l!waS(9b#}rR7r0d`pMZgYB6On~%@dg#7}&vIi=d z);(Fgdk{{)Z9{9fFk0V6jAO=yk=pzP^mCKZF4YU@$`_!Fk?a4|wJ1os9t+#9!H&Fm zR&qH2o2~nSZ6~IXVY_`;$FyNsIk66#zu5$)Z92MEY{H#6Dx_)si*R_evD)f?l&3p` z>O&Or3>Sj5$OMai{u&b{nTRbTqJd4gkNxsq&VRNeE=VdEkF(mY&{}abir#n}Yx?(v zp5ret&FLo*^R|g(P`;dXyEk64F+RCs;aAYyIFH1sT_EysfoOeCl1Bnjko7R)Umzoy zlS|1P??J5o(OM9eZ$TaB4zrqwv4jclPNZ9mu=@6L(YUZge%al+My_}Ug=jjG%l>M z7ah;9eqed|Y4rNxj-ZO7iKx`?2(c1e!_!WFW7HRfw6^I5nZYB6no(^;l=Khi>qCh} z+XFb=YpgaZUj+KVM<9})BKieBaCzX)*$qQ*h@!>>Nn#Xq4Y&$XrG8*u5`3g+C?1HP9uP6acaRioRE(iOdD#YhbKxSwGeQ#XsANxV~V6?R?7C+K0 z^$QCX+O9)g-2Kr*29I7;y7(P3yIn+UttGHowQaE^=nE3ZRN+%^JXuL)4Q9AgQSPtZ zL?-`@Swl}((I1^~gcAhY(@DgxLKTMwmU3DB+>KwkCBWQojs<9Z?6D zcTcfWOWi-B^2eu$S>SRMMY*SH)l==Vi|J#_j$Xy?OKVvBC7yq3Z>(Rl3RL||q5QKH znD}7Q@NgYjTryDg>v)PZUAh7q3$LQ&6|>=)1fPw)(>k7p6Lptn1a6QIFO@Si)Jqma+u0zpF*ZaK1v#nH5@#5@RB&oKG@* z+az30slV9#88TxmN!<*Au2!%K#9Rd(o9-x2IRI=;e*=f=Zju2#Vb_Q@SgwN*Nm>=s zC-fs0LkmH9rZ3#N-%Y2XYc!jFgL3R`@a*(HZFEy}kVSbRv`=yJR(-jE`E$~659y{4u^qY>*&XH3_df#4GuB{_Ha#-UDFgM{k3`nmMVRzS zNb@onYhJBF4HYg>uIt1fT;~L*KM99Ttv%UB$~{^2MU~2N9+n8tf}wH;(L_v#^1pw8 zX(`q7q;pW$Evg^ycLDvQ&1B-644qubkmwU*i2aQgB5FDew=-`q)yw~d;66b*VQvR* zT>Sy;3u(PsXiv#n7-J2)8%yVzfVkrpF={&qPLu_^PT$KgkNq+`+}zF@XEhFIp9 zlIRC}ky-UQWDyexly~Fi;uNB>o(5|F?ZiAsh}C`RJ*k6{KArB@f{*a+==2qD-zw~e zayPOSt`i9RJ%)%x^R$fjMWpfFLMj&Sf~2f`bY}kbh$NDRWVe1(-A;$awR=hI_A%O{ z-6lgzV+yu3YMV{D9Z`LGLiqDP5%Id)RDXR=#&?G5GFPuB=}$s2^Y?gku+y+GxqmR! zPaX*^zA@lx+B-zjF&A!HerBXRZ|F=r3HBl_lv)*m>5qTWPvhFimI#|ft5lYh?a;!W zLG~i?BojQrC0NYm+zBYn+lxzQ&R8V0O+id~6dBTVCb;275!Dkc-(chlJpL7dL2z!=!a<4E0-G|F2ZA&=tEq?1<;vO%Bmrs*R@Y)%>0 z2iHT34&$gqImo7-M#3adq7Y0V+YZ}kC4qUwqA~~bm|>u4u|)<&cVc?{EY?ps3_NBU z?ArYmJuMu<2-E6_?T1R-Slg4Fv)L#a*O|XO`(lPlGpReW`mvHQl)svG$T6>a5s^gi z1&cioDc4y7X89(R_f5q7s<%XC8G|hHEhs1R#mQeb2h~0Nf};NUjg1UvQFeJJ)`SIs zRpv*OzB^9)BITb^BIQ0@FH>N3_)&DKU+0pl?QSAT{s&S&y^7_9`{A+%uDc_501>7< zA?7zLP}w9U(y#e}!m^V>WvdR-{@W1{cfT`&JusTszaC5f-8oJ@;}+gC`iLj5*Gg1F z*Rrn1D^bS715rxH@sMuvU`6w<*I4zJF^G>xVWI76WICgo$%^sA{I32aq|TjTo8A&y zrxG7-YUD-EYndlEgm6;r!M-aO%Ih0(y(j=@$6F%vDa(j@PA5vA76`%`FT^WeqT{5A zaAV;_)S@iKmOkStPr3#zQw7LQk#O(-N6zR{w?SZpg5G)kCCyZAql_+G(xPix}j?usSsuGmp)P=JsqXqtEPAU59In zTdB7B7xovvL+TYCm=S)%iFX$S!CxkRs!NYSb^NPaoe@LS$%m21_XUV*Y>AJm#tsXVPhF&m8R$GFt--xCY&EF>FB_nHC@<#C%xWqYJ<*GD@@ z&$LCAr>i0?ihqFmMK{ctRtXH-(xq(2E4o(%lKR&Z38!NO8GhMvF=Ms_^Na70k#m0p z+lvkpL!V%f2YTV!nr(#X9gPg}&ygmjH%U745oC{|uzF`dBt97rW|jVAer}Aiq$x{R zn_2|d^>czcQ%)kuRxxJ(zKmX13%td=P_TO8OFp`4*)29fEE}*GN$Uuah_k>VcqXw} zkbwF*54Tgru}D^&Og7rON`!xz!oB~kSZr0$3;Gv%YRkgxQRWC1WY0F!XS_jj=M{rA z;3jSu5k=~r{s(6JrjbmQF_F2ZVrH`vX_Bq6c-mdUJljvi1xqo%_AIRzoKfTCt0S{w zry*0D71%6XP4vOjP*?s;d;%(#D&&zMIZ105%JcYL$Du@iPN%+COvi;O=H2FMO{W#1 z70e8V_8n}A>_6K6X)4a0!9nKvRGT^ILU_tPU|MdAgOmY?txkvK+Xwm8@3+T1;c;9M zqQ+$#zv3TbW-jcC0Z5wN9;KdCj%U}juH>n1;5~IeLSw7TmMULfKmyq-qUq2Rdszlb zk9Q-%CjMb%?OAB?+C>tl8Wr&`8>iKbcu#%47|J1!Ld%MW(B=A@bd9SdYZEt)5*Jf_ zVrC)8zNaHzOfwP1Yq9-K8=S$+qxH;H7`UlLEOp+C4;moaB5f&XDqrE%xozyO8^cM~ zx|w8W(mUN1rY4G?QUeys5VUQ~Fjmy&g4Bzfk@aKSVBw|xR8#*IFoyS2v1_0X@psoD z*N0n2^K0rc+d2ZLxIM7@eAZ7Z^)96AK?e$Q{tObk=@6vo^QTTA72k(rN%dXm+WQ5z zwVI6WC_Ld3m2(45SgEvgs{B{fP=8+i<1OwwXbzek5x7BS07>jaNIqggd1Eoi3cdiZ zFbdnxxdCE0gKkawJ~RUvh*jD`EIU{N3(uZs^ENl3tnoUuWmMB>NmVnEag*`Zq(Q-X zT%k|zK}Q*S|NfHkohx^#UJ<7@AK<-?K$4Mz!1nE9VlVm&H~JsJlC7_>XU9IW##ktE ztT;EM^rSbIX3WE{li6g}D})GZ`jf7}XcB#J5ES{{9((HQt>E+LdWT5!!%@fjqQpcMl?zdXwJcve<0-f0&v26l9y%VUNIvAc!;dD!sf4G}}*;Y?>D-f80j* z4*!6z!EtV#Q&reJXEW{)MzOU1_@m$8zm^6DXFHF?M~qW3>k>$sAAOSkclrEZy4=NuPD$^zKE-{ZJ$;7PJuF!!am#_(ZIE7lK`NUDAxEPsH5)GxW1~ zpV*(nVR<~72f2TRc(%49!}oMN^lIg@WRIgL{pxIJS9K3Oq-I1ucazSv`UsY7Sw{NZzZ+V5wi7Yhu}D)T1&#b9oRC}=|M5Lv2IWMU z4~j)WJAERh(zirrIuT3qC*hWB8YHpXLx1DyShjBYpE`@Y2&@R@S2kh(DG?H|QCO0C ziSX@*<7Ip!t%8$@rKT&WXY$6M-CPK&!G|0gYXfl0p5v5%IiPI)JS4LIMKl8@V8N*; z$iCNpR54GBjNYE`P=4@4qTMGxd#jSE;XP$y`emTU~6?$O)YXTL|jZpo=4lGTH!5xv2%$V%mT79Y=4!aSwaM|*J z+2U#6Nh7m3vUD+qyqZ^}>)=8%Kr>m#lrMrUXQiQ%poLg0{0I1R?m$=CC}Om^jm`RT zglvGv-u!qy;TRS`#hn$&)Q>~GYu;(CmPKK)%$Q^!`i0hAb7fQ;Vo^o?a9pv)40S%v zA>yt1BrD$IPu)TIHB`T?+(0tYmZ46mC1mM8p<#tPSf*Zz)lNbjIITme$(n^FJFa2* zrX`DNq>G?@OdggwY#>(X8mcP^W$SkCLA<~weDv5LcKPbzj5@gz4?HBD->>~jaFXwO zn!oWwH;=4Vu|tciechQOQRZ0a@U3&snE-i}bOok)gah?@3$tr)*^7 z8yiE+Oya;?@DbIVhgz;DYWY1Z?8K~7QHpItwb`j1L{jXEObc3xD5eu$|HvNGw5ifA z0gs4E@;y#Yu9={9j9G`Wd7EL^Ksjy{9VNmi$5_d}z7SVx!?>R}(s~%yBFDCUkRuoP zNOKC1Rq;8>MN=_9{w2=a7K8H|?vT1(^qw!BV2&8aqsm*yY)5r>)K=6pSlvDV#KU^y zTuBn~EPsk6qCU9%Z3k|T>gFSfokjhu0K(fE>|!-?1pc+g&sWwOjy+q=aK)`t(6jJ} zw`ScYRKIBjX2(3n^*e2edC5Cs){%&7n_fa@x7pPHX+!^;Z0T**RDgpFg)n8XTx(iD zHKVjC-unF0G<$Y~X49%kka87Xc4@ax(rSe3{Aa>Glg5a|tNfK&P16Z)PAz@M@t{^3 zh=aS#hiN?tcFXruY%P)Y#llaR*d zpE$#cLkaLd8WG3*L@X@d3634TQPjwi{wg6ywf%-RyKW)Pi{`>`^UvB` zb~Hp!n+N>xzF5I&Ad)5%Vkj?0%HbC5&F{s!>~*HdGSi;uA}G`>|@N6@A9_l(%=sO5y>VH32%0C{H`y$H91F zZf{mvFdhthFQAsGC-DK-(QKoPKC6HWr7g}l=z3p9FffEH{*n_S_U{WCMHY7KSdI0A zX2RbYoy_Qrc45QrnQ+=?HY0XAgDW0iBx*Gm#7E_L$A^9{9x*ETSkMw%?obGEw;s+n z9P}caUHWP54i6;ut3TsiT|Q8eP=*+eJJB4m#@X+_k~6Jk3v8DKD}^2FsRvK|HYzBVN6!a3?z!O0tqJ-n#bIL+^kVB zG&fPF>c5wAl!F1wVuEHn2~Wd^OD~w9ni#a}<2NLmLNzk0cc`@SF6D@SfyFmpWayYl zwfXU=O8$arnaW~^fm8x{Y^CjrzfU&Zm-sL_35ZqR$LV&%wDu!to+ZnRnAc4~>XCXP za<;=7lbwhusv^o2gK&9;#2VS~0!JWu;6l~_nWBF%-F zFlMdMP}$A9$lN6dYr2<_&bg1kymA}j<(JYr>jn~rhr*SM#Y?i~l-uddU`uSxD$%+Z zjQ-mRq#kVs(;J5~LDdmp8F&Zr^L+__@_AIN><_jVDoG=MBKF^Vf#Gv@q1?YKQC6&& zWC&*BW&g}_$QwyD(!Aq{-#UdDI3jHD9!&6~%Lx*$56RO_B+|BHgni@+*|BR$>0)EB zoy&qy@t8n16Y3%SO*+rpP_1bLV&|EYlO6*k`gl3A-*{@Y$r>Irq}50v zbH0fjYxG2V-j90eUGUNMnn*c&EK$#_AijN8s`>u+iBrrBlHbheM5!l;Ncadfbge^1 zKYFrku`8&P9YLceNFC0@Oe>;&xrFQ*cnI$_JFH7plG`+jsM=3$i`uMb!J& z5ym+i=5C!BmQfyoEn}XeE@3^MxiCbV>3_Bpp3q-E!lQZ{EI%)7Dool38A}HQ^S-yygkrqr$P>@99{-Qp z^&uoFKT7M$Hsp5ds#lMPv)IGaPAa*ad*i4lO)?*zBFtZ3MhC^{pz&!4x&H4@o%okJ z$@D@!k);MBN8tuwwuteK2nSupg$meiyO+`Uoxm~U@5D+2JE_J;`($?z)?YCL6;ZHk z{uq3GXOp(mtOE)20WxBS6aK)ySQCE&_5D({eE2IjN!hCstlB;jR!^q!GuKQ!?8}nT znw6_)cCrwpAHnBH;)xK6&qe%N@kO+25@vlp1|H_wqc@F{|0srIE{4;CdEU^=Z0i9I(F)q>xy*}a_Y)Ou%>d)OK>{l5_> z=dYy0Vu!tizY?1!jAUy#nMh*&FIHbK2KyWRKq!2Q9V@4TXP_j*GYoBXHf&W7D>OfutjhU84>?Iupq6L~=iD&Lz)1%2D!A2$emlX!r5zBLB%oj{NK5Zp}w7z4k#z}AG=^#g_*Y^<^qxQx`y=~ z_mO%@EaYS=VvD_?WUOkKLwDS>qYFkYB4Ky!=BEW*uO@L$Lr1|m~Re+g!YNP zAv1&7b7!V|cXpIw&$P2hA*`nU>T=TD%>E-FzeupUT>ek)K%R9Bi;qvsQLuz z?m6)GZKoo)A`fJY8`bE}U}=#j)L7T!iu2)E=*!0yx>CYxEkT;IBf(txiTu>N`pK>= z0i|Oj%B=bb=_6CACoe?GzYfE`;$zF0q9jyX4k)*U>IKCyNReCQoyTc}AYNY*^G_X{ zVMqq`%Gtuq;untI$|73SEhn!MhvlV*@~mrpGWpx zu{7)4L8Mavvs?4Rq*Nbw5?rKN|8FQ@i=H*FAxQRE2kMTyBum;!tfWgZ?^FOe9q^dh z*g4it_U!-?#aIx@$t$?RRgO%BAMrJ}Phn+wIizO)Q*BNDX_6K6!+UMHO_ZmB_5p7a z-6t_oei%g0nJhpG?i;YT?|`~jBSXc@nuz&29LOX_b0u9&#}RlH}; zd8#A7gBocw$?G*dQEf9E$+%~+IRE!pw)H%%@6X~{`BQw7zeGW;atkTX@WZ|RG`fPZ z4$SqJ@00m+Y_Ut%_C&|}3p+>l!crXl+aK?is{2Z|;sYW&BHPAU_4pP%Pe0f}% zU5&qeq{7e(_0*jW60ClW*tf%QU3y+bqfs{2!u2TizVp;m8i!2#QXlDu7GLoe%Vfr4 zEOcBBS>XdQk9!4~Z~h-1R4_FuE7er#SzU_F1GXUjvlg1Kn+HyTGq5?sC-oIYsN7*J zs4vH0J6oEAOr488QTs&Cc>?L7T5 zRA{Xx=9^8B=65DaFF$|;0}DYitS?gEHlU6VdUtqT2F{_UG zOd7i~kwqZ&aA#;CFJd~8R6iyjIag`!@eE<*Cs3(ihqU2TCDL>2aG7T(cHR-UbjH4R zhV!JB^=xvnIohp&n78ewnZZg_SD_(~uJ#cT*L4d8BcgEQ2qSQ6`;W*Co5-PunBjdf zj#6i>BARGN=-l#vs3#TC^En>~uXZ0j+cJ!}cTCZBe3&*=5=ynE%^Y|=KS#ogFAEx_ z&ebZj=y{A|cKGy<44pbB9L&T!K%My?FlLh>X~sx;o`-5R^)zD?G!X@Hwt?`>TFlNH zh5f51VR`s69PG24sbBpQskv83SLITo{56N@Z{0=W$~wAs@y3Z}uR_A&$so%01$Ogq z$Q$$n`o(=u7<$g2D3OoSwfxf#6|Rf1^i2wK;Qt=U1`j1$KVl|BUyUR!5s>$LEX^!_ z#$q3g&D+L;`2qp(q!)?QY(L`h0qe(E&^p-b|IZ$2tW-F2rwQ@Qxkq(B>~CJz2W4^U ziPXgd`i%YT&Fr~BGfXFN8O`1)XRC<)^v6T>ebOQ8stssP&LrY{!{EY0v_N#%39O#b zyvUDIq=_AaYX##$H8GlIBD|1O+Bz&4IF)7sP0=L0HTGWAG~K{~?L*85d7|LSpV`Gz zxa`BozuaUcGZ4caLhob7IH&S*qJFvpspHS#(yx=rtH@rnrJttKe1Z{KKEzL}nIone zPp?kn{FzKn%c8Dy5PANB#8FxS3 zpQ}O7n?|HD%{b}Td$2Q~Ks5&|9nG&}UTOtWpG_bc*3`pF%XXW2a|*M1W_L!M{{huk zX&^dw7156ygH)soB-B4zdTcS9s59~+RuOjCe)Tk5QS_9k zM=qy)(2w?;7|f+#K(k3lq>ndHPxA^|?X-4)q8u-<8&r7R7_4DTt_2_<3>oI~gn*UtKxX?8(Zvd`IIZfjJ9TA%T zuluW6nR71Db2I(uI#z&NUiKo|@tLY;LqXSNT>zHiA0SPCNau5g|CojI8S%hikoX-Z z0ZGfEj&^GUk!3#is4jy`Q^tF%FW;qou>t90Zc@+m7rl={BHE|Zsh`p_0CVTljM|X^ z)0Ib9$M9KT{p$NzVN4wQ`}H>_tz@!;xI&8?=WoNp<+{+F{GP$mz?<~km70i8O3;=^ zq03i2pDzJT=&M9B@c)(Kc+z+ z&Q8!3z2iiR<>shv!d~&ffSxLQBNdjqY(*Z55uiEKhSF05sRo`yEYWk)d?|(S9|Gz8 z)C;$8TVeY#yGUvIF09@c3iUxZaPRR$qE!7q6ZY0in&lF}IOG$w%C2~bUFLbB+5e1` z1e!9RQa|X#eZwh_NT6Bj2S|9Nh=~58=W`9~P}B4EK!N>^NIo-ni8>dj+ z*Ou_d^CA4v0N#H#`#`!z3L5RpEkr**Bl(BPVuB( zMl+gt;{r3#(tlPuBP23BS0q2-j=A21&QezO^ZNM#qTXE(b zstcvPCgyJ}u%?{)j()G8WwRFLeeywuwx?)*?fjLj)(;j{ofSnpb5OG;K)KrQVee`=cViT7eTl?#zPe;2I1C<*cqs0n?y^t@yn5_E+i zlYjcUYA!rLTRq1P@#nRJ(w4!2?5E`xm8WQJ`5ZEYLV7O66nn%NqlaRP`-#ac_qmm(2SE!U-&Qu!MKlO zx=Qov9iis}P?Bpm_vnyT5a+!o6-9i!{>~jAwelHevz3S8YakHAmSya+}145p>O&n?=<3X$F*@#Y)Z%!|b^K zQ2mIvl$UKH`g6`uQPc%d$5Rj+a*O7b-eF05Epgq|U29&@i-_rcF=O@;!NTZBp>Gkf z-R_2E%3t)mZV+_=pYTV##W}m%y?FK}aOD13t=@DG`A@ZxF@2Xz&xq)7-3SrnuD?Ul zyAQyYTPJ~?PcND)p83aPz>4a-*lX6Zp+~9a;HX%Ff|}yUo~~qH5w`>+OW@D_A_Z?e z=G*&Y@sB+yt8^<$EWSJIW{Yl#{+kb|_s@p+{9YqZ+_urNQXOGxc7gh<3aM?M(=%@x zl=)?UQY~*TDcRJQ5mr)tc*<_1mTJIhbK{W4htX6Qn}^gR=$s9Eix-&uh>1HeLQC(9 z>U%W*nlm2#bLH!7cIgXT@plHUP5mEej&DX8J^}Q-{zK31r$MPnA86>xp>ujQXds!G z{xGI>S2>BPCGqLS3-SEJd*|o25@N2%!737g$4Ok-g`?Ipl4?10Ht{I0oFDMVGx2Wp zT`oSp9n`#U$W(j?*&B_=dTl#Wa1=PZ?*JmGT7ylgH?Q{VjkBe>G{1R-)`h9$-pg?6 zNx#Nlwx@>)vmU~gkC%0#lpf^S$@OlB_dX9ZpBqioeJ>GzVH7SmOC!Ng=dc#q)5M&f z@fKQ|fO?Vxu-)#Ga@VCqp$q_3+;C_%a|6i_A0)VuhWpR!&RVL;0=0}w*PB~3|LcRh zI1A9ATh-z-YZU_C{JBWox}T&EyM}iae)yB$fXREa7Wl4m3$ZBZL3YVzQ!JJ0cBwde zXJw?|A=QGfye3S^7Mjt?fY#b@m%00o*&L-l_9D_-q_RANlm9wN1Z)AME3B{uBg51x zM3Mphs79EIB@qggydeXNFJA`pp;tiI5CPjacxr{FJ#8%pT_k#%m2)a6rk?9iy51Zk zlEPSQ_qUU+K5zm)uqQHj(%V2L?3^l596`_bO6`f={|?`58^TjuqH!Y^(=KaJiGhGcP zw}oBC;h^KSp?@B#)du5&)txW&k#~X>@%Bq0!pW9s=CZLG^H4e7w}0-Q4PxK9tgED1NpqAtWD`b z;05@h`bRfm-rYf%mF~1`97CCjPDuZXeYEnB8BVfa2|e>_ST80*&Cum7uuj6pG95PR z@k@Q6;}m0U85YAC_;6WPq#c&{90-@Gw=?gop3CbDwghFaxE(X*W)901^6lh|y; zrvp!#ueAG=$JX397bhx(?>ql9eRd|=S-fQ$WlCXIKW`nm`@h9%G4p`tE##9yZ{!PQ z5<~K-<>hj5Y778zNosa@(to`Y z>V#3)dRkAE{Q4a3El38rXlW;G&-A^sW5BlL~N@RbTcoUe|Rd+R#t#_`Z3InXF`pr z2Y%Xzh}*gc3(U?T>!2T?18yf>o1c;#!%nPPz7liG(qJZhgzh8$^5l;al(`S)s((aC z^fVYVXsRgdeAmjln2?~fMX94rCw?J9`y5jLT!K2a-72kx68yqbAX7V+BpCdm*;bG{ z2tS0BUTtLJOEcEm&#N=3;r$Z4OynynL|5*r6nTXr0sn7dqUT-*R&j-5WxA8O3Etib(b zpA?)iRq8M@gETnBq3$?8l6Uwt=6pUuZIaw!zx;{W+pSo+#fuut?o+N&+2|~zZIFl8 zqij#y+D%wI{3v>Jz>hC9 z+lZN$VW>Oh1rp77r1HYOSWwiDin$5cRL0P}X(wphN%Q$#256}1ixtQ^FPb!c2CZYz zUaDVu2DlYGa{1ct1&3e`!=8DI60%`lUP>S{5l>XD$8dH*0a6WLO15bE@k@8gn2FaO zFqW=c7P|A-5~ei=vZ(ll{#Y@+j@<0S*g@rA*=v{DSMcmiz|*msdKh|AS#+~< z(bx@aiFqUvefoox4NsAG;c_IpI)K=2RDuRp1HE+{%-w`&|J#p|VH4m!Y=1=txLtOZ zOnZi#8b;7gLu+DtdNMWHG71YnX3!01ZY}us<6O{R4W!?sAa>A}^BU>3A(T^4i1XGK z;QG%)kxlgp*wc+j=-u$~2e!^-Kl^!wi~qDqg!17iv3?aQ>v%>zQlf}9MUUqAm6DkC z6;a-D9Uf`nK^X0!tQtK|-wqVVwhp8b0lzaY((6FFsH#cF>K(%2S|s zMQBOJ-B6PF`aQPS{h){9mLy4l>rh{@mwL$G(qGD=B*DFMBs3U~6YW{-9Xb~38OV?L zr64_6S38fjqMU;-ux<5xs>>`=Fabx=d)M*N)sF`-S<*&yxkzfK`dm&Dis!Rp=_K$~ z8zP5@0XRoDk|@pUVU~CYm3g&|$_vh+`YrEq->DUvc{er+N;4Fsbk$bEG5i7ioK|2b zrDD;8kEnk2Pb6$KCXvjga2|ggQ61bDA~rgWSiu$01=Q5KPZcuVKa=txbMQC2nSn-9 z8?TF~-TD&iksu@4eh29jixYIA`h+MUoR18 zoNK9)^d`LxGBrBzHvx-{DBFh<-LVk5%5u?I$+%v~q%n%xM1~S^^-+{@BgIW^el=1# z%N#2jwe+&0XceQ5#4g9itSD~qhU{z&u|9m5W*q#A)Ay_;IkTISY^2%9%+Z?IG&Uiz z{$XOA>PQ8C5>#6gili6rBZp3xRq1(|Oxk8&R+9b@rw47rg0Jn6AH9YB;=9>IeM3!Y zU><1Y=TLUlI+C@oC)6>Gq~Y{GkR>uh`5DKkNa>9;^||PlqR}?V>m~Fn`>-N)Cz*Ss zk4M<-o6xVM;s(V#J{IN;RYYu}PW^tU)1T_8 zwfW~6c4|4csGs8z*ZZW}zThoYR(-~z$Rb=~zJ{P>d2*$JFA>WPh%_b!mz{NiTxJ5? zdna@{U!vBP?m|pLCFse0(YJHM6S~f-K&yq%&{7JFgF;-_4O!}rKx{5cLZ%kYFh4&< z6N+xzFvrUAS^H-UZ|qO_UH(L1$iugVKiE2_&B&wi6nIySz=OP-*nONgnwRz;6;&Jq zJw`>MYfWkt@$bKB#*L?Mx4&;@4kWBpx^t;PNn@;&I znk&7mFxA^bY+hfc_3<0$ckWNb*;Z$%E{4!!Lxzc^f?P85)05eWhyGCUluBUte8j=x ztxmI*q)Y9M&i~gY&{ctl|IDN@s^4#E(AbAC?>R#2o_i5a zp%qd|ry!yGh#<3QiW#?c!05?G3edcmF)c{+ej836xY#)`Sf%!L%nRPW@;PJX+Xpdg z-=nZw6OhtkHRN77xI451e{l$BGTxsglHBVUJ1OUjqn|<6Cmwa)i6B?pKE=VhgtLQI z!dkon1Mi3`3}aCAZFe_eVkzTZWQgj@_F=BvjFk2JL5fEkksX;^nQPC6c!^@>Vm9Cd zk(_-3?`Nxza`hEdw(18?7`O#yW_A27F>h@VtkjY1iW!sh|((98*iguf(*z%oX`51nigvqr-XMM<91uAZ3p( zrGlo%G%R@q&Rfn0CRz)fX7DBPuYWwlcQoBGa=vC$(UV|ByPEnPn#M@lPhnC2-&oR^ zi1IZp$odOK>sRes;=vz|nGQ^2h7X%9Z5JeErM3QQs-!>Rdpj%ZzvayM zgs;Ik*)<**S2AjPB-~lregoI-Gy$&4U7B;n+S9b}Q~Fxu6EJ|D(rmaE&Zhq}RCC(% zIpj2!A=_dzJE`$B;N8fGYR)lQ6Eht+UTfhRq$u4*L}ko&sx!=o{m&mMbpO$cxs0dk z)?iYT`hbX{KTvl=f5Z-3ffKzdsYnm>(1y)KTa*AEhM$lnvZm|oO4P#KFp}R}k8opa zk*may{qgNtJl9qUULQj|X=KEo+4J#2P>MeYwm;{*mfJ4-v-sDl(pb z9xJ!|gTHbX=ISM5MaoH}Y}5g#t`LnmGfLumu~H;-jKKPhPci@bYt*nW7v(>w0L_`9 zonL&AtZ($^b@(|Jg7!bi+-DRhB@V#u+ob#zxG)Q zHA)o{#%w@$w_lJ#uYhw7F2;!lrO50!aB=t-Xiec$tjsx%Jlc;V`M44$xhWU%{7euV znn=DV_@hl)6NyRaF{pR`WN^8ov@piR3L= zd3>F!Ywmq&yV{pzwhvb-L!+sFKQYVPiNwmxI9g$`2G}E4iEz0->Q3W9&*p@bVLm9# z;eROEz8B$lzaaVcuSme8#jH4D8R;qtp{9j@Sq=sy+u}CONLWqeX=*IdDyjHjGF@D^ zX<36ULgLI;Qd@0FYpMc)@6nTn^zRdEeI|uie&DDwd@V4O_8A$xEnqsI#8Iz@yjNa_G3O+r?Sks+w z5;F(-qghj_XGV|#*6c7J7QDi8l_Yl&5|vf_t-a7?qbXKpK4nDC^uXQ~8>PkxTaioB z2ls5jQ`B_W6Hn#N4`!>nh@fc`EgrNDp6ybfloLNRfA=w)k8;RHt)(WR&7j}@pxN{O z4c4X%!Z|M;gW508X6sfzAWEc9FN;iLdD_7d@=FsO38P4CMtU13(Er+__{^7X6;-; zIWe0ct5k%Pher`p`3zFG^BOLBGSaTA{Rm_-wv({UEi~J)k%XPti!*pbXvv^%YBKx; zW$H5M4)c|ou9WBaYr+9n@Y9k0o%ZSm{R%2inN20K|0q9hANyxGcVYS6dN0xWY|tiW zk<~E~Nj17wYU0AhiR+EYSwj+{dY})v?nAhK<6F|bTaB}i*W&I#2gDwoi0uo1QKjD- ztPJl5S`d%&zPJ&dUIY=jgp%+YKB?6>vgslxPi{gak+r%fWNU-4^xZfdcowtFu3{?Y zv(Ae@`) zOt^EtkjyeMK9f5_o$b;}mDR(L_|^b=W=H*ehLIreh#ORN>oBSHmg7Mc(`P^UaU&>U z@^mEjY=t_519hiAHqpUsDAx#=4S~8wFNbDVouiyh#*B7gmd4fzW^TTnkiEK$t&@Hu zLFzqn{Oc_B&D~ct#-TfC5BVWYCU+}xXDq1z=I=_QvqU(^u7{Kj4z)4Vd+u}UVdnhw%BfKEc_4F(ag;6RK^C--zAQV-hz(!U;syg? zp1y=@1V)K(Z^Gq=P;P=4G2^C!?<^M!UGsqDt4CE=r{EruZxvb6k%)=fj=WQ^l5K*~ zB5ewIPXx127p&ovwyzE5wpt;g%^RFCl?D@HtGYj0+QH}azkOa%*T#cP{6#DW zR^!W-!|b+>cvfuniMaTAi&Y9QRJ&t5>1Ix25t@rR36CHLGX)P`G!?jGSu&2rQs`|r z<3sZ>~{&`rcwjdJ0 zKJZI4V(T6epotifS#K}R?#ft>IyX;``_@14x6d0XkS} zF*{ywvx2$y8~$D_2G0pOIr(6u$<*CyPD>-|%&ag zP>00;*ReN}uDk{H$XL3{-AY}N;vMEL*nwpHWeiWyMmNaEF#k9EGTcN}g@;kjaS37> z4jS6Cd_{6z1r=2-Bfdwf!-}Whbb0;i(zxWXLr9qWiiU{_;F&xEtd7-CPu(Ztv}4$J zTa8+2QHX!`edzAxI#1D=F$zo1*bp<(3M{sIhQw7LaHdd=Il3&u5foWW_KT&W6j0cVKPvnS3Qm_kcDN~t_Gk47H-Zp+3oRNrwLO1gIw$y=pV ze04VFD}b%LJbHFRbrl}p&nGUY9A*P`E#UpH292Z(*6lW`)r~-+OULPjNW3`7;5^Fi z6$v_B6>uR#u!yb#4@5QORP?}qq{fll9*_|-gse(E)cgK;N!*qY)vID65)8y5Lm@D@ zlA!iF7c8prr-mhu7j#Ygo2zT3^+$>bd%&M$THYs_IViGh*d(j~hECZychr2kFZ!Gq z#K7F0YVZ83QTX+w4GaDaU-$9BB8l@F94(8`fcmcKU+|wDoAwn8OV44`0!QFY4nVfT z*?8g91CG{EW2sUX0o!01;#f*a?bFF>VZD~{(xESCdq+)lkPF%)pl7f7fX~ec3&Z=3 z3mktZn3Ld!eaF8~l&XgiX~AL8=P%$@>@{_IHT63$lO+@5T@3YZ%2diCB)vEXo67k(`Q|?A z;8m-xE6ar3$|c&7)5yAq<`7fpYX<%9X$P!#oSxDNo=`R6l^2obH5SAjxI}f4GRUlg z<`nZ8a$%LUS*=;g>W-7Z&1Vzx5^fM()OEsYFll)B&S}xF+8~G0IwX%d1bMz!M1;c@ za-;{SXk8!hj?G3*HG|2q%`=#McYBzJ0fR!@oAQiHz!yCZ+fD8g@GHzPuP_}v9wlgX zOU0_N9(%*=1*X6`>?S9!CCF91T#0$~Q}BFV#h;%~2zN6pWyBl&$f1{6VKyInqOdy# z*r?f`sgn*MVGr(uu9iR-R?Un^{3rm8CzgsEG3Gm+B~i}4((Z&Fh=J!Y-M2lt;8JRQ zNzP!Rs!GLp=d}4sj|5UYONO%8b}M^e5b<};#NUHbB1_lWW78rdvUjt6fVO=x;@O3u zgrqGfKV~j^vv>ugBK`1vuUI&fpdM#K1}QI(PiD+$UD!M`8Ow?S$#&a4j4-7aF4z^D z#Ez>$vchZl-0p%P(WPFfY+4Jo>3L`Tsu@9H0MAPJtP{;CH zh`DGLWo{p$!jD{(womDvQTactioTD<36SS{4~&1-0*U8OBdTq{3w_Xld1?%M;&AUU zTNzQVe}fx7`w{NGP?F^3Lkq_KP$zv%1--}xG5sDPv&IhizYOsHOVHY3^O&Ze-w;z& zO?iDUky?K)_$oeAcdd}*jkrvB9CPYz=tZvoR4)}T5nzv)vcEGf^kh}kc+;czkVi}^ zRe9#%FCN!5%J(YVul6f5zuGmxk_0(1(uB>3e8Nv6r#E!B!cz> z_{iQSwaD;1aQX?-x2Q(C@|onp>Um>K(^^qI8;Nt~Kfq^<7cydt-oUZ1q{TUfMDE8W zjO;X06+aG7%6ksi-5Z7f9)vk;5bY}826aFqTwfvP@B4r_1*1XJ`WM)#hH#%#sj}S- zt2{S@_7df-$5Fzw`;BBp{sYV%KT@_TjCwR4!7TWO({?7f*!1{DIt`B#-j@fIU%iMl z%~(R~cJMJ^cCeJ0jcl?WAwBIo0&>{hd_C4{;2l%((ZsE$*HsO!D|!wEB=V3C6!^kRN^ zz1wV!39MyBqX%e|Y5OR%t2a@k_Mj5bJjIoLD8H?YNOZFiSCT@Me=I={+l#wi#8Wei zbjaLV6WuIlf#ggjGU{sd5X`P6GqPQn{0=MZRLiR)9U(~p)_mb71D!i#H1G%U^Cqs zdhpq4sBfx>U|}ts{J^pbQCdT zvS4pcf$yc2UR*oWBgZcUODv{QuJ$|q&~L4JmcUjc-ZFq1>rFsg6Ypvy{pQhzeHKV6 zF`>e*QTS%>UZOmG8SHCWz@2FZ&Zc{t4oe`$Dd=nu%((Rj8)*oTmFg9g+sD z#G-^jsNtwvT#m0R)Iy=KE?E1d-|Y#0fya>3jH1fMT9P6AL~7fbAh-4pRVLh~@jb?~ zYn02?ccV8g5WNynMd)WbvAkmfcxwsYANo+{1UV-NcXp;?@R~UxNy;0jF=8OIS%_+K z+mPzyZP27PqFz59vXwT*$!>Wrnu1!+0^>jCYTn#_RLh@>O`_{b!_GYt<&t91|8#$6 z3#iP*2WQ^;U;L8S0gU9{Lb>$%OPnEUr`Gq>gk3d~dh@}rKPi+god+DUHn(vV8TQUy zCKYu3ym6V2ropSj2RUp-Q7-sNJ22-gJreEqc>#vxj1wS9shJpVPIYF%s^A)DX76oO~q>zZtcE( zJS7L#{H?d}#KQ;p;+Vyx!AOCd^_G#9=9qOa%p*d>d%zQEBRj1sgKLFw|A$Y9cOexQ zT6Bs@iecM0G$%8uYW%u&Umia)plM*Vpt#=N4^A zkN^X!{!xF#7DnRiMpL}z%dn8natA!9c9Nz!*pfD|7l~NVjkH_J7z6!@ng)L_WVfsW z7)axgr`MobGi1n$1{yqlx8J#v^A18h^KXMG3 zcL2p?OlJs3VMUF5$#C7i*R)J?l}Z}NiB;xrkuahgnN~fbLfw7vLweBT)9x&mthq*% z%U)B-RAZ6={0#QaLX@K%Nlg11QM*Mim|FcCv|9!l3{ELlZ{9C`{Z9!aec?r87amjF zRvaX%EfZ1o-Kb^hp1*-%&4J)Ii#hfq_&QBu3Q7cw^8u8|J*MAiv2>2=40 zY97pi4fS$l?g^KnK6D~^9j?^QU+DG5b{k`>u%IQ|-;w^47){n36I?oG3sHqi|9YXY z$ovAewlf9J;VsPWc0r=b^)RnHL=)Dhf)-qfCHtSFGe-->_0@xDecM9t{q9Ak={JyV z(s^~k)3Alo7hIao^rfOxe4No3hHFyNvH9=?@ZMBI{#=Nei@#`H&1SlG=8p+UCk3?l z(=#;BEWu0GwtXz8)qvdkJW-vQs3B(){TR7nKP=tdjKn%%)@wFm(JT$IurIq82UCPa?ya7y%uB?O-G`{^E6KpfJDW!U|k!Eb1c$e7ImH) zP6<)h1)6I(-qVR(%fU*>6~mkld}lS7`CdssevZ?m$IjPGh&h+zed{R;wMr?s*rc%Z8~Z}7PGz9BT*?jNIExp;*^wuZ2Gz}*u8KP($^W| z^ujH`F0&+@vORRb_CO6Mb}SmSb0_Os*2%~~CliLf!&`IP$DQ1{S+nR&{_F#0H=X`+ ztDqMk;^+=4g0oKCwJJPf`7w`;SB9}ChAt8?`?FAewJpkc|B@KSXqG9j>>;W`(5;66 zkLb`K+_0{0f<5Gm*rNY%zU~PgSf*CH{x_TfE)A}$o(Fk=m%uW8L#?Y*kZ9H(Drk*G zoo}NMb9NDC>_($$ZHFTg?Da6~RzkZh+ORwYwCjj_IHy-GQ52q~*4pzV>X6R@dy9|M z)M75FYlR3DcNGrXEkq95{!|jR8QryBGNz03nW+Af9He2iY#w;D%wthEeEopWnU(ACABUB*Jlo@byHgRR+9Rf|Q5A16{}9^}y-dy_#= zFO7w|4ap0RkqsaAvc~UyAV0Jg^KY$ZO#NojrjMY6g0(Rfp0`w_G|*B}?iBFjkHBYMe`OVVOQ7ESj%>M>pe;-x zC4ZihYmZkma>)-k*C3e4BdqDMv=nC%Hye6~J5*1W0)IaiGZAaCG^+NmHbJhW>B+mQ z{@^=1moqaa?+ljg^2Lc}`|v+|H)sx?8qQ`&mZ8$S!vfzt;Jfe~m%>>^{^sYP1&jIs9stna6AuTJw}LyGp%Ta zQGa!ICyx z`%Oe8e{zA*7z*r2A9SZy5!qm5LYB_DwGy;lI5(gO{rCI!68^@KsD7tC$!gn#rH#3` zNil+!J()@`l1U0dYYEQYBS4A&UZ0=oZ$*?|J8{zN9atJLmvEqg*kW^qJ#IaaHFdP3 z(+{232wdZcxX&J9k?9-8Y1;`sGUVB(bmE5Y=_n~~u&s#S2{oA^I-2rUx_&mzTB z@=&%m1Pfx;ko@on)U7MVx{Q%{`r`~2Zla2c+p}rY`T;KDsw?R9z&q;JhF)>QZiQ&% zG5xV<<85HszowHOyl32lhAd?@mvN0Y7+BzoE}i7VgMio9h0hn5`Nl)eOpJ`vFi{P! zp>@(hNYp2uHX8spFQkx_r+ugTG6!^L#HRT6y%Fwg=|TKp^G7$2)RXZ3L;!DqLn|81 z)Fa25s}paIBFZFS6bYkHM$BupYjef0;z1Kg(r+-5zCF0)*6oZKkC*`nGWBHfqyTx@ zod(4FVhFyOc4Ssij}{-DtWZ5D1z-FHqKbYvY?m};Eb}Fr{Mq$P#jk;PXni2CK02^M zQcBq`_2^wn;iBU6gkH7M6V^RChegS!k=_Bl=!*9P8J%-0ysxd8KgR%%*9)<>R`H35 z)u+UJP>AE0Rcb!Gmm-^+u*98JmJ@%qN^cPrU42Km z6U{VTCWtyztwbrkg98NQ2Krv!SAK75LQHyYGG_HsaqPB<%*L(HnTMI@63gaUBFT3p z;qQBct?wPf?+@LGp2GHJ1+r@}*O>(~=WR$7+d?W9d~rW)-n3A6$CU{6RuabV*54i- zC7g3aieF)xI-@y=BXy;wN9~BEV~Cf#AeU;3mLct0J&)QkBNU?H|IoAv@m{r`hXI@S z75eb9Pkhm{JFbGS;mEoz2z;NTXx)(pJo3?b^ykGQd3qTJBf?Ui}BslGscPZ{vjg$e~Gpf>Ij`3^+>Hlx`GkJK>%L0LV_0!k_4Hh z0GDnSrHSslh=lJ((+{2^%lBW4aDdsfpnW&$f%U@&Sd=^>m&6fnL_B0e>&c)O<>$4q00QZSkM}R zO%3Cya?m*(HVJA&*+sM~jYh(Z}JsXA+e@{gEF<)t-R|-#ZQ|0`dpvQq%PmqmEGeQwF zu?=-gz9C-WT-r7632l0D5j1`yY%g)5iRVlSKXDwXx7>tP5&cO<`(J*YKCBawB>i?a zlMQ}Tds#9yS!RG&oac?ocrXW;1|l-*m3ea5^cEBmn5=0UKNgKIzb8z5J&i~g8sYp~ zhjDkxE2@$V2YvN|M&3RbuU!=%Bfh#Ho5^HIwak$un2E6#&hu#va&%mNI7eMx6fcIY~4iP3>rLN+H)2%)%C&>^%=@>%*WqUuNMw+Yn3bN zjg{v8;n}}`h3-hSU_=x2u!mtT>Y4MIoni5Pq_RI`7u}AIY}PCf?`HIfp0sV$s?l@8 zrLrAVrHjIwTsiXaCvQl}x0~eiq*irVPe&qbG6S6!&W9V44Oz1hR8`r9v+$>J#%aZH zeoz)wMHUjtuvs{L(w*5JF~70E&lRgyoJE|}EvWNlHXUfx6|iAZF)Nd~<8I~-vbKw- zwcd768*C&BW;A&`yIIlA0N>@?GsG=jKujn0N459u)VFuNSMg+?#9;E;=xukGsZ4<# zm6rCONbKD~O!emAyq?!cWzXvYzE*uS*580_9T@=UN`1y&&84$d%r{u~|MlirY`|el zM1)(=7kw1Eu*t7SPAhaP+Kj-Amclh<|sL>Zjp=)=9oa@F=% zH0wwN)|J{rkG6DT**H&h(b*(S$cZ2&hbPf)Z3<{ZGA#KLg@5<;uu5yKV`~cYi9Ep3 znK|HwrTYVDZ%v`INs1PA%U~YVzMRT;W-EA|o}B4{r~D^Y3&2j^|(KEdtIri zi1oJOwp3t=Tt>^r%tl|TE^0jV%(2ibo!GunYs7Lm&v9e~)%ROSaw`9WeBo@!{hdbb z_U)>KZU0)o7~nO#xcL_qHTb}JMGuhp(IQ((!bqB>Gz<7ULzSeL;2GKz%S=NRuuk4U z0>_!O`C>nkY*|4w%44u`@e#cBNkxd#={RtemLlUS8=Uo716h@Ebn?P-jUd6F zu4zZ=^)Jfcv#rOHl}7OHQ46!Qt!T>cQE~tD zDjo`&ywB49k+!0MUwo74TAcohi&a~zM>Np-kkV>JypGM#mP>pHq7ViHzqor%Qf02Me7kD1(acv4dR0IK@5jI7z`r4i*G zg*wO<)j9ZAOrjA%m~tQT_1oGrsP^Ip=F$*)*_Z3MkGbxOF&l&2n|SROntvGi@!dyRGfalnP$ zjFdLPr1sH0Bp6r(T8|O!GVX?qT?y6cfJf~A7~T`09rtv?sx7A}6S|)EIX{n4NX}rt zfeS)>7yo2BQ>@{4`lH?ldaFgcdvMmUE$Vi9GFm3E!FmOcktwGE7`n%h+%X_1`DaNu z2XY3I1HL5P@f^)gJqujL{xEYrfE7iNsN)bP>ClL80(ZHBHv1m|Eq4Gec94MgP7i7M zZ-M76p>Idou)=%Wk?G^hC|&O;uAkz!bfL*pW!TcI$_c5XlBaHNWJGOuX+qOc=v&%I z=eu;GnjH@;fuls2(vMt|+bM1LVR`9oC;re^{^Y%$8DHAd|HQ zb=7*1(l|n$Dty&SMGjd#E1D7CzlaXo+AURiKR{hC*HL5M8=7%xJ1P$dUL>9PncBAH z(2oE8VLJm^a(7Y2^3~NY@y=W9G^QgH@qbP48RhZ$*cviTU78|P{OIIj2krk*k^%4< zpUqI4$_@h8htSVbJy&1HCrn?*X3h1RabgMQE*9;8%kxEf!T{8$Dxc zlG_tJg-&p8QwWNh;K7K!SJL#m$FZH|9rcZ{V72o2R%BdfqREMT><&I?ct@+SxO6ZT zW!7Vp;m^RI`yUk+IHM+Q188kM_?@ADWu`ULW_;H+PK8Lzj z0XGe@`0`XU%9mb&b0jvv{@Y5lA;6z z=9nForraS}qvL~Ai+SYm#d@_>*{3*B=u^-tuA&uZr^IWE_Mj9~m*^}-HSWdK07iw3XE&J@ z*+=6Y0h){dG+?j~Ad>G5lv|)gU#^^EnB6t>z02^$XS@nE?+=eqcXyN!*SYs21-afx z*|q@A=d{y^WE+6xx)t4Nm8*rRFTg`vPvt3=0`-3&JHY>R|cV^DnXPUC*bJ7AQGe!#4uwxVbZ7;|RD`%JOV6#E>-rE~hcCUH32B{z(@YC10E(>Z;9 z6cbWRNHO734?5>?JSgTzF+Ym=Elr|x`lu-uPqBE4#V_R;#8WJZVwn`nq*&%sj$tOn z3Mf`au`-I4E#-78qgVySYAIGrvD&2^lUj;3QS2MVzESMkQV#bU#W<5W9P5Z1#?~4- zF5~d6Bk286OiD2+#iYwP9#V>VP;3Fk7Eo-#GEUM0iiJ~5LotoUfTLOVXHM^rV!0H{ z)fjSeDV9sI0*d`Zv41q(IR8-WABt5_tbt+;8WTo+}epBpsgg7XY zaASPXvVPwZb9|;DuC|;ooNJ_C|Mdz>Cr6wv8ifTn&O-equa%OaWAW2GK|*GW4QN+b z<1<$`+RmL^HKIGwv$INd?B-50t4XZCt&*sI>~-^j>Ct+7q&O)&mj#qAKNPRT+RKEc>z!PiL=@4Kjj4_Mi;0nGjSgDBq(ui3vM;FDV#GtenZ zo@o;Sx{`IM(Xkboc_1ja<%w+#G`K4@A6=jHjTPxH06V}MA3S_PlRj)1xabBF_Vz?r zzAH>)RborbijzR^fS!-NLS!R#M32M+B*#Y+qyS!XSsqM8eAz*jd7+i<1jJdboZ7pF%Wtq52UA80yi0v zx~@v3OInV?f_m}o?w=fOUVWM@kN-CGvbss5`tSsI$-aZdx&ee=VK>iAA4mkNrsB>o z-J#^UZB0mq<_f0gY!^vY(*rSuasWqzh5~EC)%mBkmlKLL`baL^Z0M@DJaI zgg2{k{_!rHxj2?EC5MP*awPUjpD(Xo>js(S)1XNHU9A+HChYRns3B}M5=T#hxoLw& zI3{fe#T*ABxic8Kctjw6c_Q3uzoM?2Zve`QX^2&{&9L#ga{(rtCNHKWiPShN z(Bt1JR$wY z&QVJaEF?vSCS>}7JWawhKcp<3hl&h~kyYgfD47`&{pR?Bket(lWnB~2fU0&GRpGDAeRjzytWJ^ zwk}7yrbEagH5pqeTagJT#54cxaKB#7acX9H6}U98QfLCFv#2tI(N z@nzu6iD#7gJ8<7wi4%pAI^givBd*UW#Pc*jF5L5om;4tgkEjQZb_ysJhd~@Z5-#2h zA7#QZ^UUvOOxJpYM-49@XVf3XrF9W}(~E~Sg@%=28Q_Mjjse^s7_Lzz=i(jDa#xydPIAQ}P~zVTtTM>deO(n2sj?WT7>l>1QCQr3q4FAZWy^&LKw8VUM_AM2YI<4{48Xaczbf82F>Zu@3X_5;gzHkPSPb*8NQws zj2@w`?HP`w>Pzr`gD!w4Sc2`o+^6v<8tuu;3*WyoGp^3}D6p+_ae_-R$xQPl5`P~o zd@@8S-dBjE$x2kP=Y>Yk3_y|tudpJp4lC!M#c^xPG*ZEKyzWykKbNKrIL`J9@M7NL z&hJss_xjXD*~%ZNs{RoXS%1M|lY``5_6otVIa}hoyxl?h3gha1IYd-C0Si;7fEfJ; zyptcXbcqu23e0g;(lZdI7HT#RPGVf^moE;TS^yo@$FNz54P>~-6Vo?Om?ERYNTxWB z$|lzlwtx@LH9K7?uOhYfbBSYWDN-gCVSV^ckm`a#dAAwbCq&ye-uXmS;d^k|qBqKp z8BJu;2}gV5Ym)-RiHQELe~GzCJh0=o;QTQoaQ>_iQr>$C*h`zSwE7&%`!xoezZ*&S zR=j8DP!q)P3&CnwZxA{##DzHx;^INj`F<@P?>vXSpHm-VQ9KWEcZUHt$(QBL-+|(K zT*EJ3dPa+K?vm_|Enr&D(%9)sOiKr2ee`s+@}N*=?(-b?P_EPnJVsz{QY|F*Fd${q z4}y5ZF3cS8CE5e|$W$?mTy0Hew5KbOgHI}`_Ps|~XT8MBY+tO#X77+L{b?j9tRjj@ za?~T`$YS?yOI%*uTQow?4vtVgzlfy@9hqUbVqC1`lT+;d9fbYMV_ZhcM7M^E*MK*P9QFd-dG&gh8gQUICWBmonx{w z>PniARHd5{bL2Q?cR6B~n?Nj_Qn5634@k6yur|$@F{^xv-fv|gW@8NTiOYJo*UF?Ebj|;JM zKqks*9fMCrt<$I`uf=BEShbwywA1>q6|T+cDDO)>R(yH_M}E34E;MpN%3U*vJZUu2 zz42zdc9{{ECKDuX2?WMJ0ul<1kmOC=;IjS`aHc^X&XhW0rNbMvqNA_7z#$Era1>s4 zJ>G$7)vGpVpyxE|!NP2}^ zOLi|8BovXIRVG1&hCM;@Vwmx9tH6F}^o`*2?QW!lgjA70AsG_{YsE9V{qNc zBq-l%Mr286z^c`ep49=QZ^vV{BI_b6ouEM}2mwo$_{chTWR zu1l5=ykMuCG8S3Lo`XcgBg)kqL3`&3_y;#FcB%J6=UDg1>w7+{O^wd5JnOTF&)ZJQ zu8U(k4YB=gf?%3#w$@z7Pg0yUP-A%x!-EHNW}}p?E4`k%(TtlBKa|j z5I@yyuO7!t*;E=RY}kn==D!_kUsVCZ%FCoy_#at5yOL%2rI0Dzim%%29$S+TqVBAy zLZ`ZNM@x5AV!rP&;M7kB)27>4Jm>|=a+fN5DW9q>eQHN_G|j>e_3J^e>jNEbb8wMi zGg5wDOvLVbtczYrO6?M{c*+H&+^mMiW1GOTSc+>?ek1oU=T@pJK9cn-l}-bmnnZ`4 zN&<@!jyUc+mSOf2tAK-`>P#35-dx>fXZY@wkK>1tj|?BXtg zF7enPVRi`c7TcjJw>&1Nz7Hs;41|u}Yf!pA11+6BJLb~l#qm9EZ_>nNuO~%D$)N2$ z0nF9Cv3ai>NOkuLJ#Q^&Nkrkw*^x#JTM>XI1AVaQK?iW1zYrPU0SV4*N8+*5NnEIa zh(A2XVr?0uH%F00VTZzMWAad;p$V*c(pR0=`zQVFqi{~FGZ{2-P@rK=geJ6a9AnOfW3Da5>yO*8Dq};murp`T_S$h80kZ}NIO$?Kn6*%qtVQB$ zW3WnBiyl=!mkG~I1j&MnB(GZ@>8vOx${r>}^8OI0jP+Rh#0F9u?hQWk*OmzxQ=CA! zY71#x)&(_-d(m2`4zw@#k@HjKD%(r{LPkX^R`l~C)osgh-pwBHQ8%1nZf_@M28UTu zX&P)=Ka5G|oe@K~eClOCh!IGLR%A1q% z=uMB@7_-q>)zcVBXl}K`e}lOs32evD1jlF@?rV8(Ij`h8*nKTP78UvA6)%xdJzN2d z`Z|^=iV&}7Ebj8&i$tl%F!$uY*ukR<$=jM(k)#B%{0|Vi^d?zmcZpThia;eB0lcQo zM0iK5md0<#wjp|wbxVXZa$^ab{t_DE_;6TStd{xM)BE)$1veh4gQi|`ao?~dXl~^f zvAipvwJ@AXIt_1;*WJrC4qa91Gy_*gEPO!sd@|xie?tdM28O9JQ?P-P19-NshW(x$ zF}IT+Yj(`}n`KKPVE?pgmBp26Jj$*iL~hN}IQf#y(T;W1>u2G-`Fjcbax%?dx4lKP zHj%ouGl@zh#=O)eFn(tqlX8+rTii6%Q({IGlp~wSqi5nD_0g7`l8{nPJwPO`bjqiHZt&ehrdO; zH7>Qfj@c47#45`1Xy@x1Y2{2Z`eXl<;<@voQ+63AgmRE)K{9gD-@)0D@1V2wII4d9 z7y2CbpC)uw^dgJaXi{pNjWYs9;LzME8uvYrXW>ZeJp`1A|KiT&DI~7&I2IS&C*rrxr1U{J(e^$Jt~x)gD<}Y$DX$U) zlaz$U44NagICa|Tk*(R;>eR?VD@kQ|46A;N!*|a2V~=b{%jR5H3vHjHgdVGL_z6WI zt2l|wD{N>yzUw_Dc?G+6{ug`EwsRm^*a1@ge~@zx5kYDM5vBb_3a-vyA-d2=R=Pb< z=U1&JX%V4*=1(&5zF$+B@y(x?m?ytRjxrs#9k)`xs$Zh2v)Trg|0SbqblYol;VO;7 zayEW&C)AHOU@v<>9O9>a`4NsDiVu?U{YYBu>!ES?r;(1zI-HQ8CVD43H2sK|oH@lK z{H~cuq7~q{GxtFme4VKHi?DdsGLp5=l$d?MIBV@Buvn9ea|R6niN6#i)>onMnUlm~ zMkU?nJxSQ>bD#*khw7@TVNz^osMM|+c{^LgZCZMB-)T#DAb^HI;*epp@O z`C5~CYXfo&|A2&o%Kzs$HpwJbmJ%Rt8-dvcZ{fqrHp-=9fM-7%%x2siTVxoGHmpsL z6DDQR`@4?H{oi46_(3c&>JQ5N4#@HFru*|D`913SqC%q<$~TIUtF;ZWjNXoT*5yQy z*NWH`hA1q&247PZs9B8~tEPuTzRgz1+RdlWzS>ij>W)>C5?U9eP#(1nxWj~z{zHkaj{M#ZYzXp;WON!eF{pu5Nvr+403K8uuU(>_2-8eZp;tp9M=;` zB(u=m2bSt;oA1C}iN>l=Q;B16GOjC6N9pDrWN6JDR&jqh$}lIGJspqdi2h@<*gHts zIumN0hvS?+p`h2aA+zFSQtIf7*gJc`Lvfx|_jEzC40D;Xv%f(cWCYx@B^sm3CYQv* zRJIBG|dbj5eN zPr8vG^FM_tV6)mRJPyRWt|69ri#jy3piNt$$?ld<%2z~V{lGlZTEQ@u?v_|wnuUI) z?{r~T=*a1XEBvcjCz5@zJ4k(gAgew}q-&QCW*Gy-OMOhVMo)=(cp72-$K%qm8Gkf* zQnY=mQ2#>pAaAxKh;^4x z!k9_G23rzgr8~~-xew2t`)Wjft$1v2-;Tj)voE<68n)msn~K$Czk5J;sY>ipxq`gu ztVzg7N+zA!T}b$*607DU;ydM$YFfwBJRyKY%RV3rXwbYX*~@p?Wrc(#hoHv(0Ocwz z&@u8MDEs808#o}gVg5)gfBZU@H5rO*I!=wY&CNmL&pbFb!&u#Dxfh@H6?+g%C;F0Y7cVKK-$uOj3{->6lIoAx8t`z5IK-?3cx2&-aW6GxA;B|ZcjzkG3UvxFa6c*$OS|m%tw*YdjiW`x#;*cUq)}8N=8i!ROeI% z;rBI?LFFU8>6uQ3p!hGN#`YPkIaxM>`BSSH%eF@s8aknjcpfWMq@kL$kGM0U4)M5` z@t?KsebHeaf9+7zAh?5Nds7(J!~kbGe@2oa1oL8+QqA)e7TKI5(idUmllFCpi` zBa2>ZXQ5kw#0R%x-X(v^-%P-s9Y>VqlZoo_T~s6Q2RvCnsHyJDDwWTn{6HsgnlrHQ z$M045iwe~u^d%*eT}SbX*?3JY9?rGC$lsbm=> z)%G2!vWZ2}&uTh zg2c~{p^bC>G~!WyaKHHSBKq4w96St*eGqxxZDpvOtA{*Kf=mNKQM8SvxbEa}sv-Tr zmVK^cU0k^EWdC?IJ2W1Nb1E^nNdl7MTg0)X1WV5^BFX|0vdr}$(g8jY8J(u)O8F3a zMGvA~7GQtUgQ&D)QT_ubBKnyO9;fTn^nBnoHg-cA=D!6|ftd2dN}TY9?kkA}NZT2# zSei@okeuR9@TtF(T*+HXWKM>7%~Y=;CY%!Qu!$PN3BL^Dx3kFPOguKrcO?W(aa$@m zUqqDMw8*h_7)beFiK_W1YP?=RMCPj@ZJ@!*Ke#_B& zpmC`CBx&n@81?v^dPIGWhEr0AGmaS}yP!!_dtX4Ritmv0%#XEr_FwNX9`otoZc=^{)t@a3X5iN{7lcqIf-b zQTfcXV7vA^;nMRj3}3CWc=tEWWxt8NK^n}gj+K|~)FT(FwVQQ&M>2dW(ZPTlYT>}$ z8V}ALZ2IgpVPWUab9YjP2)-L1jf#iMYanK8LTEt#-lxIJ!o%Yd#|LE9pp8 zVSp(IC!OJak+_c(@s>_eEAwCD&-n}8JH5W6(BYdv(7KQ)EHjbl^GA?!Tk(T*UzX)& zk%l+_AhCHSVZv7u&x!=hi~d1-L(wSi>s?agIThz9=K$@&fQ63|buJxW4aP%vQ_^|^~6^(?p?gP}E;_vW5zgCWtcFD^#+6XT^9ZWCSgk_aG!8Un!Cso*6 zT+MP&V#7dU*-qCwCT`R7k|Bm}lI+Ao&?OHEGlB2ogOGq!*SrtoNltI=g z|F9QWByq@P+wqGet$!ooDY<)>?mAX#8?kC%9?89ZCtOhbg_JEz!OT`iyf4sLqs{0; z#!W6=?x@Q}V!syq!9N$urQ`PFE~iacnQMu}tDnL-VTpCPCXL3HHM zz^si&{A=ga-pBs_*rnnTxzhjYq#E815L&*3+Lj%lbOL1lt_(>|bz<`^1z^=jfVCM8 zWdG2CL$oW-A?4W#Q2yf%&g;F9=AjfMSz(HWvd!QU9YjR(;gG|okJk+861aXs^AZnA5oyC!_gsB9~Pn(P--rsPaLW9L3 zpK4_5oQDj2cQB1_MpG^s4KmI$aA^KPj;HO8G3~P-tE%3EV|W{uCv%WX(l0-T_I=9l zG~qidi`^ZApTL)c!&$4`kKm|yge4k7oDn`6J{YvHj@%Ya+zk)>Y`mT884rU*TqWg_G}ke%wC;X{ z8a7j{a%2PL^DKHYWsiRb)jS<#yGfSxAAB-Gz=(39(d_|8HI#E;W`uwY?~%ny-Npge z#0$2`HmXIlCX*GHhOpwBec&U{Q6uVT!;LevSZQ5MM8{?!mTLo!$$BV{Po&SZf^x0| zqWV=2#*4oz;?HDi*viY;(W9MMsFgHkj-bz~63U7!uvmW^GE>$8m%9L0@3K@IRMNgC zrvd-r%(TCclyfa$UHeb}_DR1OruYyE%`hRioF4nR>Q7>c(-g`HeMkszvFoq6!;y{rJwZr`o`_gFVY?X7XZRBlDQldp-? z$N|givq?k9CoI1`k?mNQhZ_xC0!v+|;KaNrqE9*r%TA0}oBM=gQo1(UykaLdO^QM6 z`TjWlSRisx1VfzV2(0wIi2H4co*0*^9b_HdN7NhsVwCJ>Z1>{{8vAda->3(zDxN1j zBj%5g-a41u_%ArrBXs~Voz+B?9uG-Z(lOMz<_A$MddhI>7t{VEOSl8g;XBps4E zA#u)n5WIPfc}{M`Q!o+oDiP%@BJhmPWjoG)L9BKvt}!~Hsqgm9iPxHgq~jJ7(WUFC zZ0AL~HqJPF%BImmmpycTm`pA3SJ#Xf%Y;0O6_t)nLtm22nTeO?jxpDN0~O`Q8w$^A zMB4W_OWu==pIj5-VEq%=@F=WmvmyP?E|N)y@R72<6ETWl{4xEbbM^B@h{ue@Ldy+g z=>6BsgLv`c4BK*z*VJh`B? z64mkYP|(f?_R0szM7(+))ZOtOId}SfO^uBdv*~{$TZ2*r6XQcm^G_ntr41-y4qywv zr$p#-7KKUPlZ@atngbqtSyldnJWMW->RZ3Dl-9dEb`sS)VuLqiQ=4%x_|7<#ixn_Xr5f)adH__|A}865fh=} zx06(PX$p`%vqop8eFkAc9+LKt8_5i4LjqeKN_250W))6&qGMm_)J=VudvnJzS>;cF zH`Qj4E_Dg5hgTzq_BH&?VFyFR(*2~yYbo>Y#{^C0;uOS$M?z71;$Sq+TcAwo1=5la zR5z%{R@=VO_FDPITl`#hw$ROK{BojtK55Gk-QRYFAH`UVf>kH;1hT()& zy>am%MSv_QSfjeT9%|W2tpD6Z_rX2LKH(E9Nq&kI(;T3ea*}_( zcODvOw{gwg#VupSn}?A6t*A6UoT#NaV69I;{I7WPU`4c8%UXUg4X#LONqNtjOSvsjUh^ z@zH8kSnggddVCWs!oSh|Y3f}aHy1jG-lSTokr!LM80A-9_cIN!rW&ak)Ld>LeYX2+ z%#XYW0sj%z^528xz-CY;8{%ru$!OjDDvh~pFv^j=#BmL;>3uIoqPdC4F0Tm*oO!X~;>CT(JcDTJRoaWw^;^5KSR4R>Y;4sL6BtR5c~PRfH^&mi1}NvIC&NB_ly%Z>|JCC?GKTL zyaF_mXc&ol3|90Qh0R1ZSXH?h>xZ5I)z7C$FJqAK(@LD+U`Y8p0kC{GQB+73m z%EW5qNPBaQon4Tj5Zd#k4~W2W4sET-X_kNOTfXL}?at*uw8@3$w1ND39HAL^!NX|U|OWdI7 zkE(aKVUBDBE|7dumydi&`xhwZvGP!h{qXw=C651K@B07$gD|y-DuUn`llT7=NPvBgeT*PdycKR5 znO&JnwIW2kPQLVWVXlB=YXVYv=3w#3UdX&)3HiLumu1!;L-Z(5e6=i8EsfT|omBzj z4~2=C*Or?`m`l!pUEM=$K4dMjpPz%WpGAViDHvNmj3DXUc|;Yt8YHJpApUc+M$CIi z*a`p8eZ0-9*4_v&zjh@?Xlp@)bNrEE`xt44emnZ(fIt1 zb(QTwIRQn8dHREhM)@K^=rp7Z(}F1F0In`?!#del{At$GHQ|j>OLW?8m}`Fp!p7Kv zSn-b7jtYxqs#~xy@ELaXaYM>uuV~L#2(|XlNcrhve%Fd38k2mmL%~Jt8l8y3=AH{; zceNAg)p>;9_6`46wK!U&I8S*`F;eD7;PJ5uEZ0ejWcnD=xrUGQFOw(-v7?>{A(6II z4<=tnvgF5!uD~sTeewi*a+e|dv?rw0Y8%QZJf~K<)_{k}JR&N7M6xsIAlt3<>{@Rk z=|0_vq`8UiSs$v)eTVFALvdc&aFDKFfjk`#52bmDbXkALRl9<~p(zyC?z;x%PuIh5 zm);=)kK>5#^#iF|U&2Y{py;5_)r(w{P9xdD+ac90dLk^e#LS*L%)JU@&s z+4q?!y^>1oE__1e3)d0j_&SzZeg>MZ_E_#I>4vkFZ%LiB5!cx7B8mf#oIC0-p^VpM zi|xymxQs}!(TJstbo~{`J@2qI^y^^ik+Y(HfPYCm^qo)hKSJ}8#wcH(*}tT8`b(NGG#=3l7K+5nUV~)jb;MkKfFuenGK&o%oeQ4g9aUm=+PQIT zsTI{#n{Dx$=iAlFS^t8oWF+D)?gsj#dQ|fLxJK42B4z<|kz=%)T$$ZZlf%DAo(9fY z+-k?DEk>N8yzMgb=?XYwzhB5pO+ zB;L5)S$b%Y3he{&^!=#xZzq(^bVuW6%$4(+SHs}B$L5*(jK_M;zr?C}CN4ETPkjdS zv5GGNiz{Jd-G-S#DtinS6u<}&S(q#(;~@wme)oN~Q&M3TIMcouiVVyESxoOKnOmOO%OqDg8d zxfYjw8xPfOMo3=p5-SUP5{CM1*%7ayZFHeV)o&Q}u<5Wg_zlW`UXQGl#iYN)h0zY3 zKp4ev%+p6Bz19yY0xMzZ(xd8sPCa$8U^K`wlJWsr4_eRp5-aXPY`^wA^&cc*m30N? z+gu@4ijkn~=!3fSGLS4Wz@q-yMB)2h^Zn?cpt#&|nzgEA#vwVMly2^iEDstY)iz5| z>idC9k{fumR)K3tJuY4Sos3GKw1nk&lX2F1iXk5sp;0>z0wXw0e7AgTeA^dvP(_!UnzhIC?4JcxLD zyu}_e75O^YZ5-eJH#++7UvgFSM>6VFfkY;`3KGNB;HkZgJH~HBO;>DL@mwq1kz_>D z^0dx=A4jpBGd%d>TqDH%wH;Rr579O8MR`?Ei73+^b(;OgI?igsmmkCl_m?1E(-&m* zFbb`lIE>b}R+#!TuwCpoU}jdMSw~7&h?paEy?c4_giS)_curlB!W@q|f7d?aG97aPKt+&f=9)_B>C9v?y%OS2w5umK! zNz(fq#kLvIF`l--EZX*QY}mVHs6qJviTb~T>{lFm&U%81*+d$rMU9OxHd5Ec{HB`c zTgbeHprh~Hi7g*ygb8{qAzHIc9O~2;tPXm@F3;&hI)Xo-0__g=$%up~-i5wwwPvc? z>evCw)4q|sCq*FGWJvw`x%54P6OhGPQ18raRI+!HCT!9VtmL0ZVuSnm*MV2|_Pn_y zb)Ow8=Ks-}TaZFEN3H6!nk<(ltF#e$q*q+eRm#OInE|!oUBz!mOvA)jp{$&A9(_-> za37)%PDMPQ&qQQAo^YLa&>CtvDP2u@mBIvgJZEHeVwjW4HU>^A76f*CdsnGTZG*6J z1u$DQ%uS_tB-$Slpf~XW7n4?Es0Z@i`;*-eGtLMk;k}`*>jVnt@6lZP5Gk@KF~Zz7JrcV7jT1xn64)4E)}^plKT9+Eww@fwGC#SdZ))#QI&7wj@ zH+A`6{}G2>*NBhsxmxHKr{VIcE~hESj^Q(C&uK1Z#%1BqzE-rK_7RP&`-d%z6NO|} zErpJkwb(pZkCHcPC(j!Bo~h$)L;8|PP?k%mSHPZ3`){RM<@1Kd>`G)>KLR(}4#y*h z=Fan|e~wkVjVW(j^?x20N$XuCVJc9^`-jx)wHfi{-gw2GRE@yJ1|;iB!74T$b7?=Q zw0|YhTest`q)?DaRCce%La0j%xE53Y z-8n=Oeg)Id_a@xHq%k9jWX?|p+vEKq%X0$tj^$Gy zmhz9k$CGP6lPJ$#r(Vx^>}ovBr z)isi?fiv0@@k}PZGys`)s{vv3aP(<|zlNumpv~c3Y@v}e^}8Bky>&Ol2A?Cz&%&fu zcOPT(`tG!6Q-Nd!KWROEnsk2oqa7TkynjF8h8I)c`~&I-Qo&2{Lxy+q2A1sFhs_EO zU;d6fir~vtzpP2WJ%lwM5kru`L(AW-R!qA3Xa7+@(-)E<`hu%k?Vwzv#PV~6@~r1#teX3bh?2x8 z=jUju8PNC|pd~`tK}f@Fm?th@*o7oX zH_=i1L9)#9GORk5jNarf*O*3oa+yu<2{4m*_SU3K z!uOP`7UP5+oVo#9n?qJ9CoWS3 zW)L1vRxiX7>oTg1-lAt^J#uL-Aj;=qI6HF- z<@}XI{2(5wqH}>~v64})_9l|6!6<#yRunq(KH({TlZ@OnIHm2uu0Fn$Yq5&E9Wt(sXKmw}(2Kb%SBdJTL+FnJl-^_yuE870zT?FLi^@WR!Z)m87fvVX zvY)Jo`GN((RiL7Ejk&sxz9*Ccw;m5ys~#30(b>b8b&5n*2cM#^tC|CE5@^qeKcCG{=N`Ot>Mxl`d`T}V`E{$$8XJ48-y zc&P4*7h+NU30xP`n`E8*jQjj^oq4i%!t(2LLn5iBjaWBZGJ=0O-gsT2^9|3X6BBT36?IrdRd>)!SAu zU;Yx(UsUru*#fcL~zJRpo}dgf@Chv-`kKdI`1ZH zD?Ez_C_=}m!mE(yiJTP&qmK<<71PeBOy)q0k0om-^osiQ`YPp?TdD7GHtgSaI9lR1 z8m8>;;V)06Tyg$!+_1)j*5kggBGrWv^oU3Hk41R@*I<@CavjB8+y(>Rr$iJCk_Sq3 ziMTq(h4Q#{Ub3d$)bsWoiI-Ip)z|B&Bix?Dgl#K-AWvB* z^=mj&E#@|s7asz1?h4`>yoRuqCvo&m?~uvU{g$dG9|Jbt0N3H+u7V+3SqJVn!g^G| zY12X{!K#fgW8BTyQpdf7s~d%C4^tnha0!~?zc(Z!=@xNlav-i1MdV7+FO5ZYIGP)? zZHak$7Q|f}z-G)c3=?m&1Cep7n|QtpKKA08ro;3C4kLuLS>0ngzWY$`^F<^G90YpK zMdaW#9Sbb4gVHvKDvm{RAS|@d}1LTF=bv-?X}pXMvi>J!D<1b&&1BBeDMLSczdFVHV!Rq5>{nd~y7| zva_?nd5%Js(BlYbj|L$5iKC32TW?@0lvIysraj>tqM{no#v1tP8IC2Js+S9&|jU_Ry>+8QGlutFz|qrPe$HrQ22y4yHgy!DFy^WIeKGfxeBRDfLS?Z~6Ewh=t8 zCo-=*2&!(6A?h1H)L?nkVE#!flqG#j5f-*z(k*wvGS(WE{yq*4(F1U!-z{Wsa}{^`jR9?qDXyDu zO1LRo3A06kO(nsob73CN=@5YEEUka|U(m8_qX;wJhSf~#dvv>`GEl%NBa>qi;(G}~ z8Og#Da%bBFg>qJRU>N+T4+<6>4dy)_2l4+r7$MQmLEJPUDSy8Kn{J4s8sBX&4}Xl$ zHTGQcXK!2ZsUO7oD^gn1dgwzhxT;Q5vlT|vv)c_fEcgT-x?3?F^G8Ja$T=jVI0mF{em(V{n$RnPjEpK=tD`)T{AG5YzmTm@oMatB>0|cS&y2x-^<<+#}_=` zq{NFwu^?C)OsTpUFYDQtF|Hh#gfckU1 zpi6s_*bAvwqfZ3M*|ir{Rhp5Uf?deKWOJDR2m=lE-r>@lRw&e2h?Ke^*x}~fH5)I4 zj=bBz2~-AN#O76F5_wL;=sSiv#LkE2_JXNIBud8VSM+RYSZ`wY?k7GuuxtV^d?>Do zaYUJHA{qt0RJe8}_aWSOM$C}8E&jUj zRuFxQgzTh)s4Qp}n6?H0v*tRHx2^#8`!yst$t3pnAHaK=y{(JMF?hc*X^~@7GPbwr zAQ^*(f-T+erHyB?gO3DDIJ+^od_C!CqSq}jA>u)X)Q4|C?4?0iSQ$n#Lb?;XXXlY= zMI^RRb7(D|iK{yLj}Zi~#mXcZ*d|txlR8E-;q}$gDoG?(jj{zxpKBz{GYN}|mURrfxR24=-uoAwZ;lV-h5zxYI?+1C z2ZYnkBT>Z*C~Um7LbRbx!U`1?LP6K#=Tiot2M-0}wDT6zyu+Kmu$v+D^ z+r@Owv_v*$)3eDUlWAyn^Pd_IJ>XQiFQ86(g4TNrop%s9H5|J_D@=xyZ_?93v&J64 ze)ePABpVXc_GpRGewcSc?qO+R3t?v%VAJWBp+<=iBUy$_@^2t*d5v?|ZEI58_kwzb zK&KwJIw?-LY86VKyB&+pMPotbFM8|repVddBQmuw2HjyMF*;`#Xve)o*e$ncY+1b8 zEH9dv$j{=yy{#j8oF8!h_7Jf&6-6)ZZDW$3OS{)Y57NF6mmV7s0=@yveyjH~t+b)K zq-of!F&X8!+#&qIgRrsvI+|P8o35wnzj0zxF0h|!q@79xQ{xG{{4!RIQdoP;Een?9 zZzE<0TG8b#v8$IW;xtli3247R(4M_pV16vX?A9crYd?dX%Q>Lwm`|E^QECGk8kn;Q zr$&Tr_5-J zL(Jcez$)4f8i^TX#p5z{k@C7)z*ysJF{3rS_}xg*?-taOR(fF8dks6?8=3WbN2J_f z)TBRzCfM52f;&;mdkuOJZS~BB*zz)Ig9nBG|21mt@{lrnUXh!RTa}Mz@8a>L!vXV{ zie;5Mh+@+LDx3C#*?gv4_UD{tA64=X+;YO4QEfIQ4DgrB^F+=K9S8}Q#*?y!PO58n zB?7^FD%@y-o1FBp@`4fSIzgx)VGa?9eW}%O6&+hq&9d-4bF%+JritMBIJ=C9{UZn` z@i7(WFQWa=CTDB+y_>9?r3+<&H1D>-m@r;y!u$M$@Q)OM=X)M#NS4Djwxiy` zof`3|{}6W~Cn)&W2d_#zMx7M-lyR<{M{~cJ;Etcy)MXRRuz~EFt1vH@EX}xYb$Mp? zgl7973Uq_@Xt+lT+%g0S@Ve=b$b|IThoN} z>_te~@eftmSD`sSuCaFQJ8{*fuWC_$3ph7CQP45K@(Tm;r9V#l$lCLfQ8M^X^D40Q zz8ch>)K1Lo^~p`qdCR&`2|hW{Sd*XJ!q&8$17B>xjQ(Bii={Dl)nyHLiHW1q+o;!j zsc@UI;I}@*&C1b9{bU5nDbSa>lkyF- z@LD4rl&PCS_Dp;jV02@xhO^ETz0dSplJwsn%$1(KF1i1)$bu^bAI)WKm8&8Gi?O7A zWU|kypret;k%fjgL7%K2R2s!=)dwwkCsHMW_kamP=E|cuGp`&e3(q0%m{Xy;XsF4+ zTP(9F@j7(ogjCS7ldk(nKm%olm66GFWC1k?}V&}XEP;1`ctaMlKma2(! zNEm+FyY08f5p{DqLGyKiaP}ukn}@ZaRXKVQ9-=^6w@U|}!g;`#+(V&5vjYX(MjX6Y zjgCF}zQTp!p-g#T&}^0Yl3ed~zT$)Wgfq+^HBXBrYzwA;yd1%Dm+m4Lm73M8=O)7J zwId?YYoeq@FwYYdth)mms1dkpk0ZSqT{?v=&Otgob2Qd1Qlnb+2t8ibf61Y?w~YAf z9g-WENSOm#I(BP@dun0$;@`bt&@3G}U~a^8O}C`m$IR838a%*e&#H-F;3x2ARN*dz zAuw}q!0Wbh;herj`Z@l+YOYtDTD6iPfUE4Ktvu;{|aQEJW-Ichf7U8(Hz=ZW7dUit_b#pdM)sJzhU8 z>~}BOu%AnX5gRevIRg5rpO7&!mDKBBr6nG|>_&g^XKiyKj*Dc0e~h$Yxr*n+YFH2! zUb6!&=?hfltdBWQgYMte9B4uD~Vz z)(FhRIn%VpF5K5mu1T~mb`X2Py$c;lazBhf-Mxw_e}^eCtNahR5YRa!SE1s@VH#!S zOXxl3(hQ?#$WC+*2gz)Sxzj@Qe$ykb-`XRQffg$RY*6j8{>&Eo##<)|C&~uMRvK{% z{LcqygMJ7W#zOzc3j-Wr81}H+&vJVFXivo};qQ03iKj=zpd*KK8aSQ7$h7398EfYUp5B?i5XXKRt_e*D z5;IVjbtf>-?Fg!WI1RqjWbDzHkC*<{>?In$m=s=!RoloGVOGMWJ-z=RGhG*`Qya~o ze>6n=2s>((v5;7VucACRE;XLIiV74*9po^`n7@dn1^rIAmj+vaetZC4e#sFu^sOYz z#mt8nrmrSJ*@0sPb?O<@zK@q(sZ%SPn`!x-OL(H$e8)aB2CEnTy+z}ky$3BgR^p>N z`Vcg5XBj5M7aR9~#1zY#2zVzFQk6%GxDXFZaNraVB#PEnYj&!fBR z^#WX^Cn#hOq4MR&&^S7AaqZJMTwBu(9=f;GYHk9m`%~%5yLXR%JGD|F%iataT7g7# zbvNms`k4_=zlMX&LU3hyA0dZ(5Rqs5UCf{OA`|66q-=SHPc%&oOYqr_%(5pUMW!>p z`Edfn?E#I*z3cdqZ23rz+=HYW8IyPaeU4B8k6!M4S8AQWLrqR2(b`x)l`uVuJ}aHU z$f_SwL4*totV3W9t|5`1b_dIU6fn;AgQ$774ZPb4IQZs1dHviN_-vNoy=);v|EiuO z3-Jek)k|!ZxEYzWP6bUZ9~&nP#Ck(#;DYT(CXCrb7!&Xzs~o}+8zx4q*Cot+T7u2& zZ$oxK8<}4+&RtO9huC?2k)k%sclD2hn%dpH$c5)2J|aICJkLuJQnu<}q&kD(xj2R! zWZ~#Q*Fz>W!qQqhV6pn%t~3$HQ-_%-4{YrXx?x5@$~ND{4=iv9uTe?2Y}hGS*mjk* z)tigUXUw5?+DMXg|E+M)+?N`$|39?*VB6aA5@Xy@y~I(7jIc;|mRRRo6MoZE_*gLWMI-W0T7_-Jl>X(H?A?twmHyHQ1dAG3k;V%*{a*jP4 zWa}|5Y^;*O0^p1k<}a|S`U8$myw9*v zp5)*^cFe-3k0K59TqN~ZK109iPt6|5unKLW!8yjL!sI=YSiJOxcN&ekF>qY{(qh19 z2H+g`0N~1QO>e5=(%b66LZ;0dH`F*IK}~OJ$Apru+f~FqTO;b&Sfws3F;mAzeq?^< zY1J88p+S2`2U;AOL9Y0JU=$<;1+BS;R2IFcs570cdA&g$?~$TRPm6M~OZkixJ603t zb_{2g1Jy75fw_@f&^uhl&)*JO)vmtFcF#YKhBR!5G~#Zf0^efXB^d(0JNLK#iAH@m z5*3`aj7nR~afabanl|cRR`pIu*rYbxQ{q8H)1O(gopVTPKsFJ+CZx%>1@1p+Vt)2x zI;SKB4>*t+$EmI(s)@n}_#<>qw#&FP5N+?S-+wYmL(O3iz^iQF+%jH2A`&A1Qu0IO^Ni&o5loV0=SadvomeDmo&WdExt zn!}C9oKshC{omZLyaDs~Q@|{q{(Lw?(@w(yb{!qk<3i0>6+e=#Kg!`QRw3dLW^1j@*o@73Y## zu?OLAYN581`0nmuQv+V7P1Bs+oF>~i-$8Tbs)wd)s41}-zE_;8T*7dA+`;=24%lfD zHD5iA9>4l)WNeL;?e0AiGle@5H+dS4E2v}{L;E$tovl(+tr7HrCsFCy1w^x8QH<62 ztLQZyWS?-R1y31~G|D;U77|{o#J27JOqZU79=bVFUB4?5yfKimw66fp22aFcj^SIH zz$IZqd!|>{Nk)(#_q#^Zt#&b^vd=yUZ>pa}RIwB=|7yZXQ4l-GvCwHZpy_w~Sn=8q zRLhN_E(YJor0gh-fzjQ#hGRm~Y5Yi?_dZk8RbKo1jFl+!MAXXfBb9&_O-1^W_g}+< z1y?4Z`puzJ>X+ui?@XT2w4+ZTBWlGmg9StkSyY}Q6mmiNaT@%=7)=}V+1o2^J8wtc zwFE)RI68UJCzdt9#ANDcvNEEG)dt#XIF0t0v2VvK-)Y&5r@nZu9`(_t?4pXFFR8IW zNpc;0kSeDieJKxPT{w@3+m%H^-ScmxZ+(xZlY5pme8SV%W^YEDl2xp`cE;pfi%vIV zCZ1SXgLm{OaQ>S|Q%)a&Mm}L7HCep^>6SN9)+Cp1+O>gYTfZWsbw7}m^I0s@TTee^ ztx`8f->3Ta3$Rf|H9k|qjI%$xuB zzO@e+!t#cWhdesaR6pS2%p^YO0KcG-pQo%9*X~1FgNG<{BO(0qsiddXl*)J)Nlnsf zDlLzr&C8#9aZP=&nQp>-CEYQ& zucqSs3nI&VLwX8#ko@@mmQ7D%QT*u{gdcPRN5<;Ms6;Cu*X%Vijc7$u;2x5cchwpD zwiX{ry&PXh`S42NyR5)YH=Zz8vJX#wmwve(jKg!v(GPb~yrKCRcchA;eB79Lp6*(s`9%>t`lpI7xg&WY1z`?<5*9XP$n{tsweiqd0cC@+I z6HUXh=U7fP#yw||5pB{;Y3!Le@f7URaAGfgPl`x+r_Q#^f zwS-eR9r+INm3{tIYty2C*-g;z66q>#BgQ53wxP96{mW48>dj-!YR1M?=GEO zJIkdPofs$1ubJ{{+f9+pu54_U6ieHohpn$L2G5fqwdZ(UXTOGMbc|q5xQI8s> zrKHipr;QnLSO=Qy8b7L5{|3GBszqJul87?id?LD(Q*bUhfQPUi^9o~;py36nuh@?C zQf6DJ%IitPu8Xw!SRj#w+ypOpB{h2)-GMY~P1Fl%Cl&Aik|P>_Z*hMl2ZTMS81 z37;GrF_RVd%A=}K84*kRKsN0_Bx?tbRBtH?dsnI1bv}Mn%ABEU=Dq40>$womS739S`v7kgW;JoK>2!iM=%PSGvUazzqGvjcRU7jd1TAp++WDY*~% zs9~Q;f$I|X-tZEE;C?GnUG@h|r%<9BeGOUfIzqHeE#(Ydj--C4km>CT!W(=MH-)mJ zbtS2w8%;yH=ybsJ4}o6&6;<`kq^g2VsB1(U6#&m(Cj-4ps~%bMnGKZpy@Xv7hvC}& zpUH-#JJGqO=0p%`jH4|))dIbC9JP0bCh9@#cwPBj9BpaFGTCi(!wOZnUF$qKQ@0y+ zj~-2|`)&fgAe`h!gxQjhoh4~VH75hvHpY{5**;P_J7|J2 zc$Vtt_M%zW)}sc8OW?bmi(S6F=L)#FSal^7_)QG z_X{jC*+{suK}6{^53{|CNaa+b&iQlSZ_g$w%MHL!M|CmM>Sg5fixm?^(!D4^^q1w4 z=oqz~RR`O3@FlV4m(oVhTt{W~3(9*G$J8#5RvXJ3>GLn!SSvqo%&Yf7x~-!~&AxN$ z?&zt=tZg?@xeuiVSwGaOse0Jti5_tOg_O~LBz4C;vC2t+%MYeP#+wh(xlUgp7@B}R zDk9w^d7}WMQo!>i^*FS54r^BkGpx5B&0O|6Ovj6XXYB!D{3lV-w+5tNd5ds#d!he+ z3>cA|8r99zH1xC){^v#H)MN>pK(-)pR5SR1q&RiHtNKU#-_Fj7D*9v1&=oRi26oQV z&>G$cTD$bO=QIf`S9Ky;iaWARs)a0(hr~I54$XN`iTGBDm{;wC#BWEzy!-(_s~I-6 zE_o#8^^~gX`0r51l_*BMWj!fgJy^qR$VD}+9>_=sz2EIgsP>8ts(WLA6Mky3xN#Au}{Nqq}IAIt2+HjpYEh)<(*V9*bjBJ0Zy&W{jIIV%}vXYcx^CN zHNK;~zZ%#-pT3I9eg2CWCC@`fp|K<(Mu>8EKcaukZg6Hr8hUqsKee(_hntGt(bdNe zsI$g6VrS_T(2#easK9q#LHD^tj$Ij5`9P%80!H6^6uPeXrD3Z;J9)&OtbO9B`Mb$J z-ss+D%{?nWjZ6MNX!6(FEHm#Awbmu#s_X++X(|bL-iW+T(Lp5Tm#}SqGCi3UJwf%f z;(z%;9Q~7!S8+P_{#q+Fo0^H5WUj=eG7p*S`jPdQkFaY`ck-2&{As50I@H#GA%j`w z(zwDVM9OJ|9F`A|Z6c>xq9oLCYbfMLFxcYsQrW#IyYYgQ0Bk<=IPO3FlQJW8GGgXi z5mswKRHk!@Y9PX8i``$9p787Rp2IS$_Oc&<97c?93phsvyS$VzDza7StK4-q3 zYTqw4{>ao4wW&NCJO!X-{Ph|OKnIxdFch=rXXAxC&S^}UiAdEo4e#uTovccq1NY+; zY4Z#WU>_7Y>*c?sE*u_}pK%1Q%PQcvW|E<wS2_z-&Gz37A3i0Nqz#YN2{WNSYs#B+MxRgb>fY{@fNhf@!| zrflm0vSaQ;P148$=3~KQwbt(oYRbDua+`FxN58~X3s?oq?L^wv4_FY;gB7Md76qO&vo{S& z+60{IA*!4hfEBhkXqwX;qC9z#x|GksJ)#DmHo1I^@@k!jGqaGSZkiN(Mjbn*+| zRnLtgHO!3rP;XlC$IB6FWset5i-?2X^9kM1FjigB6ysQD2>Ejd3(+PwOPSp%@JNO@ z<7i%E5MZ3}`Qk~cDG%ydE6mp}G_`a(%*fx-oC4Fe%3*)f`cMTrar>G&^CY5Q2kjr{ zna%-yqz>1VuRx}~#z9RkK?=9IRMp;z6f3LgyZ7VdStq?AFZwmLb-W5%!DE;|7(9R9 zBM8^9AIVDkL>rcdQ3-?LxfaqO&=CwWyTO|${l$}ThQQ3Y*aI+F+PeLZ<=*4c9ZYql zSUgFK3+Fm6F5jAk7Y2OR*bHN6@a#=UI{PqNdf5rv+56DK2R&-3rw_I6b&-^8PhthU z_tiV9&kY_j(Ef4!Us0?y%1x79O_U;!f zGh+Z^^L&wBBV@K_?}1$WpU~qBB(bPCiqVLvHD5s@e?E5S&(;CA_%CAPPSDIJPNe** z8B*qrf#;A=t$Y|sHE@TTc8Lfd492F%18IzGp+*>c9f`vNVP?Mz1V9yXmcAvurmj(& z8u!rdqYiwg*CA5!Yd2$kqnK!u6qe%Q=ENGbJ>o(SxW5Ld&cTziN%=&-? z5Ael;lpDw*q=1T}_3&%YIL)k+2OOOjF)J9|Jenhi-4G#O=xqC2XDKfTvm=D4;yjn8 zGCPPgv54AL#~_zNQ_w$yo&q!}wQL`3EKt))N9!~;Mstt{WSDVwj$q`MUZZ`5MzXBC zBS`J2B37)n#rk)5GN$Jq;qIt9T%A`DZ0f%d8#9R{<=qr_;oa@@@RWsKBDE`0mg}Le zt+pyIV=LRSC)w_#VwzH4wW52{PReu&5HI8`oSUHMnU_h6tcui=^xmo)I>K>I z&1lM;kEZ73;gExwMec;1W`te$!1J{ml`dL^M^9W4pt8$E+QLVKzYlf+d30;o5<8q` zx{;W+yvIgEJHUINg0$JQiB9wnWH_ycy%S65vCre#t^*U1e&SMi_X}|Dk#JJsc$lgz z)}wFs&Nf`KR3qe^M1uVo_I`lB8g^YsB^6lp)DZK79#XF2E{^|s8*(u2AtM`qR-faF zXZ!rc7LU2h0{$;4-Pw$VQ{}ig;-p#;V}lhz1YP;{LfyaRP4qbPN{x}_Tdk36F>kNM1!Bmx=PL$Um0i7((dAoYK<(3sG&A5G5fsdW`}T$?pE5|$zs*I(Tt-Y{1^5hmT(e%RM9P#4 z^!bA4UN(G~8?FrZt~hT?gh)wK4_{(f?pq?b@DtbXI*2n(=OfNKOO1;ZYB95z7&!>3 zfSZ7h_cdX~-?SG@jH733Xb;&wo!nt)r*PvP*Eq)jF(fcn2PC@ZWrZ`CN~srYXay zs&O%39|o`|LroRtx1cAofSgE!-?IZUd3q;mi+iY-FfSSEy#dxO_CfriBaqm20(gAZ z;LfD8>av|axKIcgX*oO4I4cu1wYpBkbM`vE@!}V& z&kTk>Qi%mUDms7kv^C>Dy$S%2GJchp%DfnC$rlvdCCb(g$gb#1MU!N>9)8-$9%6$f zUwp)#ryvja3y~JAW4doIM9NoUn&Mcsq}6-Mn7@Xl%B-c+w{ylC%!Dm9n^_~$zKUXvhG z=sONK%F@*B8iZ1rc4}n!)z>7Tg=yeNQma#c`wrkKv8-d_prrawzYEA1xohNtC$}wEKd-LlbCVx^6#1s*~RlpQ}Iv&M*_Ed0UG};G8&t`zumY zJ)Ll`G|+%37io8*FV*_Z$1X{CDL-Ws)rq>n=YEY^m&XEjzKRM9chatE3uJR;)WC93a+NHjbS@{?-tL!*vSZ(`RfWsa*Sw3nS$i%Z;T+5JvZw&)kumTyAW zxAE0SK-aJb=R1@;tq2fB*-#_?Sn&2W(j{NLmIx!#QO|NQ9%epXCAh!`O@3c;^pJ_G z(C{cRZm}ftS8m`R?gjVm8))VxA=B1+=+%l)i~B-*m3Jhm3%vz-GS6vK?H0H>vd z+Ni}$(Aig=qF0Cg!S*m_R45)oUm2@etEL=$^hu#(x_&mDHgAw`X~BA07CQ^PC_f?l za6T3&oRBohfOOvQR}04Y;mQydE3gM&qUUY3GWHG?JQ30z6)TyZw;#gITKnM|y$ssf z@hYBuE&=U#xUVQF0*eNOlMM$)$0?jgQ`5fbG9-BXkY?p?%|(;Q8CkdXha3uhZ1eO3?6Hc)kN>c?-<{s=Fe1e-N<3Xc z*~ta8!C?gGzGh+bMjOyAU%}@VM8(!nPc}{OH69$+xz+-91ekp_M&UQ#YmRcJsGAnR zznSX>9;j+s|8ONP+Z=|?LEpsRA){K!UqsxNPOL-yVaBteUA@DpO3w+I=ci#_-(xh+ z;RoC8AA;EDvq;K&d*HnWVyib2!ml3!92<{n7O%AIdLD+2D?LbKf?;^YRa?ZVw#1V8 zi|qN_Bsi-K0rO5qLO&zIRt*7f_f!%*C;-i~H*wR7o&uKOg(G+(hZ@IfX7>CkERUOj zyS>2E)nZ9&T8xpZeHiJ{`x}c7%%?m4oVyC@G|Di1Km>~>(M;|EWabYU|GS1ErEUb( z$qVVm&f}ALnM+BxWGgxHufDoQ(huGVE$Ydi4_MbDDi&R)-FK3)$~qj~&5WN|=g}7h z{h^0_4PIE91(&(2X2bkc?L#+18*O-dsk&_Q7gU<{FD{!ilV(W|(eIwYO7lOu6{Af2 z9n+tJ9_!jSl$ySt7=;YMpxq>K2g+}?#4_WBlf!a_3wb&7> z&b~)Zmxr;+yD#bT^$QvC;%@NM7oxH0nKH9t2Xx}EV{DrCHoa947;G`r5Y4UYa8Nds zK%V+fO{VEmYIQtZp(^-_M3AYSsV%0W;YW!}^;6L+|0!%5bA@su79;QQ+SMmC)$#Do zQroNohMm3w?YREcSD&*7FL&TiWm}Gsj5q($(upou_@sbj6;^@vc?>;ldT){_jzh|R z*@FgGgIqn*M#jxN83{8cw$q-$O4OI~eZbQOI&%vS%yWyPVNY2HwX)75;&H{8r`t}% zC(N)gYcK3Gn1h5a7YWDD4i){{GrC*a9}VH(a0#!M$y3^OzIGu}^002ib{c7(i z({e>rY0(d-a<_v&p&2*RN?LfcT5~vLGHYbmfL$bi5T2iu@;~$;mwuIb>5@)S>#zh; zbR%6O<84Gag;`WwHJqBakEgsNUr10(CeHNK{qAo_!smYA#a&Ihw zV1LA?9k{3RJ?Y^Hz7mj+?0@z3zlgfubReuCD^CBm}J3KTeC zxjQq7PlV|{$aA{^``o+EhP`?d8_U0tnG@ZZ7as;pRmN_ke207L$=BX7Ln^#Ao%x}R z#nkKMg-e3SIq4hbpGiZ`+293NWMb8m!Kl3C6t45Qi^knYt6=tmeRNGy@3`hGO~YOiUdw1Ae!dU(`+UVJ_9CWBJluKNi3qTA$c-#U z89kMVNgG3DCI*`Ly5UZ4;q1l zeOR2)VNZBBnrK$n2Gsko2g?bC{fdRh;5s26LtYKpiQ8!1?muu&z!Eh1T<%2He=N~) ztRPeO4DM;nL|FhGDX%>SElDd9Z3y{&UD)d9FX~*FLlx{4wW6;X=`Ps{&x;|g4HXf^ zj-}L8Pfk@k-s7!@+rq^g5b8D+t9MP{RTKT%dRde=CykVrmKR)hg5w zItFHIL%Om2b8tcG)Se(AWSAr~OuWB;ZPUiPjB4zU)JSNa0sA=zUnj~&noenuR@*oTRB3Y>} z2yuit!4tbwpGI{yjrf-&J4({xJ59LyD&{2(!rGQZVi$1;iHCy*x#S6aMhDVW_XK4% z7U8n2Q~2k^6#G#-1#lnAfScD4&C8}}J3ZK+z8u1jXf*w&b z`^`uoJ%O7?b|J0<b_%5l}`rJZm%oY^jQaPnDE9|v%@T?bPnWp zKd?pGh-xZ;JOhu&E5fxm@->+k=8(E|ne^KB>_}zbC#Zh^2~u~f1xYrYmDY6xz&wyl zInqs_FB^!vMe~50b)^+s7r}0x&6xi)f-oWpT;m(8a*+}VcNfiAT0#U5A~2ghld7@@ zpsx8M$N^Z4Sim3ZyoakrEz^*!JRV!XKE5H&87yDa3^=)l`12P|V&*$yjwd1g*REDJ zzgmh4ujF}G!1MlNeuGR@z6;gxuEH$83>ir?2$MgISd0lFW>Zd6ahN^2UisS1?AMPl z<9}WQc4`m1NuR9}yskrLwHHx_LP)LZ5=p(-n#iE%*<^5rtsK$J*o$TbaFd@RSv6#J z&%A=I0^D($s1xz;wP8ISAC-^3LgSuBhH9eRSF$1y=J)?aOedKD&JiQ-bh{Ix%BN&< zH&;!qG9$YsyI|+&R=|s<&0u+cNKh>x^}BgB=A+kd=*uSeYM${Gd6wso<-Ccf=T(<_(9s+ynbmz?&Wsjw_z`qw>ME zC_d*Ic;C0< zG8PiE;j8K62Cv0ISGzIeXNv5qlZXjAhn5J(`ea;rPvlKc0N;E9xkx98vhgU%lnjDr zKFV8c5Qg}LXA!5S4YQ(Z!~s90d;(|(@7N>P&#E=zZ+oaSGmA1w2f=f5imL9zP71CA zeY<9wW8+Z!~UDX6DiN(YaKXP4#F2B;F-DGNR}RroT}Bj1A}+83xJ zqMg=?;_B$lt!7T_ly^k0@fxWpQ4{8wm}IsLBkNTqt{evo>XwnDRAnt9{D|XJ*?0q6 za5%uNy`k&l3R$ba6YtLJR58)l~q@t8HIKy{`Q;p65D=m*=rQj49HE96z z;mCeQn7EIa*1~>U@bcBwgo5WY05G;cXsOE`v^V$2^3v z2|0DtLOB4%+m0ZeN?Wx|F^%PkvZz^NHhvsb7c1W5Ld@iAkf^m9O;U8ai5BlC4aq}s zf_WcYdutgj`776^`uTkJ__QH0g6#8D)N3>0cDyAD$h)g3xd?n^AT8nr2#N!v1MD~( zh{`t}GCWJsj3K?o+w1}@L}3DOLjlC>-Vk5W-a7RBcociq|BN{C6gg3&{l6RvYx_4;-N2z5|VIp?8g$@ zouB!N9WRin-WbeZI2_qlo}>d3gskb5eyIGD5pCy>(r1VEL^n`vKlMU-21yH znMr!lMUDDwg{u|pHnzmihg*1?RD<7aiWz8zA!EdI0?}*kWP6}aGu7Ym!529SzJx>S zN=~!2-XpoqgF`TL^%xG`K8U7nyR}w);5+a{;15jAN2yZS8zyL`vJluukaiOGhN*&k zmhFVxuDw`TJ`wgNek8UGcxpJ%!#@e4%EGUx>l4g$esIr67gIC&W+ZF80KH=}%+k-u z_cwZpFK5=z5m9Wb# z7%AsWf^6qysCgmyc;&CabM+4?US1)CJOz|0GNfy7Mn-biIYF=KMEFS}EP(6H13xwT)$`yQZ&8j;k2Y6LC2ZqJRMitUQ7=E6 z%Iwqd%4fS^pZj5AVxV4IzhZ*rr0DyCdX~W-7GH*4mJ!5MdK8OC2VslKHPlS|60pDC zNcih1)CSny_+l&Kg{mx61Ho>9}<6`;HGraYfok~(wZnnwyldBSHK%v)$r z1U0>=N4AvYYpYpP-BNPME}xNQ{{?$7cjI`su}BLUYpJkP@ui@UDOuDR(>F1M~ zZ$Qc%cLqGYAFI(X@Bcp>P7>meWD!0{X|x%e)$aT2ctb)|r9H!!kOW68J$#|&cuybG& z^oAmM#`6jD^*H87OrzcX(~(W63A*%m$7C5~(VLFT#-5!Ec(>myViuB_gGaw~Wyl!Ni>pEc^z%@eWEZx?*Od2pT@JF~($epsA(m9Xb* zh~iQxRziKt%C@Inx}lgY8B8*s_Cgl+E2*euBNg(LfQPz*o^3u7*zZR<9TkLaTLD?^ zeSg<0Vv+QS)V;Y%%a=!!Lrp836+eR@->M1SuyR!^X2$~#6NHAuTwW~Wou|@DC(@wr zPwI|$YJ{@kSk-ltX0=je(OV~0R6)M8-wNt_;Ks@)FSo7Kg&aW>&5M{U`!djV7hvts z8kCp(()stEFe8txIKd4v;{9T97pEC{DK;{P+#k4Pr4Iog>3C$Dw-4~mT-x=l5qnO% z6p+Tdjs!j7G=iQBFnY6|7-t7!BV9JF^A@r~UoVpO;xZNhKCYBsL4xnmL_g-xIIi}D zR4ET3s)v6dHlhb~{aT{_={C9hcn|hqJ|b(_Ki}tU|9E+3lVx%vU}5p5D;^|JnM#pSF4})U@Xp)SVUzzvKCT|7@H( literal 0 HcmV?d00001 diff --git a/tests/11.out b/tests/11.out new file mode 100644 index 0000000..4123362 --- /dev/null +++ b/tests/11.out @@ -0,0 +1,32 @@ +REG 003AD23688890019 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 00000000972B0000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 004080C0D0C0C089 +REG 0000000000000000 +REG 0000000000000317 +REG FFC52DC9E05DFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFD1 +REG 000000000000002F +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF89A0 +REG 0000000000000000 +REG 0000000000000006 +REG 0000000000000000 +REG 0808080503040000 +REG 0808080503040000 +REG 0000000000000030 +REG 0000000000000000 +REG 0000000104005000 diff --git a/tests/110.bin b/tests/110.bin new file mode 100644 index 0000000000000000000000000000000000000000..bf30e5692ec8415536d23fea60aed513e1c26482 GIT binary patch literal 33468 zcmW(-cUTk6*9~BaJ%I#J6oU9ttcfO&C~B}`c{LOPl_niQiYBs~+?l}+R;1eiJ9bb| zu!0pkMFGo8v10itDrjQ;#_tcFo#!CQ?(EFH=bn2;4u>Nbed-4XOt|!?2V@#?fWzUq z(x2e#-8l@shW#CLI3kMKQp}cOwqZte{O6C-_0OM46r04*YuMj0pC9bX=Z8`(l%dzK zzvGmYWY?6G)f8LJ&}-P=F^5w?KW{(9_S3)JPycp5{k#hLdDkg+oqor4`n}hKjW{i? zCdRKR_L}M1<#ky1Zm)y8b?1!l(v`dDdUq~sY{Cua7;zW<;fTin;W$yuiKW-@zhllH z<#^7YnG~DJ(rftN@&5h6Bm_K{*A!+CT}=W7Co!wb3Iou{SO2oW9A`J!!@z{FXHXOEQ4kK)vhGaG?pyLX~+q;2v*cfbkXa~3y z6`_m=ZA7rAJ2Ee^MfZ=ydx;M$Bl(?qt|dud@lUrK!K&(=xFLE2(M(xQcIEe&Am=Ut z+Y3iLC3Ct!PVjGJZ)pUhlgEwB=%NL^avIwFSjI}B8rk0f-~Nlx2-kZozis5GQ0ZH>&B@(tA08f4p}4>60KkEPrubW-zDXB2e< z)S~0qK~mtWPyx<5ay7O`)3Zc-$ITG*c?yaOLcHBAL_TaKR*6!O z#lA_Uogp*oj7S6MjOn>K&m+{$`rCtY2-XN*l zE-Z6-NTjpZVe`vs*^2oL$NQepD_@pi%PMwWic@yE<+jb zdk(9qn2$WFjgdmX7ny1|XuHLDXlrMjfZ<oYYp`o?i>Rfyu z*&3!0u_y+W{XDZsG@~al8BdTbehN}rUctskK1|G?R)7>H6QFF|HLQue2kepFP;x1R zs6ToIjkH=Q?P22RSJXKY9*`WmTbAz10%i8uip3G`WeHNplEin#lxxW&P0nsMko6U4Ya+ zm&iLOgVw%{Kxrr53R z7bNtpBQ|jx$J%I`>3qf^UaKdBWsPLn1v|0YJqrh2zYMaYXOXNhmt^xAQC*Mmc-`6M ztmeHG>#L1{*Cru6rw35JV*}cgHl4}1GZyUI#F$whg3Jql5!0VRG?w2c^{FS}^ACYe zp*xKiPMl~r!=Iy*27SSu3u;j1o{2ifq$d_E9fz{!|AGT_@1^IO!lE&2!VZ{JVH>v? zIHc?Fu(MGw&HG{nR{q(8qzRqyDs#dJsnP>f6?WJzrYm#Os$h7@!E?CeUv5e* zYRj6{MKF@hS{egVi33*xj!#Dgv8FyaFTNjnzR8zuzbKA=ojTm3x_ZYzO+yM@<1^sJ zoq(}xdwmW40-JnnjDrzlX@g55w?X&LF#+XQ+#o~b1fARfoKg0S-gj4In)wU1uBu~{ z@@2@u&l~UAGlW%hR75f-2d57`tBw2Cm>7}um9Ehqq`{Dl*_0Ga-yH~Vt$^$lEvb>m z5!0HkI=S&ORD)0Y@7}RmxA4l0u^DOiA!tr5mNC9ip)O@ljx`E6Xk3W(2})$st&`Nv z|Cepr?KZJ_`vt=Cb|K-OtwictMHHr0v#{3QudHXFD z7Y#%XWldP{_B;wJ{AbKT;~8{a6=L~hQ=%^UgH40iz-*JX%-eE zttAg43q576Nk~1g4`Gtmk=Y(6nBBTgPf2|hSypqGJ!KO+j2HYJi&B51Z0|{!QAv^J zVkL^WX7XTQixQCf!7D7Y@y6+yeTY-Qb4ES}}i0mCEzNmjH1i4RmE^;~Dnx9kt4 z)2AcB;X<74nv75&1Z5_6!TkPwR8#hHY4d+)Xs#Hc zRI}Sax^p0s20g<2ol|`@;xzIjIxtDtqDS&z5lZ~MmPqWQQBAHZN#`yjdgd0%s+3{} z`7u<_uYtN5JkXT!NvNlzhlp(@>VoN5Bk}<*Eu!R64AC$Kkn76Oa{IYZSGfxK?yHGJ zze88cw?aaBE|Fhefcab@s9#Tk{=3En=Ph+60@J&gnaRcKx#vN}bs&mr7t*OJAjhh< zME15*dzOrf0Q>3`GJ5A(X@)=DH-*b-UcU|vH7pA1Jq0_bj0SPUMqI<2L!1jj34f~- zieBNS4cz63Mg2R8>C-9TIeOD*$`4Sh-F-H#VX(hu^>+GB(?@eT&)xVfLkU0l6cPH- zd2Ia+tcexY%soIGpQ4i_6>N+{!@hD=sUS!I$7A>QC1~Jc1dNJCAq%vr!SZsZl z$Wp>kZE-wdHq?M-t0OYscNL3_GDzmOWc+mpYb{OrfU{$r@EeOb&Dzw-gB6!Ipo4EG zv5ePOaIoBg4Z$C=bX_IPykW_zQ$mqA?gXl3lW@tzEyVAEzhlPv3wZgx*4`Xgne!r3-|93sUIEW<1!+&dFpys?B=3Gb(P@6pN7-ThQRyWeo}A(4w7c6c#jA#O zI%b0s-nf+oDO6j?^c%Z%vRVFi=HAJWVBQZ^rwzj*$puo9vmR+m7J}5(32Aa7L0o?r z9r<;K?OAW>8#tkp1kUm!O~r!-GK+uX()^XAW3Mmnk#nA@W=@0b&U!3qPeV%1OUUWC zfYd*SAdyos>8jqL=CAkzS^ak)^%No8e(s@@-yV$|5?10p&JjuGg?&I+T}2!!0`RwQ zSdynV177eJkQMF6IqgHiG_xl;Xnd38xUWRzs{*m0_B)oIC?pXVt#sW~PZ`;^VdQXA zC0qLTceKRm&OrHPgf&gGh{eF2XvqFBhMlw<1i`bBMZqBGaps$6`53y!%J)m_W}HBZ zg)0`hI66?S9EPiTtMRn&a_vFmR4|+U4`#Rjpt1iZmL*i+j;IQvwzy85Q@$cuvLBL< zS%4T%YmgQ_BQ1BVBcy3DSQeRt@=q3^7a>kQ`lw+b`s9Vno>#*7AYo+wy6=QZ7)x?b z|EI|IUjy=6+sTL(W=j>0Z?J;7iqsKr#X_@RJD)uGv#xUSdJ36nrWdoQN z&cmwb>vS^T`B3d1LuPy|5=rwDNymUoLvgRjVvf=(% z^yn@A%kkO8$8qATRV>3hk0T%b&?a_^!~DVBb?TJ8#1OR~yE!&t;hyVAnDz$O?;Zy% zFBAm1|AKiNK?3b@y6@~J1q+>ZQ*vyVC9a(f!jOD$D7%PU3T6;>ydM}983?bH2Z5_i zNWzsuc4NQ`ZQa((xcP$?RTrd#ENL%FtX{%M4tz(V-QKwNQy(~Jc&hbUudYy7P7v0mZ+V6VCC0+%d)brt)4p}t9UgT@}*A8l$k(XO&nHCo{O%H{WmnIn>UnMog^jsWxyD32Te{qtvj4Z z*6vtG2UROLTMj{m|5$r!`Yj>lQhvF_5I+IY(p=3Jn!g zC1&!cbj`TqtgXNB&6sv()ww{eOUf;f7jmFsn=4Y+T*1sxf5=L-08Mim5lQ;MwtJhr z9GE?%{M=*`Trx?Mlzjt@{O6)~y-`RolXe}|6t;nQ&Uuv6We!R|>PW=PSEB63uGl>G zG%hp>4rb1-!irlKq)xE{*OmJcN!4~}x@}B0UK*{tp%g6enAX=ZSK%&%kWCWAdTV1;cbQA6RMe zYx;VzI(ir0!w%G_FY1Y!Yef#2L=&mh3d`k-NyD~3Xi4l!59#og$ke@S@ku%!5_YiZyBo$neA7lA;Lb5ALkT_)_FnTpevVVe^ z-!)*z01;juffIi3hbb4oj=sHWtE<`3nZzN%6NE*}aJ^GGZm2m1>E4bw>H1h&&u&A# zPo<4kr!b^LOF8DSTwI;fO!cf8Na#4$reXd|ViU20Xoj;`QTrC^=Le8Q7BP`6EA!@w}cVcj0>#iHXA))%xbIlgF{ zk48TgDS4+!XWBtrlQP%Ypx*+S0riBtb0( zlEG}j{Ne_X+B#Eiumid4*Cf>yThsLwh}7SDL0$0zP&M{|`b9!8c`op2It#wf*9lqa zgZ~lx78|5LvWI9cd1Bl7;kYk4?O0~@h)kKqw>BMUz&!CF6y)fR4N)E7p(?|Yvfbn_ z7h!s>qq^I5v@6y+TDTqqOKZ4xk084h6F!DbxOdk}@i-n5?+rqt@}5}gP=Yo13OdYK z#-*j~PU3O~PFnCjcH)=ym#FqS+fA_YCz)zAT37G34ztQk%vRYFH@y`~aNY{Cb^8gg zWh&-Z7ek;+6V)7tqSs$^^YkruAt=@kb!Mp|-mvgCxvlcSC zG!b*x3S`uh0uuWNM1rScX>v8;aIWi1In4whm?oj#AdP2 zf@CiwWcIeM;@Yjt@e8w^OpT>CP8_{Mr}-$PT=gw3ZMcq=W#7T~&y$HFqj;*RZbc1`B$s!8SD!l`ngb$LzW1%5aC{_fuYa zbv8C)@r36zZx6-lu&XF?dZtJgya=DY-Z;;CfjPXYdd}{4ZVTO%>M)Mh!bswHj9gyv zhpBdyL*aZUpD)}YtX_8zK9Bgr$~rERtkxpLZr?=Cc-c8iKN1_J%@MQhfmryqn8w5~ zA|5Hjidk~}_M$ucmmf3oI8dq1Ax-60C~D@gT+a5%?`Ga%GZRMxpL-4U2rf~%R39Ns zSvOc7aSbt()#R1?p#&)IK3Ib55o}NzBGaiw<%sTdO9!ba#a+XR=paDn6 zueoqZD|WsO&Gj$IhwRm^+2@bK)d@-3WrOdtn)n*x9Q8jWi|m3G100Y!^BLC+{SuNL z^%-Xx+Q{>dR$f_drf@0xLOf^D7}kNal`P(JfOX@wV(FP!+#&ZQ4q|gGYZl?8wa-8u zbqp_GPSfAf~v+(#ak-9WMaE0phj2JC`-T0fXhsu3^8j5q>Ji_YQv z%QuNd)OM2Iwv5>8&B4Nb4v553+8 z-4o_`!Y51}A4D?Q=al2LqZ)TJ)S&1?5{|DX7Tz?^-0~)wPVrzpxCb6*o-k0Y*o9;+ z7s)5fL%Omrq1cFbm#*_R;#Qaq)%+t`iKQ(B$zLvSp8N`gi-({a?BucP>VJteIE}DJ zzL22k9F!PXO&)GHRmL?d>uo|t8NgYf%8Y;UQ>nw-a=$Z)_Sx80yg5<|woPN1BaEU;+u zPkI##UGv6k6;=ztqhczUR~^G|OqIH=K}qx2U311r=A_X3cc;14l>`azBM0sdBo)-+ zQ`2s-vgV1{CCU>w-+n+0`kqLsN`Q-h+#L;~b2uVlc68mN-N^XsXf3m2HROj_BGa8u zK;F_1Nj}^s{Kf#H@ZuxE*iBepq#&B47k9!{{Wtbn>lSCRGX9z=g- zC9Nqxp!_S|UI%iR39N1oIMcbC(>a-u<_|+{1>(Q8DU!rlko3xe_|Ang^fq=S!_!xQ zV%03D|1^8Ffl+|0C7wu&T#&eM9g#hd(!KKu(sHXWJ)#`WjU*00(-y>T~ zM@+EeRw~pDwMcO5B*dRM5x_3I2r^zPkq!4IMo0LJgI@$GpBzat!Xj}^k&@~S`MC3Y zE>XX5gw*Rlwd$6M@UiV%Ktt;j%!CRN`-5`Y=`BPP*84C2$AL$Dp>kvG7|U0eRa>_V z(bYfdhHG7_S+!y{m>=yA&S^VQS>0*Gip!BhkugXvUM61ihG}EkUf6wn>Y-!KJ&#U2 z@B^PX;-YK%r$5$|{Rg#fab&dr0Y+hIj}ta+^GJw0hb_d1utnQ;@<3QQ!Gk*vgo6j* z&a`K^cy6&)zG@$>!+#USDhFa~lmq#;6L59eAk3YY;AJj#gHhdkdRa6YVBTDxaE&+y zs@sBb&;)C`KUYoS7unL9ybNVTJf!jS=VVQThHR)#^_3sV!$l8gvXWQ-AnEj5=*~TZ zR&(YtlC%{=>ioAOcp`#i#rcT!%A!2+CP|zl2ZQJa`f~W&B3_{z;`N_J*LF1FEo{}w z&Zm)tZi}FKDAj*W5285B=UP+CT%zLMAelX$kVN}j$eb~iD3(?Jnw^dKDn^meu%=%ySf%vrI{QM8xclImqYO z$mK0|m=kP)>&sK{$eX!#>iUnwtAWF26eiL4)<$)oF?NcX15kIrwPA*ol|&;=CY@Ys z)HxuGXky2cgx^(|S1rSHJ<68Jzf8ilv%*<*)f`}!YRS^bGB#)Y>6iyIB3xbM6KM=` zM%l@9Uqt!fsXfy}t#$;CQ|7i|S=muSy#l1nYz8(;9)mJe`@OlGr<04HFN{$~i%~lF zKC$2&A*0Pk>8J)wI;}d0#q9osn>2k;kW&koHSPiRo9DQGt0_8jbCr`Q`XPMI6MB0j zdUHfSu*U`=o% z3|6}9R0Xb5v+51_>&W!POt(kKMp{8N(wI>PO`>sU!YLGM<+W51b4>D)0?=T0Hn%oUuz@i_6|I3q(qhj)&W=C1-7wrE^{Y6{|s zroB68UMwN{q7C%l&!F*S1WKJ-7A|_@g~BgC@?b3=VrA5A^oDytduPO4t?kxcv?goA z9Ti2 zYI`gr^3VvResu%cev6qWxO^GsU-=iSuOCO;_z!X$bt^je&N86gb^@!|VTr`q(ZKdJ zCzH2-Tom+MiPW>Lk>|Agp6r-)MC0%fiIpX!gJ*>VZ_`oY@;f+Txd+TGzsG1+d_@Y+ zu_$#zhFpNj>kM3%4+y=pycTA_i$OP`IL$HYL8`%zHl~DG=?IchZZ?AzsdMl zQ$j66#Ud_ep3-J}Gek_PVU@}M;=h`d^}k=S^hFUi8+ZWySs)zMnLHEt{cUI;Uk94w zp+vgk8IkA4VcSXffvf`Qu}R-Qi81e(9sR`tnii zl2sLU@(n3O3Jb8a(uEjk-jnq!qjlYSJaTX^R^z%9G#wJqOuUFhm0GY>o*pi9{|7gp zloI)~Kgg|mA&8YHK&JeL=1)u+t4bR~rbJC*ZEa72L*WwQwPn1|cm6DmImCkOO(b1Y z8kEug3OC1W#?wW_msQ4NW`XvvG|d*lxqF6*D>i2b zFkf~<`KcFhS7FOm7tBYg?}sc_haCgi^l&^xJ0r1%nTe#y3dmD%AW(8VAZt-BlC=NA z7Vb-kbd(pZmyZ*xu9X4&LqBlYxEuBfvxzkM5IgXk zzAf6!*3Y=Uasn_#+Xy2sBm(y{NHDYjSqvYDG|d)NpB6w);S9L@U(1BBKHEpE`trk@ zZ`mDq+s=V{?i#|6UIMJn6EsF`RA;>6CgeA(mrW~Y`7?|m|4}@Q-#BWFh+TsDsyz7O z^nKVOt3T0-^%7*A^cI)6L}SehE$!R*lc&L_LlWi2%>D7JnU}?B(KI&@rQ`(Y~kCq+T3?5(p zrwu=B&3$`2!{Ob%1)?ZJ1eQMW&~2jWFwd6m8qG+k?XlrFFzjH2=cSC2yjI zL5~x89!;PHa?(R7A%_$;Nxq`jl zeafMzC&H);9zOeZ(^ZLL-UII2UR;ZA?cJz)DZ1O znmf&j=J-=mli~o9m6>%;oKn-FO+d_cdY66V6Z_+mu+^=g1^c`*1^|9Znmd^JE57kIQ`_5-9-H zd0Zm=)-%v~csYpkeuFrfdLjyK;9-(+!27*hVz?ZO$-*@1+c+a8mLG20+E>>RL2wbS zKa_$RD(yj&wvr^|m7$FmQmA zuj9C;;3Tp!v&Nc2jE0$&c}{(w!)j7LP|mG&W8OwWLfkWweSReb=Cz>hgZKFd736|? z-+jVUh2WFJU$YtECE9`EA8h$;yOF|gF_9eD1AX61w5g629)JCDE`@T;PZJ`=;ycjr z$r=}Q_o5uq9VPn2LjMJ~=G`9QVmI`rm#%Yk5LmRC0&8YL>k1pf7B+!#HS486GL!Zu z#}hf_rhF05m^+IMK_0pvH9w=;r!+5RG(OJKEVMgeIjc;}0 zf*rtX`Glg0TcqZED@=Q%*OufL6Pdmcp%K4*a|Uvuc~%oyo;b>;edaV)5?2VNbD!g& zasQ$(C3bAXHbMfe4OnhB0GO5gsW;{yQfoU532FTxR+@vz@DIvKdxASF6j;hu;E=Ul zoQBMMFjBTH5$b8)TC=;4ja+pSCv5MnQ`{drmi=;^=$~*1E8hY^r%zA~xCgBPdg3`w z$5@8~2avYK;q{5e(E{U+zxxEM>ti7-*2$@~`3cw@I)V-lyA{IaoOUx5Tp^NzzR0$J zE0Q$`z+C-;@ITGPh6Fje)-s0GkWH}Mek8~XQc*|QGO)dQ1kC2OYi-(Y$6JO%>IXon}~~p-fo7hS-T_-?pu&el@0MsnakQ9%Eg9BjP2jr?x0Buj(QrclO?Su zswg4;TwtuC7-Y3R#TLv`b0)O>Xm{*s zH0A{TKSz}hGmt#>K#=9?{&E(?SGXcR&j)f6CgFp}{$qwdMLOg057Q`4V`MklPjg5NaUpu3iRExV4$@Qz)s0AVCf|_ZL`HoTruFx=-Id)quks5nHw% zvsd)^W%T)R3e)4nrRoFqmKC}!58Q>8ce{(tZnnCb4h#jeHfy>r4iR+^N7Cf@c&W%^ z1nQo#obg!ufaNz1A(LOe3UF?j1{qZgI`{X?u+%pr=!M@iP9yD+571ywYn=NgK!49IAcwS_J zw0Y(@Fnu};Jbh-c0^=4kbCauQXqS4nx5rhT$b##qknMrY$xQATZmez4$(FjvnJn)8Ur=GBf|^tMVYzidUG zUGj8MkDLG&KWjk~tN^nrAksevh_pga68mProMqFrInrzhOsRm*&?M;KCeZgjgTxLj z7_qpog>P;2PFl-wk)d!LI_~gFl4q5S@{Z5L?}Swz`9=dFFSrE>??5t!ZuuDmjxwdKK$!MUPGs`+|$0PU{6tU56m+`;k;b>rG@+ z@{sA#$B4&I0D)gCdF%Kgthne6yW!-!5qG?z*v!cGKwAk*TqvO5nTUD=2>drk^x+B78b zq5v&@XD+uGo{!R1p9rh8|NlLo?cEzZin2hy_b&AqoSN`{7 zEN{j&RJ&~zl5M<-b+sII$YJij;f( zgqH8R06|3~2;XqM)npLjIT5N&t|IIy>a+9PiaSnP5SKD1>`dzvJ0nvqt-l~}BEriz zzeiTd8+_6qB$CXVhiJU6aFa>hQPHH&OioD)G(Q;tV%06^bia#7N)m_H&5tLnryqIY zdy(zrt^&o-xs=nHO-`>~iapB4foR2QWKb?6iiEkiTg8pRxqYttN?SHkjWh+jxO?H= z(^m{k%A1B|6IW0j!j32xjt-bYMPi zdbovb(QjZi{qpd$b8|vM!(zZ9G&o-=slABk9SaMU8EZX)6Dj-Wlq z6{s_&7CgiHu=a(fC_OkH^ldR%Xk1M_qpxvI8ld`0CCYv(g0gokjd4`dnmLXz?bbN3 zv?yNCVu|+8udq=?KWC2Yy`-+GSOpz#c`)$onAiYpW>q=xo1^?m34zOW8N1&gmplTv4Es6UM|ylC29|QvgZ!TNi20 zwFREC&Sr%xZJ~0SL%8)RB_#fyfjefdfgUHeFl*N3Xr;_uxhc{bgx#66ATvsk1N}UCWK~Rpklq1zmU3jJQgSaW)qhWL+R4ZsB4#>{t)5m*fc*G%C7hjN!}Q8eA#`*CFM1l zD!8Rn&)-XO_HDpwqdP?Ic?X{=u?*hc9uRerVA8=0JM??sV@ped{cvjf(x$+T_+y^hzb0V{vN%7OdT0-lG&>v5JpET9leQs^;2P<% z&_yTRnEC&DnVuVqf4S9$Efx0F@BdUh3+K}s_6W!<7L%ecH&|W|nrDsOiF(K{=!{x~q`e)H zEX5X7Ww9i~y)SWAy{7yi0n6l>q*J^X3-v}=vD6nd)E|&PPDH(i$B9jDIk`LbiI&Tm zHc6V6LxL}@)ZSaTC&7V}N;+m9B_0o6j(qDhoRwC*#5L6oG*_h3TFM{U=Dm%#IT(y> z=3GRY@h9XW+XYB03delQSw#9i05M~>0`JpZ2rQoiGA;*YxjEAOwggL3I$`Mh+%aY2 z9>R-IV3=jINT)7^-q%v3At7*RNT-c$@mA8b|1oA3{31v1&e!rA>DjQ^4{`CPDXgXq zL3Gz6{_97TJf9|+IS2sHj?AT1UX)~k+` z)nVF~5F!s{HCZ;7Lp@1VkTkLyGe_=0QTE=r(tfo_G2l1tH3s5xlTG+%`kdi41rA7F zhl$@Dj>n-}o3y4SuSrQ#9@U30qHe$b2?#p=2+QusP`|bPwE|`XnIf6H$l;QSmcMN# zt?Ph#M{CfaQA>P2^)%QHAJi7i>oK3!Z#U_=HzV{;aM0uR;^*Fp2mDa;sh7x*CO|T{ zhZC)NbPX?d`=i?6Z6Qb@YEfU@&VJD`EsKb>8b}Qj23sTh`!}@C2WD9tEV^RQ9W2at zHsTs#(QbRno1#Eay^H#D?}9@=V=2??AnANtgT&lP#39X&dYK z#gQYt-+ByoUGp#|?im|f`=|%Fdj_zzbZ%{X>?Ojt-e~Wk|LJ~Sth3&nJU%#E83)bM zJGgT&)yVh$z-jKLBZO%SkYMn2BHTJoGg$JT*&cXMC7q%tHEDf`U~x0j40(Yv*+Im5 z)I1tbxxlZQjM!NhapJ5^6ghnnu9*AT~4Up%Kfc?zJ zrez$$=l(`}&cBJc{QOFyVbvuxXy({aCBC$`rt(4iG9GKC`}9~5(+z2SYRGrn*%O>C zY2Rzqexl~|KvJh1QehFO%@O}c&uhHFM(uroHKtxec|7E8iN>Qc*D-Q&U(h>VBr4t) zQaU#UxMy!OdDA7N&Z7>$xc)>X4Vr<(!Cth!n+MKC;rPo=H?5{$9L+b@T469fH&Cz& zB`oiaM>RjxnYH~v?C8t%%*1lq=Q0qF_UpLBq8C{mf!MRBbn`SM2jo4=u#$;_PRAd_ zw8VsLi+4*9tn9@9z1=s;p`{Q^^X#py_WyL@sa9aqDWSyB{vXbg{EzPWDmbe*cP$^Y z9+~8LhFzQ2>B$c3Lf2Y^aO@BIZ;$`kVDi%${9n5>#O0uvME=P?VJZeL0?y3b^j_9`>k}Koc1aM7bTNRF@`~c zmKW4tKbP?Ozr>@iKGxm4Akgu9JSCcnXy`Ci(z9TzkaJWs^<^)l&vp^j?cLAvUm5~s z>sslVj5%Ox=}Rb;AY~>8aGdpE>J_0qh))u#hjmBN*GsWc!dhTk(x_kBfMhkFQGGd} z*~w2C3AY7F&kTXi$yMOuw~W+RR${fe6Iz>}q0^swj>~%8C7Eab@$GzDh~NJsV{22p z;8-@%g|}~hsmjh7K;1qD8FKsK|6M(#Q?JXW{kJQ)hd{p6 zI;I?@%Pm2c_8ZxZed;}Q_#ResX*~4_{2}cn9Zr9H-s->+IBS~|awyn?T^d87hPw@N z{BDrfD?2@Rh1L)5Y#)X*fbPxHgK$m%%(05vEYke#EFR;TrYrr!fX0Wxw!6R6v(*~x zAyUJ;`D^CM2ehC(xAQ0?m-bZ?HXvniJI*=w#h4E_2osL^IGEL8Hux9!@&;-#w z$$krLSXhkMBNvH7br4~eQNGcy0z~u0q`~#vl7`l8)VIHh)UBu{Be_1yRJlKqXKRAS zrb_^>Zj_^HWivYd@P)U8S%4Yk{~&L7HhtEWM3kO6(6r+i$}Hc3)uVaF{+(ESz?%#6UHPbUW4ZgUuFpKMQ(UKu0Vb-qTOG7=ix zcH=R|2JM^iH*L6_dL=LFC2CIlP8wSWE^{eRV0Dlf8+h(WR+i!{JUj&jJy8;NRU#?h z8wZ;5KziotIjvWAljhaK=>MaThircQrstSHV`j0G#(pk7UUOS!(d8jMw@%Oc-8Z5A zh(wf@)C=nA&|KoP%ccLo#j$`rGrrzfNywt9=nr&;CG0w2tj8===Y?!d9}2 z`W!bQd(~MYqe6?3(iVJcDpls3o1jnIK=(lkin@P@J-Mzjsbsnbk*d}rsig=niCd>T zHDE1>3}O;v&V2^(*&|1k((W&bTJVdA`_6>GlHXVmJP`>h#Mn0a1F72@hik-9n9)9f zycO?|(ESIQlisG4@dlzH-99nSexI;!OsPt!e@V|XITD`bHahEWx}3TZ*d!D|>OD+-F0a6uvxKbA zsaP)OEr-Ol-yzLqq{}Sdqk&;3wGp+4_Tm9uUInICu4jWvF{;10hn^|f3TjDLBA!r) zcp-WwXmA8czt+SAiO$h;v+;CK^Z=1!3NgMG%P1BMLA4QiY=LB-jqtl8&R>ZAM2KK zmx$h&LF=?0f8jv$ zow)hV|8;ccaWQUx9G`H>a+zt*Qj?`c(M)D)M$r_P>@yWbX-6q7nyKfRIZLu-PrI!` zC~GK+i|ko0Q&HI}ds(Amvi`n)fBA#^x;67W=bZ0nd7o3z>5L~#kGa^SDvhX)#~>U3 zOyV6fY9VMinAuxKb4qVgmy{2f17~CL#v&5t=Ax15?o;W+1LLjR9Z(-KHCj;}Ku@Ui zVhfJ(kH^Wj&TErgsl=O}9E>p_}*Pb^gEccV`K4w}`rk?85)!)!_w zVl(pLeEF0p`>dsssuiTUpo8qcI4LnH_BmwcxsZEcX#6SYNcwA0-P7O+M#UB=)$zCQ zx_d$MjN%JOsdFwPx|>G~;S6cKJ_RQn*{V_YnFyMA5zbBNO$4M6IwfAjrhO!4(?q1( zESuK&JJYPF4wUKp31-N7llDI z9Nf%2sxo^4*^T*FG6}L$+)m03*-33m2Vh}(IjJpKM=HY)lZ4n1+}T5fxp_(pPWvs$ z@Ri`Y8&4_grJ_yhVWf6i1vdF1N1XN>M6b#L4H__((Fq*U+te>Zc{No?a>j}t&(3Cy ztN%ih4Y4$7$_Z3CiAy6~O_nFj`+{Bt&BQsF8-6guL>= z@EtjOq_i~pR)jlYMdT!U z^$?>LAO1-*(}qx4m4v>$`(|F~l5=c*cYmDt!${-NTf}62I)Lg$df^I5B<*Zmh?Pez zsM7WjXwUhetvUlo19%%=H>m2m2NI|7h{tlRX3!WPR@^WP{MaDO8g9Z()E#FOLn+pGeu*8+4l@{4OG$WuW(CI(Z`KlA~yOpF%9oT}~wiC#l`@ zBF3h%KYcj9bE!>>AL{olP_5$4Mq~Q*Qws;4L!C>1V5!yw@!Br{%i3>urs`jiaim#iZiV04!-KK;5!g zRMxl!{W@tm#&N@Bxgu{6%vvd-|I~G`1sZR{j5`S211`FC$wy;yZx6lbZWYX3Un`XQ zoTNO)fmpYvfwuh-2@0iudtZ9ZRMwgUE( z`P6}r)CPi&MD_6y;#8b~%;zdxBe{UvR~?Mmcj%kF>H_$@VVUHX`4}dy;x3z(YQS>y zzQTNX6f?F5vC4lXoNLC@FJ*pK6?^$qCwPlCm=9!)Mms8MN~>w{qVsBHBa6kK*3+gQ zkbmF09Q*Qw!t;vpE~>H_)NrQ~{MvVL_DY1zS21*T-y;d+9kUZI;GoUUNYH9VMg>GU zW_NcZgLxj%A)bsc6>nnYg|kTcZ#NVj6Kq+Nx0x7bxnSWJ0X1xSPr6GzkYNj~oi6sU z=Gc<5WBJ6W^&@TY*n;;)c{2xklwiZj(2JFaq4=>ws9=gM;+sn_SDOrHCPGAt%Sciy zq^j-(WWT{mb@LdEbcGMF=*0obc-!G}o7;$;F^kqKpf?t3iA*P*jg{1W0cOlXEGl|O zZM?2hOP@g~=sSZXZ|vy(@V}NRxAve-g^oVF(7r^RHUnl)J8UetK%-Sb7D|(?M3;61 zIvzJs*1|#FnWYt^tmAI1hCJyq4H{5lJ(#+cD)idTA9BSRjm98 znasY_!ec0{n*2|+bbAU?%$csKD|BQJL{4W_Eyr=v(-n~w&y{4#?NnctwHlo~(XPoT zyFm}~^DR&PJ`-Lxbvae00Yjp>2g*d{a4w1>d$PP-Ju}^crEhgK(~Jw9?;I+^{l|^j z^uR?``JM!mP1HoWlwQNKMF#&4Asb~`6MVNlFqGP(AtcUg}&S+-aC}rJsq+BGW4m&*t=k50`5AaM(uYy*rY9mi)>oAlT!1|tYOS< z(CPK5GKZlD{ZiRIuUCh64}t#E&UQMdXp@@BXvUdln}~4pEF`GfOlK_ozzBOsz}d5! zPSCFl&oMhl4#lrf+en;A(*77!wpK^jlfWygyMa!c4b}wRlA%oI4J+<*3^g9sIv>s` zR%aZvW({v!1X!_a~}*NvNOwG<8t3IhAxw#p|CQ zp38bI#uA@d^#1c23sKP;`au7mz`eJehY2f|V2L&lrMe6gOZw@9kJXnB)3i!d$mNfJ zjU`8K7(wfWyGf8;12MeRM;)Z(<33lLEUYfBC(;EMu(3X5 zqPMT6?2IJL9Mnh3h*TuH(19yDx^UCa)l{$gEeW$vWF>!zNn{sw3ox@6 z`EJ0+J|4BWygEQVqIRP?2#5V#XX;e*1B}?{ z7%~;SK$TV-mS;9LAyIV&l1jX=@zR6z>4U|g?8*+x#4KxnVLCjI)mZfLJYgnNaZ3!9iQq+im~>#t6HQ# z0{qEHB>0s<@<$pHVedDjzO6Sh^`gYjqST)MG!iAu%b~oMzC@uzRJk#Q8mj7CHsstH z%Jyrf#)B!90i zGD^c%uSTLlMp(6THuUl4(GyHyP{V^i@G?1?7+oM|OsE(~M%moQ^Ll%9x=&0MMcGVM6*h>u zJPlWTze=qKM6i+*4E$Xrl4JxRXYFtzFDerTyOks3;&9N5_3^Nb?eV#J)^I*qgggTO z(r9J7kU>Z@QbjoeGsFR9Dm#&AP75*acM(3D8>l2`z1p&|2G}`}t?M}vEBo@061;~l zxj7wI+>;gSkD^^S%^`Pajl^4jkUI0nNcnpy$>`NWgjxes{`x4o8t<>z@bmoWy0t@D z?;+pSx|AtYk8_zyzX1yBAro>w?2t;& z_z-UB92NL4bZ^i?W$n`-VsW^TsHi?QEgeWIGl2);K%jHuK@A&MA=8=b>GTUb-Dv*I zCB2XC)u;+GNp~Tvb?YbKpaBATM#N^^7^0 zIgKLyTl=ZwHeXOCTYn>^yoG9oU?Q!tyr;>y$NZU3@#__Fi2HH)sT}?Hlf^B=#;s9U}XD8v%wb&;aN};W6w75pX0H# zMT8s7w^6R(CbcTrjpQK;;GWEb-@%S-wp=)S@L}f}O$Xi0o=BQ}Gso_MJ z-iajdw)@1d@g>eJc9^vnQ*P)&vd8!p=;OuMg`0$3Li!@Dcb10r?1yA&t8vE8!Gz8~ z8zWH`j@_5nB%YqeimEQIpi{_$b<~q;0e%?t48_rM+n7{cWA<5AN79e zuJPCIX3mwxzXI>97qM(DgsgHIZs3hU2DhbTxh{@PeS1{h>5!51sXBmh5nRKDc^^@RwFdF}%fHbeS$JL>y{Y+w>gx1)Og+136Dea7~oI?ySyW=zT>*YvX;DAbo9bv6G zH<7`BEXpn2g$yf7|L=Q>uvEnEjU^_M08$zk7i4T;2w4CTk?h@1ZJ1@W{>>OGRX4Dd z0#b-%_&VA%|DJp2vp1Bn|3Y&fKc@+=YzXWBnXEnR68pmI@fc<0Tyjtp&pPW|ARFF+ z^|Z-I`c_6IkD}?=yzvRjFYRRMe^+BfUi*mMEfb&Eu|LK(&X!B-KP{zg+X>?lIe}`q z=VzreP~wnc=JAe`60ZC_vCB492bu9v+doaw?2f6VwtEjU zZtV*mNIA;AJ$K@TugTt>Emuh=%o|-{kMQi(2N*#`KlF0xCr$aFGGshDA4x9{g?_hO zoqp)5#vpGrO8!zultnpsvQwzY=q7Xk{8Nzwk=fR5dxWK)PZ2v}5@`%QCYf;T(Zp`A zn*aMej5ZL~9%C%=3??~7OMnYwh;>Xar11YpYg!>My5j}#_e{a_Z$P}XJml)+?M!do zTl%XsW(?!~4|0wlOBR2XGhDsNSe&;K^tCjse0l}jeHu7Mno)oy0k<&|T}id^2874h zBgyz{RAHAEr0ZWmMamyoEV4zCaREe}@E1I%)imS9Vk$}L!a7|TDhQ84!ZkCAG^@_{ z)lDU%I&c|vD1N(WTbE(OndZc*DOy;2@ig9Aeni7O+efVWPJ+H;H#~D`gum?xQN(Yc z%9?@H)C_!L(;!xmf1VnprD5^y??}~_gT%qlv2(>(^7?&$4XfV+uL^pal!4aL-kyH$ z+1+!H@%T8Zyy8KvL!QCjFp7@y_&GOg>wh$O#T=N)LSTj{Cbb_fYdSa)j0<-lSv>5b zx3zx>+FSZ2`L)USNEzQBDLp*V=+eiGYU`tgaXpRY&EM*9>b}3#Y}snMH^D2S<^338$!EuA0Z#uH9=_x zd^7$-x~3oS%5JQqrXhj2{I>`fL@h^#w`(zbc`Eea%BZNuOvm zv!ey?``2GBl3$}r$-k&T!YA{eIfuk=u15tS_o=nk4d>f7QG@DmYOMbd@!IA=_p$U3 zXO0;DFvt53#3*aDpK<4&YUqZPVrlwmI$tM?PoDjY>Y#UGlX9Ghj|0E3^grAs-%n-F z42JQ#9T9tCBCOeMM0EUL#GTh9sN?N`EUkuA1gKE(m3G>>{t_|1nUAf2bLs5Aj@Ae^ z6V4(l1z)%qEWj)S&)%o~Z_C*pcq6yM!CoG3khlGF{!R)+V)$mF&I6`qeqR&5`U zO6x~*1q7%5^&{wcT@O|y%0iifNR&H3j9O=3R&{aa;z#;}l}yk3RJGp$AG)6r$^SHo zN&{w+?*Af!gZERUi|mJ$UJ)o8ayZgUvnW6GF5cp*Qj6yYB4ukD6{ZYD89(}v+gBqs zb9U}wMIqa$Mczk}<^*+)1sDG)I$s9H)XCu)~G9}j9I9fmL7-1?V!TW1VN{;r^)EsP6wuOX?D5poQl)LNbQAlBmb})?T9vI7g0!z zu`)0NC6WDPZAzP(3;LYtj~;lz$uSZ9PYUX)SQ^rA)E4iobq`5?>tov0a)gM=(h;|2 zEe;;B9p%ce!T%x*|@<0MfH*hs^&8W$R^KT3nf`7N>=cb(O>T%^hY zm7rg?lCz&jjFp=8BIV7g*d@h|9El0kO?i15~k2u2idjLO%PhSVnH z!Fm{la(13VR-s#HXO9Z{^j3gc6?>odjGV_B=^hX^@)BfY`H*dxi(VKl($q`i39sL# z#nys`rK*q){Oa`!zowWfY9O%!ecX-kqzkEPY)|OWAERvJ87y>-5!S@J5b7t6$8peJUXgTIY+dcq#Qjb7? zO~bWCvm^Cn1Bg6iHS_~@L}~LHGuw@T;l_ooSP|+h{f{VG2O;C-Gw9wu{R6w~uVcw@ zH{vX}#7h!*YO6%e@EYJ}4H`U06M8*RrCxc=!Z^jm-Kh+b`TncaV5wNg<7y%rzzDx!ym)f51q^)3w_dwcYs?^tX!47@96 zdU|MtkD%%h$uI4oriDf*UvDAi3ZW0#>PcM$d$3C$_>?^@(bTO{4gbLbDmPn*f@ekH z+R|tZkE20G{DIg$^@m23%qQG+UPx4`K{>1DP{wOI$|>@ta{nC6(=*3H|1f(0;Qgf{ zhC#AJQkJ*-4od!YlJM9^(1m$IGIw4iEsIoYqi1W-?pBz^N=_x&nvP>@Bv)|qhoSh% z&jCKB+*dF^+JVLyMLUs@{Us~ zrqg=4cl&qK#Yvt_ws}7yv>t-LoVcOLi`~39;rt#fVr_`siU4^s?~!Y0^f~oh)MR0J zB@PSn%b*u{7wcVp?9Pz@M=Rtl{^WB@!!&N7?1?X=;LaE%$nizSjYc&1h8Ibe)vSuDpae}GQB_GOZd5Z)BD`b+9x6Vf`kN-%N$^~SwP=h3K@o1L^&WB_o;rdw9{UOLJDowrjv{4-|sFn!99K; z<+LY6)bNd}iqDfNBen-uj`&C#r&k3s_Q6!OSwx)E|G`Sfjlc<<0vbmfHK-VaGu^DI z(FuD})(5&LLoCSdx>kk{xfv7Q-%?|F46VIl5>me8I?XKRfsfOK3;g)l$QI^qc@`3v z)gX_wb@<(##_*ho-bfzV@@HluM#AM$I$2LtYkm}Wa}H8A0GJ-jQ%U@q`6O#=6p@a8 zheWvnpc_?qGM;BqkCQ`#TgIj_O)pR2nnhpTRNLI>hi!Uto6=rbXd6O2{#oKs5LgKN z(N4(Qt)i8WM~&gJQ)sdM)hJOx9Pp1XL$0$4GCV#+xHuQf^=pW@T}`ChCu3b>FwMMj z4v*U(!!V<#;PSiAsN1NT5u)JfWd5(wJ~g%sv8g&vRj0#9h!-a!(Py` z>u-r@+e%~@A4ZJM^rQ{*pQ7>tBO-(ziJ;yKI)Y|cVQYt#c4kOrsU=r`uD0aOpNwCH zC-GGc?Ql+wLZZ|C(bl68z)FlHrti8!wP`vc$bXBT$H|rjFX%`5%kBa@xeKeNgwQ(k zzffm7@P)$v!;*tfK=bS-8P$Dp*CicjB*lbH9EfZ}U>;6rN-`<@MI_PrXsyq8wY6j! z?Ftx#B(5CV@Zde`dra?40x`H&ZcWC+tnYcixhUTnZzx z!~L(Uj(LDg3r{D!Mn2N>A(|og)s?}?-G1F=QY_5_mBd!gEC`w+D30>vV;m+=cAji z9xtnvUWYXt^u0(YB#K!Ey|?@HQkY$0YwA<=mgyJRg!9&vV>=To3iGk_=0}>iGes&5 z=OIN(9xz(w;@Z35v6ff$!h2N1Vd(`Lj?~$qUpk?#!^HJI{#CsO#+E$pJxzXe3sC zd_tWi-$;8~09*ec3(j5@*z#-`vZ)$_Rlmjp`=<;wf7^@%#fNdjI_M9{g(zooHsy0l zf&}fr2g~!tOv_MGe}BkC3I7%9llMm51WON{q87sfA_p8>DHYCM4d2lWPlJ=C_UY0*(5L!Rk=|Wu6%|ONBX*H2 z)(M$bF99EVt4#E%m39`JA)e$p%*2T}rt;1BuCrRi_(WoHSv8h(U*oLMaw<-~OS0Fk z#m=$-k~~8?Y}6FUli$5hD;_kYf1mIpX~8icUEb)of@26?JKxoq&5YP zum7_bQTr}gX9Zxc%`GMWUve39dD-&lR3_X##xMU*nP-`zD7;9 zuC)GB589m)NZ+`huock>z}2gu+;~$gO7NmeIdJS6AEHnE68@Y0J=K%K4zeenoC!{_ z-VIsAOls3~o|OhbPUq(=cjddUNGn;w)J1v19#xEa>BlJ}`-KyZwv*?y4AweP~%*YQ{L)J}5^cPfUxB?$q=59?YgTJ`;bruYEfd{}DNTn~2^I9x!&YkXvNkp*ue z&$C9>3Yn1)sP0oKH8vbR@Iajb}xjH<8>6_&nckQU!M-x~`&X z)lpZ_pf94#+e0Ysm@E*DTtvkjW4hq1#=Fm?LQU(AIqLG)D&lG3#vVWCu5MtTfuC^$ z>n{7!J~Q_YmsF3T(lbM_l~n>x4E&E(=C^~kUP8*R$6(%ZQ!21_1n+bT&5gMOoXvA2 zfwNWfinU}_Beh6RkVNA;Z8Y%NkXbuEvt9mixLEO3p0#cc5~Ob;F7bRau&$F~fl)Tb z^Zn=y2PIM7i=n}N7DKOQ9xeJb-a%^{gQd$&Aq$X8jCCILg-tobKL3pQH*|!F|Ba<` zHST&;3Vz$)9?YYcM0~n}8s0mFjE0ZIb5l1ZXlE;A%4_+%+=BOCbweC$}yxza*D7A2da`20&GM_))>xNgK! zF$1a0Z(?pmIZ<$DQqz|4G<5EDzpjiQRMO~2%a2vl4QWz^1T@2{rTMHRW#1odo-TUd zslL$>uSuOLV@H?tn7e9%1Iu_#rRgnWC+Gxs@S;<_)lGqrF@)!ee{lnW3_RZZ`l-fP zumkbzdf_{(qU&;N01TVoi~{>8=ZT(R_=^oS(002z^Qt z>cP*}_r-6!rY~je|D)E>9pg?5B34`D3EK$!hu2Gzp?gM?t&dS@S_4t7xJ!85UvL4p zKh2t!MYDGAfVIAr%v~$9Pcz*yp`b{H3ubK~;&oq~hPh~>i&VsLK(bM)^SY~P}YF!0w&rt&lvw~j+5MHi^ass-3P z?hzyWG7OvC4o6A(D^QuZW9EJDt zHC8TZ#{$kf=vZVT>(ZLDfr5@N?sAa(1` z5#@`Q*s5(U5o`5{AmuUI8a$H8vMRw{-d>0^^+#ZxV_>+k_6g+`Cz7Tsme{z|mO5)O zI{MF8@aCq`4Vf+B!I5u>cg8ZcNt!Waw*PopH>hNI0PajZfE}A=Fc!;Ybp50~Ec50z z5v6nCXAuys?HZD;2cC;p4DB2)N1Z;s@Tvcz16A^oC?_LBbYoe6R#N3mRLK`;a=i(v zjOG!)a))`PX=BxKFG4gUXSYE2Z3x}q^GQ7^(OP3AtwCMwJ5j*dyTM$26;Tzyy*BYq zFk5>NU+sF|y1rxZZmeW1#tegJw=d-6*5PLcp37^zatS*r9?iP`EpnlGP=x9lbj6Fy zp(9M_-${34tfGX(Kh7XXd>1@%{e#44dLS`W4h8)rbxFh$I0cs4}<-d>kPS@`HKjqz9_(2t^4KZAdB; z9VoPgy9u&is*AUnsribtq-)X;dQMj#d1OWgaMp{7jgANYeivf;1%eJ+O%;M}YBA+L z%9@`Cr!%uj3>qi_Ys%k=jf_q zxuScV8!8KaN1WI^Hn*yt9{1Jv13i|g0{f zkZafHeA2ymRix4kat}OzG*7@A8o%EWc;2-*KI$%OT%1A}TVOU9O~eM0bZTTf16T+z zP+8iygl_%}lq0)_pV|gMu=2H&Oy&glMd-orq)%UPhK`nuxPZzB-9*#KsL;;H1nT>6 zrJuO{KDy+3F1dV8AR78J$|vzngYwO*fo^O#1AU=Nve9U-I&S=ICl!Akkz5YI#s_n$ z^icp_`P12tId~QFZ(ev*!V}2zK+n_WI(1GT0NsTVRODicjGTTGCMr9)Er`{W+*-hZ zCW6l_ndhV2@|{TKN2s&^cG6@#IYuSePE?``lv(LSm@pS$%*lbDDg2XD!-t}FF*WHg zA$#nH_Z)g7s(3pR3k0q(M;!ny;5xR13>ClT3}QmSGm|$W)fZ1B4VXraxh(KmT!Dvt z4{;#N;YFCaWv3s2*WFAe6~<{YV&2d^&GLyxsoSu~Yck=L4kp@SKRoBcKa9+&HcIBz zfh6+#SoeJ_y?e65J~RG_llaAC>gx5(?N1-(kB^~B>V@>Q=RGgcL`2n($P5wU#a zGgTKg)^nsAbXM1qtob8Q;WmTCmUI1v1vgxPS)?xt_U=RDgDQZ93XF@DJ~-h#Fi%>x z5uSbovKEX&)8Z%j)GPj`Gt{@;UEuC2w-v(C!p+XqC9M-Q-A`Ca$5XT7za}Q!`3IX+ zm_q*1fG{BqXk(%ELQCt(IH!Ff6`q@<&b0*|CENFp2ak;Z+8bD$ooZixK>=s034a*o zGu*Rf&s3sT^|}ilLN!T9szMFsXCVLG3s?sI$Okvbhplv_O$Vl- z+WA9CWycSc>pLTORn`_ZH+?6pu^$llzQE33O1Sg2B-1~FbPwr5qMH54o+98W`?%82odmQxIrVwLbX)+H5 zXm-(Vjczct5PJ1T_2#yS3(VnQVh`EVe!$;9L2?3*la#id{I_{Wme@qi6#5^a`DSCWYIhyV zSoI5^SZS=53zkua`vzI3f5e?t;60BCA;$ipSmoyodAL)czc|L}AOl+F_yX5nd{1=o z_p!A9aPW|CP*FlM7N&h8CtjMV*i0QY4l$>72~Nnly&cvM&=ew18PvOk%Vbn>F*h<38MF=~Ly8}&l)0;E_PS^!dQ{++ z6=m>4AxQ)Vd1$kS3@WfxKnXoR(3 z9PICrM0#Q(mPlYecPyd>$EKs~72ipgUJ@3!dqcls3(U&;u+~n%mC;+^=NSo^+X(b~ z`Kwr)6eY04FAtZ-?;!Djg5w=Som z_$B1xhhpy`DX~5;ILCr24r!5v7r_z(=pf8#qPo`2RGK-FSnO^_^(%@!@G>C{iet2+{}#>W!;k0In8|TaY6`rI&4d}>fJN{8ut@fauuc`!Db9k;b-0UN zt$gQ7_b!D#802Aeu~afnMh#XyLbW_MYzX-)1I{-_XWK!ImM_6Z{w|PdeF`(vDB$zW zBo!w)X!FEVCY{rCJ_Hu6a0QtdjTO_X zKRVez3S+HqaDmCcnDgy` zZ{^CFv>+l3nLJ)j>T29^SHKCJ+!Phe zrKJa%2C0GyxrxN5=TMEvbOp)Ub{p9JD=r!g5}TMfHT_ za1X5#_gLaMuQMeVXYCFoY@QTd>ye-q)p)=>7C=paQ9tcc+A@xIHDm{t;?7GmkwJ_O zTfwZq&p2LVbfyBlw+_0`iti(CTtI6bZ)i5e7>!oazHsj8DU%#@2Mz>q0E53$SnEhW^T748JvG7ULAJt5i`Rt|R&T=wk9d%)&z+Xi_uf6ypRJu}kGXz-L- zi<&R5huOuKKA(NV@|t@@pwLVL+~xbSq~7Dv|i8Z zN5nr?L$~xWbWxmeMN;DuUe#u-^pAt?4Ue#?UP#vZA9+97HL@ErbWGSi8h*1-EopZ_ zZ!T_~m~rnSQo1{lPf4~KW>Os7zZi;!7foJIx&t^Y9(bUom&)Q5#m4Rj$a3^%^VLX#w{yz=JH-VS=yb9iU_V#(klB+4rXy+t3TI+&<43kTzo zZR&Zts#Cz3i$SW}S&$p)g?(=P|JZCygyn+DWIm-WXRBOG8) z{qbx}^e0ds&wryU61}ixxQvV|{72oe;vvkDr9{xkA=*E80kvAA?)Fo3>Ayr{04)Fg zpc7?segb3W0lJXEb?3EdA(wa?bJaKMii?rm+~r8@sG-96Ki!=hG$US3wYFTO9J!XLR?Q*K@y}_o3D2ct=R8f& z3Mb5+?@YB4E*7>(ur%*162vZp&(s!wc%Lem{%og08uA}j^4H^l*!@WfizmX_b{mp} z3F*QICzo$_G+mYvIEL&fkML8)cGG^YJcT~TPX<|YEHLw;u?Y&TyMaY|{H<&+Svx%E_zo z5{1H+$D5Cr^vG6M9!ez%mw&J}ts}86?+l4g+!P`972)*KpNwShU~0IQ6IFTH6p6Cd zBNzD+dZ*{0qzr#8F8{g?i+GiI=5rX)EH2@r^UXApOZX?*@hLjJz93b@80NOZse*Jl{A(K$^4FsVI|pRh@&H|0YQZpXoJi~WH)_>XLNeR+k!t2`)MS=|g5Jxp;>R4u z0J1zh^G{gZCmiMe_6rmhNJ#M1kB&mKpS0`XQZh@jR6sQBY#_GO8D zBFvt+w&nzAG7aeU{Ul$J9)-M*Ey>{cz+KKa{-5pfFiWA@RUPGeV|YE<4z?uBQ7uszv$kSBXu?8RC+5 zi14LraovguMBMm{I_rRAC5xchdI9)hOpg)z2S&IRr$@xPG$x=)%QCH8boP|%U&qu{ zx?#R>FD~8GhZT4kpsdhRUPj+0;DfiMhktQcb%nifL-`c7>4)dcvUwa28*O z-z>*Y8f zQt+E#{&<5|H5#*g4+PJ`gGt(o)u78=qQ?()YHsa*I9kc`p%PD+6YLVnxYPx#BpqYH zwUac+%bQv=sYtcrJ@$^-p%HzJ!6GXmv3DBCWFH@kn~$7CQ^vt1)a_T%v~g*Cc7qi@ z(!E2WdhHm&j(>(~OP<1Ba6wi!Xc%y5CE&Z_6vUF- zO(z%1BUg}`#<4_@UVuKY95+_^XYY!a!~LDJXs`hj}^>LgSEwra9d~4iV-E$urQvg3YAo)ONDa^__Gc(kl}!8w9)=JSUd-b zhhQ(>6XiNDdc+d3^n?%es#4KDUsG04KLlqzD4?w28PvUFI{i54)I3T0Nwl~8yCRbVZ|~vF8kV(${Rn>nno>XC#^VG;EoK7i?OJ67d@HT;q6jgK%9XkE_!_s zsdRTxUE3*I@jaK6JDpD!p__Bq19!}A`Ex(hao8KC5vG_y?TO9|uTlYf z20TyPBSVDj(n%eFM&}ZmRB^%7C z?$5oGTmccDY9Xa;4O_1;g{)&a;z@^+l4*X4{aW5Blm#k!*r;lri^m7$Q~MPY^f}Kw zD(lW+o=*=I^XPBXm3S7s>cO}od>qx8^`d(?&6?bbG9nF#gnMGoLiVwN%t^VV`MG`Q z2+Q-Eka4XR*U$fkZ8#p}(z{!rXNi(KX=ys%Q?4TWpf>o?0Jm3ArJp7XkM_h?P;n^_oITQ zX6g*SbkO|a;I&DJP2M;7Zu(GVuYEMZv7cJe3Ve50L*zAJ7}FdP4jrau$V~xnWOOp> z`gRJ93{zRT-Mr@tyiF`xas^oscn@Sggt(`MQ~-Bb;a`Ni+wG9V2l)3!-qc{|RK$8= zDy$v@T9z>|DP}^aaXjfg_NzL3+GIFGRg-l4ZE9Y3C-!_TWmGT9fcv-r*n3Zr?fX6I zW<6kKlzdS%tnejQIT;bNZN6wKcSIwuHgB-23`0P74<(cKecHf~j v_77Pp+%H^^K9g`boDk+42iC4OVE+C2v-SUfT60>cQTK0nwpu9s8?XNXm|HA- literal 0 HcmV?d00001 diff --git a/tests/110.out b/tests/110.out new file mode 100644 index 0000000..1fc19b3 --- /dev/null +++ b/tests/110.out @@ -0,0 +1,32 @@ +REG 0000000000000032 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000046 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF9DDB +REG FFFFFFFF55B2FFBF +REG FFFFFFFFFFFFFFFF +REG 000000000000C6C3 +REG 0000000000000000 +REG FFFFFFFFFFFFFFB9 +REG FFFFFFFFFFFF3983 +REG 0000000000000033 +REG 0000000000000000 +REG 0000023000000030 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000003 +REG 0000000000000008 +REG 7C20000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0001FFFFFFFFFF73 +REG 0000000000000002 +REG FFFFFFFFFFFFFFBF +REG 0000000000000040 +REG 0000000000000000 +REG 00000001011E89F2 diff --git a/tests/111.bin b/tests/111.bin new file mode 100644 index 0000000000000000000000000000000000000000..ee43f6d50974e2606a7c7c46a82fe0c343cc35cf GIT binary patch literal 33468 zcmW(+cU%)o7Y*3Jnm_`m2*Cn~g+vlatN|?86Pl<qnKTU5nccOtr-9Nw+F>M71+6ik+a? z35uPF>`K=hP8r4SQS2VY?nRo=HHXtgv5yq{NU@KRT)L*uYa)lk4ZG2UtEJb)Lb|5U zk78nqi76&tte|TSM?o=fig{DadvOw7(??CQ1d1h4EMYOnD1l;06w9PoCdD!rbBr@7 zmQS$~ij`2TWHF~}3B}4NR!gy3iq$UWnAB3NiDF+V_LX8^7jw8@DaM(^;aG*==wYR! z*C>wADxBUQ#pD!|Q%oMkQOGH#px6S6Euh$fC{EG>iiJ>2M=_nwh@*@8v!?e)v0W6~ zr8DO2qS!8qhrHzFQ31484!>8&VJ5E%X_*q-yOj=wwXwOyd}KTSFycf2DyPQ>JA$_66UQE z+5UH${C%)~yk+laSpK;SZu9z~EzXGr+2aPNN}h_idIj(+LrKokaVYajHzeWs64|k3 zNLDd{1hgs#pXuSLbu$Sf7VXWbW93yMjjlr(#jb=6Ie;6iFOf%Mj!WZfxx^x2@&psk zGEcjQ^$`DoPh_dvNzME(m|2+u{2~rH)TMwl6f7b&!`rYMcQUAV@rc)PEhDxXOb*5$ z9Ad=XM>Ji3gW0+NbidNltYzga>Szu}a^({wJGL6sWe!A2ge0#x0V|o4I!o3o8tpPy zHxiMnipU<_Bfe95DY@GNvGmGutT^&W$cndr&OJ9k}T2lUrU z3k`^gU4c6SCZg;&-zG844UlfAAXVkPktAA$CNmC$^a+8edr`1faQnddhI5~^(umclPcpl_GPMb1c6t%Xik*mw z-h(8owjibBVQlf<1$y{0T3*X<+%ce?*v-ET2FEz$H6=h<8@~~;H8S{XcWRV#f(sc5^UR$qEW)V_ZcnW6}6np%jYtS590Sf_O>ABYciNk*D& zb)ey_hw7^TK+`r1g-+Ye$YdMv;V!p;>3Iw_^9Jgwlu0m&|0-T{{Uc(O$05UHEva#L zA(|~}5SXN*`%mS6VjMQR^AcN1 zEnGx#QDjl^q3CEbO>4q2@oaQihuMYq=rbFREaK9L&!y{HJJUOGJm~Hsss0n*_Iinr zx;=y_l3yd?`CWd?YwdM8#nz-MS3(4aD@YPmfs2VZxzg5$tsUsUB5&^|q(5^71jZ|| z%`+p3$l?W-=Qkq8=Mn0bZ>hb0_cE(oyJF?N$Ma()ZYOYL^_5ZSN^vFLP_c2zSi&Za2hX?(oA%Fy<2yy}pd42L^&Bb2&Pe6~R`sLx~`N z|DX3y*nwiOix0vw{VXK1xPny4myjzHk8-^15s%x9)=j%AOZ_xZr{5Wl1l-*uL%k76 zmi~*~tOg?9!$u^0$OUotQk-QvkI2ULhdAraj0tCoJL|I_Brk74{KS8WB*haSGLE6= z(Fige_ksGoJ+=#)GN?mmN)8))!C~WM%%qRU4rQld$YnRC!HNamh@ml(Qwkhi@8~B} zjKTlaMhxbgWYF_!iUc#R16x*xRDox9Tv7& zRG9|mac{A(su6SByz%@qk-lpD9$5xHB(m10aLBlTr1!cbG^!V%LtPwk@{?QIDQTU7 zlWUH|Xx`sO>G_}h<;EP;nJPnyWfG+!wh$KQoQ$cCk3|B`T731AVxC2B38-W$`ffL( zq1ZI2!_$JWo-OqAno(SKHi`>8nb`i_9q(BY0_@Q)NIf3N$E*TI5|Bg6eU+@beGX~DRe!_{I0s!W{E%xV?gj3@AKCzQ6{rmxi-QO_JZsR79hJ?as@-wXB4pk~$Za*6c+!w{`(jv=RiHGO^A4UtrhbLe|#0Gs>p- zkew7x@(d}Y#PSH{DDGh<)e>hren-~^?;j;O(2jeIRtBr1!)dMxC%oymkwvorOUefz z6V4frAn)(-bg{5)9W2l{E?52y{>U?f8L1uE{tQ=O-<8D zBXW_ncLPYTpTXg7VOrtdaPaL{;wx9q1v8~F7P~IN?E_|E;ofwT$MHiaV;+vIY1@s1 z`!&FdUX{9%xsUP9A6LS%Vm6@awh#3Dnjq<1GhlnU68*wwX#I_dc$sG%aZTDrUKthz z2HOWCZsBQEH+%%D*?R^ImCjh2oQ3w+Z4czB_M!G}^6cr^aD=j=-qNmge7i zMDF2@3W_o{GIKd;nGi8plhOeOpBB>p;?Ti0VhiH&z*@U_=-(P9ql4y)WGp&)9>iAF zWK`EnF8t>oFn>%YbdNipklR)?ScE5HcHDbnK5IGzl--Q1G++{>o<`%sC*mkzZQ|!F zg~{IMSb3ch`~vGrVpQuHFM&MNhD0sx!z9ld!7^{kK<-*=+uIoUpE2 z4BKlFtom>mr1C=auyA9nAnXj1So4u4z#V2jiVAHU{sxOI&VXfRjI-FJH&S;kf+_t= zwek1Pf_kzY@?0(&73u64t_dNev(P}YhF^gL?-oaKMQ@Q!!8RnVGebP9mxNb75*;#* z#-l9jWB=4NT>W$KDc#4qM<>!V|5SxYKq_BZG;c~6! zHH+0BXAw0a*jyQc+CQ~{D0?AfhBo58S3dix^CdL?nGm&O5_q)NIEq46gFLnfcRlmk zHmdp(TUTm^nfy>JbBP2^z&4Z~$0e%DZCL84Cl;I$NFsM6_m`*>g8Rh~x8!vEcI+&h z3iC~l@(K~QUY#L~Kjcf4W&c9F)w$)B93B!|%>hZkIUu@4F*4qCSD4w+U8fe^g=*7EV0x*s=DGv$ z0(7`T{~pT!^Vu3;W?qAx zW1B~-g{!dcuk@8wj@?i~*bast&>IV!mXebD6-1ph6b~{p^5#Ca9rMW?BI0< z9cXOUnXm1KG`W4C#C8+XSZ*hk`fj)*w-PEH`;dZ9H?_{TJtNvvlS$_4A0Xc!jT_%f zVdbxD+Ll{*UdjErK+7v+Fz`W={1Zz;a*$@rGqNmPz{;;3un8@^Deo-51!mmyu=&DD zhG%wEuKw|Wh+fCzf)4|9C;C5ka~fznBK=1`5jh?tS6gjudGqs$YqSQpA2Wlx-=BTO zi?<@ty?yw?-O7;G@?7oI*7HnzY9~1waA%(FjpbldUPd@pCWtTeLV}~ea9!vkaLXM< zDrKh;yJi;ZIC>xDT%3ql(RiBwr;^@do`*<`?t&t9Ad!9FPPiLqqV!pd@fnBPfx_WH zEV&PHM+W^nXstT@ZTYy8n3}~jpObc%Mqn>=2T9=-)bN2|$=ZHco?Af%njBxr=szIY z<7~py?}k06tm*mr=8+T8AIS#lh@hP2yd^*IX`PG}hQ{Lf*R{kDS4T8cUtvqe9ZB0B zAP&<5$%gC%v$DrTNP}0_vlJ3jv5PmQAX-+kUUt9 z^ez=>h@#&j!H!eFeYgr#;sx5;`Jtre#HoH~xEy}8H#JJ?9 zC60GJh%7?76et5sgxtv=g~k1diXPBxjs9GwQrt zGFvEjcH>*S|sP8#LGcf5wn;(BWt}n;SBV6vZh0& zNf`|Ne)Q96zV-r{@(TKsBP*L5NS?GSQMdSF{X!8igA?H9wgcKV5A7wAC!3Kh><|__ za;33uIA$wPBk6q}GRxJ2xU8J!Dpx#i?%_aH^D(4ob;31Q4uZ|GY{Vqr#S&j1a_aD8 zp*ooZFY~j!$$t+VX^tgt72QTN)uj;Jvk6=aHAtN81d_~cp!xa}vhzQK`Y?upgS~y@ z@&-W5nTkQ;IcBJK{uC^|QvlMoyTq{hA7s-HfqhdHscQ8ARkS(M^e~|8`fl`H9aPvn zJia_V(SNX}X&Z_=^c-ihe*rf-8G;7aV7=d?;P*}qOyRU?I?AVU=Vk+qBMag3e@j(4 zq9>5YdjoRgUPQB2i8aMRq|s^|$r-wk@*7iR{i?rj=jW>^*>%!{p~5+AG06mO>vODk zaU;ENt91HnVK_Kp7mCDjw^d)=7+D*#?CnduC;X)gz8&lTr!Lm4J%~D7&SFdFDjOc<|3cHZ zNXQmI$(wmlEf)~;Ct^@X9|iHfZX_tNKj_-~&1+;NxY{TmP7f$zW~eEZ-fcro7S0$Hq|&rUvfW-t`j~Y(jCr z(qYnsFmGO`j6OdvB#)g)czaipvGvYFZr&WG%Z?Zi!v3d_q2d?SgI;>{ooFLL;b{qK zu`#)8QpU26O=$fw97~Vfz^nRhC7r3eNYdA5T8+||ND7>h*uo6wU+cS^a!+#O9r0=Z z>nNPL6ceido-YR4~gJeO#q=VMXwFK}{8V$Cil z6NkLP!`00JP$T?X>$rIE5Y{*x*lQo~?z_X~;!8^*e*IG{@Nhwy{X=k%B`X$*P8MQ^ zg2RMg&En4DM|CG6=<>+to}FekiDjwn=#uFG|BU7dM1JN65!e2swR<=RKmK7G*I{;>_6i))wn=fkM?Y+9^M`B{Wg^6--%0o z2sjk%fxP%W$gDJ1E6%=67Kyvh>&R6=$!}|4LA@B2^q2!t#a&jZ4)Iw|YdB`UIYG{r zB)Y%KVIl9e6Ss8($O6hhGq)8p0UvODP!foDp9+&SP6g??L0EEb4|Z@2B5eLi%xXvA z*DeRdwH-f4Nn4K5d|?D;$#qb-+Lz>o%tDm`myqOTJd#>2!BX{HVE4_Y@#qK=G5fLn z-E0!16BD^Xi-xwVS4bFhEW5e@Ns`=P&y#%2IDW#NJC&r(xslO4u*SvMV`cjFL9o!H zyNw{(6B@>45`}Uqp07I)*eo2Q&G8kZthRkf;4~g&h3_dJxC!d0bdqIcAkUvX2&*~L zpYj+-ys703YhkTM<_{WBaL`;Qb+Lx#!EvZ0sxMSE^`m=GLd35bsu{}BJ=NYA?&|Zv z(Jft}u8byv0qr;==?D4zEJUl{KbUg3>0noChmJ-~8rdmiA~c1Y(B`I@x_6TgkK;7; z1*5CySoMuds3vVUQGYp0-_K<1+SG|9x3)oPg5MuKjq=_N*bs1&RONWGHv3LtX1^ac zn=C+5W+!1FU$A}^A&+D*?>xF`!T2nqAq>z$OT-Wju zGh-H^_>%*P{kUqa=8HF8WiLRx^s#;&-*=$)mA9~}{xs-4Zz9)%NX)!zhUf~LksaTj zBlSzwAI*c*^sk4`vcuS+a2KqpTjrFx`aUWa4HcQU=VR`(IIOwhgobQ*GTM!5gXyhi zMB1+py{~4<`OB#W(1(~=#go#RGciA|5K9hRM#_S8uvEV#@rhMb>&wRKZ5h~M|CU7^ zOC0GlcSluB7V-+u)Kzh&g8P);tkO1sVcuRRCMUYEdp9KOxB>LJb8dq;;2tvT?TTfw zXW*~zi2sHd%FM~>-wKg@JWv2=Ntg_q!h9? z)>m7U@j&~~up$0%mu49GDMiV2c|PRQr5!TfmBBcj*$O@S{3W-$HJTVWRlo=rLH9B7 zW2>6`(r2h9(!zg8oj8`Lr!63Cvm24LdZIns9Er@t8@NeVKzY#AHU2|ylpY<2iYNI( z=j&$2l&<&3#x?u{V$nh(ZQM-j*@y1C=Lmwu{*^>(G6E#;-LPh&1T?vUNX?mu+GivZ zZu~UJ?9_qgM+&YLI|4_zjq1J6Nb&vY*v5`CR#^HNy9Vgd$G|J&?VA#a_)-h*NN^zz zoUvr;h+1#Cml`jBc$$$9-i;>?TObt2ErPV!Q?y2oUyv%GJLT~L+*qu4KGfw1%Ba6X z?72TlJT8Me)1yd|s>il>ny_pBV5HYg1_`bGzx(SotY|us8phFB6%DKA^hLJAMj_Wi zKT_JopJ=?kkONOQYDM-Zi00fSL>?ZO37Q6iK^O$m$r(secrCu;`*j+3MglikMe{%q z=BIuog2FhQ!Rdjn4v3hySJ{Ixcu~DebQl@WI~+Jj7jHwg0}}dipw7Xu4eHW-NcwCG zT_rnBtEjbIrs+4FCSyPGmbf(a5#GwIwN?#H`pGsapZ%J^e^jO^1=j(UHVG5;8I;4{+n8A-g_8 zZORVW%C)Q4O(>jW&K&EtQl&0-L+UNgr1Zj1F!Q3lmX>v>bAKn1Ro}oOt8ZB1b(Y2- zEieO2!M@y)Ro`^PjMrz}Xh1~R=>>UjYJq8fh1B=I5gFCIIu;B7>&^GZ@42;{2%5^# z&5o`<{E%o!pY;?p*XJTPc2eNsE?%f3wg6-U<3W^9dqb^0#2^bMvJokmuXs-+w-(@% zD0|XAgn`EEiC7UWM-xipmunnm;4Jq$_{4!_+MH-hBsOYiLMx9YAfzKGC*rHAqk{k-N2}904{Vq%4$OUVv7q41H)6lESA?RQ1Q7Olofgf z_yf9NDZ2oPqvgbAfg8De*;&mjIUlsvS}2eEa*DVyY9ws4gW`|zD7~-%YohL=gnu)c ztTs;&m^A|{v&Y@ClzxI86*yCDPljm(D=mGCNcxXz(5#{{X7NR;CEP|wd0bs*MK%`a z2ZCLi2P~*xEfnl~Lp7vj#NuKP+K;*ns?icwlG7K}iF<}I)m~6*Ivl$9?c-ve>_SeU z#S;f^|K{J>+Ce#IIFVOJ!Q=+pz~J4RaYs`hT95mAa-%JAO`0R*=%--y)Lo$2oA@Wb zf+6|_QUlc?sy3r6@h`#^J%@k(8|#u|Jr1~q!_itFBi7k$Gs`S_0dC5%B%L=*`=}*n z)ZiB46aHul(@}91G$B23#>JtSb(%;LPsU=kJ9My!F>r)^8;3--{q_ zX5I=-9_1N)sZKrl46T=sBiUc`iG!#Iu!e3><+z9x&+8ANOP@MrZ`nnplxvhUF2c4! zKZu~2_I~|7ji_S2g67TuTvav`_T-)zxTb0e%Cp#tqy=suf4&f9Ge$HYF`nX-EJk`U7X=Tqpc-H) z(sUm~hF^Ep&N#e?>6PH^movEn%c`9Sd&UyjqW4Ih`WbaB7>dL6>5OHP6gtMV6!QutI^+sWp6*g$C_A2n@5+BEWZjW-&HfV!@l6m>E}^i=T7h* zc8cMeULtIADx`CllG_b66HPe(cr;E6#-$fbNzL?LXl%JvKw##w}#gsAg+!;UnNZ-mV;f`B}Klwmf7css}sM$uw6w63&<ZYnR*E8R3lOpBSE1Ef9;SLABZIT|9Cvwbkr$ z5`SnM)u*|nE^Rys4)zCLVG~gou0{OnQ+WM<`8q*iFJSetDDd@q3A5%N79Q!2aKlB3 zRA_{vCNZpK@m3gkeqSh;7m4^`*WjG*>qREcALUi8XYjC9)=RQSjv;kd{wDkS-exnn zhgnV3Gpcv@!?KwvnB!%ORYEQ!ne_%stS=yG*9$o4ZW9uxy3xOBtcNgcvF?@U6V@kf zm`b{|5Y6tp!T(Qv{31}z$Y%_q-h(Ije1YE*X5T)P^>8^F;94|7S>FStN0@-*$poBv z+%H^{+3&uXuW`N)|Iy?@gC)t;>+0c z;%_^)sRn7TxT31UM@VUKLwvm!Ws6Et)a(#;oDCAbtufULaR#;W7u z@x$q#5*epmFy=o~mcJvB*0Z;W?dv^I#kD35<(>$ zh&&S!Z^C)u!PJiiOJWzrJRV4%2Uxa*6YCGAg!*C`rfZ(stlRMAfl@;FAU3SSwcAYz8&(JU zn#&jz9pV9n7yLUsTamnIHenOmp?HN0@U?5nnn4BZh}C*b{zIH~WD2(M-Apt?2O#xGjNZ@QPj!1oBoFuo zvH@@4w9myTp8HVBRm!o)$7d1M+t(q1$raM*T?1~dnGok{pjt$C!tFns@|%&gzkwmB zs*tR-i_m5nIif#39S1#+h%AoKeA`7)&GW_C;|G&EHV9ipE3kZJ9r5PJhNiV;!tU;J`k1fxNU){xW1=Oh>`CW{6c-p`=}> zVzUFMV}WrnQdIUQqL4AjrNwLB$3+9R>9cwwyS)dACYOukPJ2aqezibD%MJJQ;!kzw-h*$7ol{VOB zO&&`8wSnf{9*Bt>gr$?HUgO#g+wM>xJ9!;ZZ@i54$EXI@IE08@q`Ic6WNl)@zl6WT z8d=p0StM!giX6O3FO)ksZR`N1iyoi2c2H*#%>z-v2I5-3mdH1W=`)#!%-S|7 zEm9o`w-bTvs5hub8-Q=vM${Lt5?*})8R6?z)X)Jst4$2qa=gFD_b;daW;ssF)>>RsQ?k;I83vF6ZdtW+|1+k!FU zRmlyw?%99XJZ>S;*d?QMAHNAktxY&s-rsX2*%2AQLBXL+(&q1YG1>&5^OTaZZg`T5@SoW?D)pDA#A;|_w4hTT$ zxRr=ShlwDy4jGPV#yW6YaGf}U#tttmD0fEcrl~02{~VGZizY@~V`3iinR;42;o$2+ z2--as_w{)-uB+9IP#&igskoz%yCR%u-VDUH{$DY3{t^9#`JuS87YDh=@nU&}wn$>I#X-MV%Il^Bx7?SAgeMS`!!qvt z`S`!!;bUvrdq{r%1gh!WLinv+={=nRyDNZ1%4p~q{0Y<>i?E?r6qY``g-a`z;-!l1 z*3$khpl)E%_@;+363%y2)4h+~^AnHR;JJw;uDd00n|yFaD&^z*d&KHToQ9gW(^xjy ziEvFnts{A-&_=_N3{u;>Z-az>E0(>;fTHA5v7|mT< zu>7_c7S7jW^?EIhQ6@Cb&moqgE8sKdJ=KjyB30oXta;{1;-7dhOw%yhCr`#Ml0==o zf~f&zX(V4G%CIFdRB|c#(Ju56s+FVRd^sj7gk4K4|<2oW(O>RkID4NqXVI zpXwOa>JkLkT>?=u-8;&SxVN>I1_gTN0;uLYsXuZnvL0=F#u_QTJZarviP)WcF!L!3 zc-sw7v3}e#*0?LFzp0#L!s)K?8ko#x-r52p6Hf?Rc1SBy9>LY|6N%JoDA9gR34c4} z@0FESE0NKXN3<_frub*ET}Y=F7pHH@ha9h2h<%(5SK}QT(tpq_6M;`B)5^p~iGCj73$}mvqvX z$FbUR1rh~Z17*2CiFYl+c6MuM-8&R(tb;Hoc@>ftHlXa@fw;&~%-V~NpuQFR*^Z1@ z)O_Ngw&utqB-39&>h(*BBIWV2hL#nGXP1p-VM~A?H)hFGt; zIFt99h$p@QK|l?W?8?W=reM^%bFX%9)d;29nd+0#he*Siv!r@;2ay|_(cV%tVZ(pm z#T%D1@rn1LCapW-hcw}sEsV0E8;g}z+sMRM=A&*qSTB=5*HNAE7VL>TMHEa1mTM0o zSK%l!+jXk0WX}g=@6}3uf45L=|NDd~P=UL05as$FM5W(Oy%!&dAjuBJT%5*edaOfi zNID2+)BzKAk9r8GM{}eLSdJ_Lc7!#S1x$vLRi&7lKN~wVr6ZZ+LOlG}4_$h11vc!Q z4eg(8NnCa-wn?FWEj=Hy+H};%Hb)|~8qek^9>EZg!6Uf!Ly=^@6BvmaL0*}OhZVnsjQN91(sQ_v_4OITC66CXjHG@&(wM&tG{R>{ zZMz%GX2>zsQNh^|8K){Ai?hUkQ9b)B)P#Cq_FXCzjibcjQ!1|69*W|+gI~j%{n&2x zN~F0yfqKo9h*r=vzNKOI6RpLos3+Ap2J_4~Ox>-Kpk~+OMl+3g_2zCmi}7zjdUGo= zlP$p&i~C9LzWB)Sd)gBHh3-C@2gQUx17WsiI-Z#DQpN;qM_JDvVa8(zl1_6&@_qKs z!NFo0Hww`4(+70ar-Oow`j3-;K7(H`?V_dL8e+6e9<6?|1X&IpAndSm#+~LviM?Sm z896d0u&9pJZZ{Fy$%Gb&U-lST&YDkqp1W{P5})MtPQ;QeLX!u}1%bdLOu0UO5@dKNUeX*9QCQ4vU#H7~4BO!{*F! zaE{-L|D)F9>&Q##;ht{zB{C3*V_)RRvt4MbH zQN$Ev;-lf;Sf15PuwZ!TYt`w&RZ1UZw=oqw0Z)IJ*{su|k zky25aC2_C{qO}0!gwv&H>HU6Mn@p6b95fkOj?1`1CvqR=~Af7 zr~ID2_Z-POqG|pKvar6?ch!zdN5p_Mr8niS;n4MHm{xapzpp%e92)azv^Mzc1Q1wt zCqKN4$5;LvC#!e3H}B>cpGgAKIo`FMFF`vp%9~gJnm)rh(D-IL^>yE;@A(K)ikk7Z zGbKKD;+;gL{7HmqZ?R1m2b?5|V5D`KB=CNnuYE{63GTRwM1@62@`L6?8n4dyHftpp zIpqCv#gdOpUkj_%h>gGtlI7`9}O z54k$Z-$@xU*x%+_UsUTJh7-5FC+&|~sCKs#?33EDlYGb z<#^~3*~3X@oQ^`4v@N#wmr68U{SZ?afS)h%4`OT5appUrjd|`dkhmR%+U-5CL2Nn1 zRsYAYZGsJ+S3#yDXxWG*K1Nn|Fh2yyo-l&tte<#L0(aT9xeIlYTo337sKuRj*H@J| z%t!6LUSQwQIdbj_7dEjkAH}?nVBXdb(RJzv(R1rX;^t_es(B?*Hm5+1-8rxwT!(q8 z%P1}}7CKVZs9mXm_Y0;f1v{ule#Rd3JL{1km1>mbZ_$&u3|WT{-S3ZHk;>EuSYPoE z(kD1UMmxQSox5>++)MHSJC z$V*pz?j^BTI$+V%m#DMp6t<^*9tX#IB42PEwJTO4{-$Ou+hl|qyXPWFZWOVp*hMad z82Pmu*W;~SpGNI}owHQ>z7m@!Fst~FPE$|24wdZLLChp$NtQf{XhO;%Y4~vM!L!e` zHFhIVW8crDX5%_>XGkJ8D_@5?-X;=PX49fS=QqfC4$SbmG5XsO-Pn>-|3Tu^A)x6$ z6W=Bl%uCm&x(@Fnq-@l3U9Er4l2yyou>SEUP}L`Zyyz>KA1K9f*?Fk$hz$}aCDC3) zGvY^ICB>6kQG9m|opl(1vWs`3VAt80%w3kKAFUJ}?$Ux6tbZD+R`(<6sn%%ia7%6D zxtma%M)j$LamcN;Cy_sYP8@_SQ0kLMJrnEE=vOzjt4G{s_=P^i-s%o58Om9N8BqcaZdN#} z(;RD-wn2wu897qbcj5(=$%;uMTjZdmoHkx^U{uXz55lsy7TLGT9)!&EVl}H=m1J{OXysJ2Q2LkbiJy+ZU{U zFq_DF{e#<$&53GTu&Q7Akr7hoJkpyd(i(;wM6!9e!#*mmK(-Cj`Fl>2o7|w})LNd3{M3wqSgylGb z*y}OwRdXhxsxpoCD7J#K&z8|y8y#pLx4&ZhA$MEBf>5M6zLe(D8Mr;{5z1PvMq_3_ z50+c4g5y(zM`u3k20<|!!D&+-qh#1Yo7PNaHFFQ5(wUaz^!T1SCO00{ZvRah0(xOd z!3(OPa;V;ZS79@?3<;8NLBq;PNcLzMQd>u3*HjZ^9+*tV{qDPnsm>(p=UM5pho&O- z#Z{1Ywt;1UC7H8kDI*ZZj1%4968B~^;N`AG8p&uZu#c9t`|KoVj=p3oMX7jc$~CpP zm1t)sm5nzN{fDkEXqg~k4v^D7niu^EB;YoAZkl|MV@GY$mQd6-}LohDe{J zkXbvL*}9k~G`B@VWl{-R)t8GclN6v%^@D9crZcK%k@M8gun70v?ls8QOPeRQjHEeID4^q*Rw>d_!l%WwNQAula(J$2M1cG8|7vr zd*&9cMZDnsq803&#W&b0L;f_(mW8C*v`f6xeI6w9|vn* z3Kyrh?v!)m=MgUF7FZsC2ce(hw5|RHtiaF}b92w(WOrAs-0U}$JFFRD=wgp#%2{+@ zj8tTB=b`L&8lM*uV6JV4>^K(8e;A-<`edYT_92q@mL&d?56bi55DA^vt14fHnDf5$ z9v*>F+$wx7^1lBc&$fN#bIc6M0fA^TQ5E=OMkl7dqADT~o+YBx9O&?22)Au4dN9U* zjH@CabCf*1uD^>ac*qbeVVvQ=dJ9G*O9J~c>T^zhK{k-pOwHaZBz^V>ifupQ1{)#K z1jvzn*%1`IB9J+=pe-)7;#i1!*Bc^RX%CyHG ziS(k~VI#f$NOm4u=*azwLmPmAR3Td1$lc<}s zvB7*E%Bpx3B|PFoIz?khL;t^t8FLXt6Q^Ni^jH!zz+S8OIfd-4deb~J3e{clMf@cV z*nz_VY1cm3Y)cQ21}-A}u;oPU8te3UD6`ab+enuE>`XLwCPPi-KrOrf44s>t0`3j5 zc7k$W6u(W5)rV>A^}2$3pXhq287jS|2maU!Sml2ZH%x0KBW?z1v*wQ=64`M4cy&>5 zP*@p}Y@u^p1<^=gpuYUW8?lD@2vW-xr0x0=I`c=|*|GqnDIbLn4gRM2Q(IRL?*VGk z6`~hdgxU70r!y>%K=dmNI_aDT@7Z>+I6!^#D;7|%t_UobDv{-#U&uc9DmGN~#N22b zRLe6ELv96)MQ@;1H5xPlFTt{2pxX115a#hgxO+;!OhElYvayeFcJBnDIb?xEhAL2m zWMf{a3#}>5iTd>}IDT*+Yxe#ks>OlJJVPGP`MEU;IfwSsQnXl8FcbvZW@1)33Og=- z>Zi{Tfj60H)AU*IEv)=}T{+b&)HmFl)2~)A4fQv3U$b+W$_${of zvj0Di&WsO3+*>a}Ec-y`p8AqZ)mE&YzKfJ545Oa1li00UPy1}KQf$FO9CmW#T{tAeU z893_mmfoXQT%0?PllqhH<#B}Z=m6LXdRg!WNqQZ9Cc$j9xZ`eqApEjx`QlPvLy zB|U?xQ@gXC(LPFU>utRHEQ;kRe1hYG`T#F}DQ3IuLs1v+F!t8d80nFdl%IN$r48Mz z#a><@+r8DN)A0agZDgn(b{N~1>;Q9aZzQg|%gA)DP-?w*l+#3>)NbQHq^tgQkfCra zanhI$5>Ip{J&rG8bn$aZ2jDFmILHz-iiZ;dXY$9i3D{4g-1{+aPVGlTb- zq>PnZzD+%?CqU%Y6Ny@B&Gd3Rt}KtC+SMEUX7USNPp_|o)3+2;@83Hx)QfTZ1v*pS zG!17&_osYeB`Q8ly@1s3G}H4Z%Xw+$pK-AO@vYWiE}j2uaj3UG^DR=V0VT=tx``S) z!Xa+r6ePW93$<5P|6eo94lE*Uz+I$f9)kIuso1?a5KCgr2-kfy;kB3}ndd69|JN(( zRd|h#W<_aBD?Ss=_DzV5>ksyvTqI5|N0p2Ym2EJB+6 zc_h=c4o2+*T}ki~sA~NO3A(>_stUe3SfZda%#kl*)n7*vUDpF&V&5|3T8NIY@J-iR7i1fq>2jO@AM>%BOsUPSm=F zEGti8u9rU0#y42tx`!>O`@B;+b#erk>sAYHXZuJlNCj^a^p}6=ZiS7pGs$ zg1Y`a5U;ZjXzt4qJ0=>NEn6(BKC+Yi8j|5E93F~t6vlY8!>n;0Q-81)P9%!7r|ZX2UeZGhG16xx0exRz7E? z7rTID^$K|Dd@MwnyMhGuo`s9*5?C(tgnDuQ!EVY#I5n({6+XKMqSja>bD}dQ-1AuG z{b%l@k77$(-b#}v+cb7D(}*~9t^6?awp6ZTR zr3IGkp*4P40Jh}_Xm0;A-#Ff*%J2&n*-jRfzB@z|AwRH1VOL_`%n<&V(J*aj5~Kdw zk7VCD2l6!!Nk-WTBuXs7vYn%lnQ|T0aHyAmpbJRdE)nU{NtnGh48(a)=`7F-Qn%U@ zsSPc}Nca|+pJfMW+>Vf!FFSPX*teu~+-S`7{9j3D9u8&uzHwUKXdR5DjnTpgHJD+h zl~(OD2$e0AC1s{&c%GSi(ejpd*`v_Tn@UB|s$Kh_(DI^PJ2h&idh2)f{r%BVM>#sm zJkNb!*Lj`i=h}+6P2d@eut5(-Jagn7G!_$M z4>k0*Shw;l4ew$DwU!s{ zd-s9oiII#tN1#UBx4V&1L_Rv{?!7oH{TLOUeTZ4VRx)DC6Xs3x(-7Hor!cwGw&;^a zL;0${Yl!sQPl=mJPr5ImLT2vLKyJ0)O&=^@H;}MrB*f7E3^&Mb;7z*FFs=3lYADG?%$OOp^L;-_ zy&{(iT8~jLqg7ab{v+Dm&{YGT1u|t@1`#-Mj3P^Sx$ ztl3VJK6WLdPl0f*r-C0)L(F&P(E9B+h|Ax%MXbhyzKLHBW3khrZs+e{VMHI4$tL2? zb{mx3?23ibI3kI?Pcm~}he-IjX#5bJ--ouR0hJlRUH}gao1TK}AX9JPpQfsxq2z1L zEs2Cv?JjC_gI)>dn9W%g-a;`XfX(`EUOW{zcXx_(gjy8^Bb~Ovaftw__E*7GkF6J#uks z4-4bG!<8|o!X)n~%2>Z9fxa$X$>9jWquPifBbKoL+4W1D-Svt_>%9*A3)jdy?qS&m z<8?Cg$~IhQ0B@5t7z@n~Ve_;tq+s7;>It)}16?;DXS14lqQbN2&Fn=($DB9fnRT4O z?FFM*|3z=qjZeD}@h#6Nrfom6@D|dWfz#A8x6`rhGk=cZ9ph2i`A?d#$ZbT_e(!&J zuGYJWGSxXq`AtUT>*}e@+aGyUWwNVdH)ss}8Pw|bM&elcj0y|0@X-tXH3q>TlwJmR zT-^@JHl3x?+-c-+=US<<@c}iC{fNA)BdOMV2<{v(i|X6XBEtyJkOJdy)NWZnw7$O# zykR_SP(EH<&pw4*unTh3|HS+DT?)VD*>kb3^&OUt5;|8p&!@GyYFg(Oge*^AMIGyr zto)k`QrV@VibTi_Z8jlxFI(xF-wPrSba_JzrH8x*KXK<6D^yp-8~LZMvC_UqEy77GVtA;^#a63*&OK$lMAXsHkKb*4K|kj=|Tc<=X_5 zUbKxcS|jANce>K;YY7s^E+qQ4!;nX4B(|UhX3O``d?i*ilS?4_+>6?7eGOhpl|Yzkjw(h?!S?h2MXb#ZlwYwVNaDAg z`n1o6TDvP1G+aWQTpK#|{UWvf{JpeG<25#E$t;|4Hkq{eEkoY&JSv$vfz;Wn(7!hg z6VlOPeBI#6mQI-A&%Av_Md2@qGH56<^Bw@R$WK`6orM_9HqtHnm(Xr*4tDc9%82tg zxbnvlVktEOO>+h6`6ftX&5t0mrW-UTU_41`*htb_FH`P65^}uwq{fK9gqr`nN-JK^ z#x~~nl?~T+xN_gZ9CA$ym4T+2J~o$nj7=J8X}%2A&zj2`hW0__$t!^Okbv#Fap_Q( zW{uU)d$>?GlQO9r>7M?MY9j%ql6QBBH+aoCJUQs2H!!C*7Vg^z6Stgk4uLw87%JuX zP)59()3}GJ_@*XIZZjF{Qy(DytVbvOdyiteqyrmc55PzU~tMhxo4 zTJ5}#l6%K27N5RMwbd*Q=&c{u$)Ai~*n}K3cHxhytBq*L3=&91kWY=Mkp*GVn>Kj(7zB+s&Ibht8-Wqa~*p(o6}67oR@O@m(8 znwV90qjgSup_XZ+C$`^KTX!1;bwThXOPfW&ByuHL9bt6lG@_BeYC=X@KKLp?5i5Y@q0 zy1!T{@1i!3m!w-^b-?x&Gp4z-j+M*)%oeG2>^34NDX1{i8*|K#kPnTA3A&ia;KJ`f0ECSt%hzs$THUV8>nQpHxW(iN7(`0$;M%QZ5`L$W{YxC zluGmv-7{OS&S?5Z$|vjvjUW}Yxd*iBMC2ds2U`|Dg!l9rm5g{mOni);%&X53H>+#N zZ@LR(5%P`NUmONKOf4yV(HC?*-#;1y&Pd}C;ZIBQ6rc%)H3w1cZPP&R%}{FD9*6|; z0VMtYZem{*Mc$^&@`5=D7TSR(>yeH)wHE@!QTB)_ONDxRI#C%Ms9OZadNC#(?HH7E zMMv&T=orfFvJ2VQjzR+FUq&~v2T}D~PBahHQB|8%C~`tyzq+mUkdNyJ8P6Chk~)+0 zlvt>ji;*bd9CB;@f}%9P!*~XNJj8ve+I~Yg={)fQm)P{+HEd796*QQd_g6hKwOsPfvBV`!IWv&g2N{{Okw}gEd%p>kz5c zen*iZvGT6{48q3U?}_sKLR`z6h|^xpgpu(~bnb8UAlqrVWZ0BjgG3Y75q;WB+VU}; z4DicHGK*MG>~CB{|Ml#l;+TG*hUW^xijRZW`fob2Zh*$BY#lC741yY9Ixw5MBjN9S zB<{KiSYYzXZ53tT}A9&^uQr*Nm#MSGjT$>t%G_Qt^4_c%i+9LT6-#01< zQrrjPVz**0(L#ScPZ0$}!Zn}ilQpBgW<^9ncH@E`50IYsBmf+D0frHHrVKWs?- zN(|0=lqvauIUDYh=$p-I^A{(Opzm^+%}Gd9)he|pF&Cu|nd|>-%()nt-($Y7RZMO5 zHu86c+YsfkkBF%sfciYRC-4s*q!u;lU0Tv#61{&R-Knz{Hhk#oUi#*tzeND>#`Mu} zcOHVAYHs-mmZGX4XNS~XMSn6{YMjgKJNQXgtmwhHF8;2ZwAT<4m1%UDmla!g@f9#>bVw@TU4W|TcZVN2)JSv`aO zGH>XCO%+C&)An?^=P#ykS3Nf4J)!$dnns&)qyHAzcn?&ca znzSS|`;{MDNF2=b8JM3FWk);8eAAC|`7!A5LEn)h2XWNrRIV|C*r%kFH%_hB-=v3E z?i6NTJWJM_pB`)WR8J*QD~NgXJ;wi>l#xZi>~g{1NLM+FXli~3bRJEVZ3{HzX@l*l zKx&JJYz=0<306xo;~z>Hbs5U+kYVM7CBO>UfJW|pwlwm9HCu3CFRe2M?%SA))Nn|P z%(P!oVK3m1fKJDKdk<#w1g~k{89989hGl>)@~)VpRyF6MEhZN<_h&5}`6t_))&DP4 zXflCZtSDkCAJ@P$J_(B#48-;id!Rnk&q_WVyb*1goBKsmL$k=gus;7!e^nZ_1Wcxo}(SROCa z8wm)f%@wI~Oc6KUoes0kW-2#b06tCapWH983n?bHz=u+%y{BTMe7GB*PxLI>h^u;y zAoj;Hkl6V&Rc7=2yab+P@+>R04CYIA4W%TfX%KkLu0f7>HmQ&6htm1$!PiN#P!SBZ zM>%DG{KlKtJz?scdg8xcV7Pmw-Z|yHNm!2v$TplpLZ_1d)uW5{eqwW8j3Sj^x>Nm^ zr<7fNn&_HZu{O2^{w>Ohf|S!(*lh&l?$=Ra?taufshxzECKFM>W6J)s4Vn4cVMDbK zeWp$f{NtCNmUcSOef^72?mZrR(d^;l3|eb1C?LU%jDTdf*9O{za>u z(pU~K-C$M@dQd0bb~cci6yrQT-DL(gV=~FLgHA3we+}YP9|yg87g9P1seyA0)yJ7x4*MwjA1+cTO3}jUeQuKaOLO6#>BaQZ(2NMPq6)bK839YQEyR?knEDxN5fjuHcMNAf9ZJL5Cy>K? z0{-3M)ZwcKV>uv_&JEB?CnxqAQ6HCwnP*{CvQUQypRF2EZx#+*fnm7w@kijj@Q~X& z1I$MkKuyCZTRUGSW!?CQ^Il{QvKtA$HY4!H9q)->EIuT6cJl;)oVJ z>7sp6P)7j24|E+jn{Kpz_h+cPp8JG>ce}Q-iKo*BVSYUoDeulf)~)e$ zAm>ih!G7lgO5QAA1eqriCMC2bz!GKOKSzeRrVdVzuKQoTA(H1HQQ~v#YBrZWJa}A? z{QO?f9~L9w!(7M@?#2_3DKx^MS44H+f-ql#A=}Z0Hm;=n!X8tR^;moCx875u`rQwk z=|^H)){)fObdscXlgNsJr9oL2vv79NIJDxfX<%kmG7aJlO*DHti5Px(kVDQ18dY>S zwR}H+1nbME<>c%j;lYKt&iDb1$ej?x^4C(Pt$^rP%CO4Ef+$EY)bUiraypujYR&^> zu(?2twXcZ?vf$2NcZ;R1;4S8xLoK=!8+sjw-r5QJgJ-DyjDbz8_t7v>E{M$wK#plo zsh*hupW$?v<5YpyW+HL-iPB`xo$qNZzK7gAuOqFIH5U8Uq51kH5mDzqNtsEt$R~vd zIWc?CQ^C`CV-GPOTR`=kV^}aA{IKA=ueiPe*X`(mEwU{_t7xO*B+UsK z4qm_CC}ZV(HOHn5G(=M}rD+6{BYlZ8I0$7uyp2@vbCB%{53Gs~A)M+&s(RB0Wm$R0 z8_#=b-~UUrEzhkQaff`v;KXrfuw~vp+>&+}U%uQkwBGCiNmuTp!pf*JUj=1 zKTe!Svi81p=9J8)Lg{4U6>AI6iZ9)oovATfb(S=4w}BeJ6*uhW(URtIq3l1wM7TYl z+70iE%xmgs!w%q;7+lC;&9+4+G7NmK`vSCt+h`lEjUZC3BNf(Wlk(r$gWJybfjV*r zt+g3V3_BEx=0h!5S=f#y)*7>_%p!93IT;n=KEYOb=k2J^Pp&(Q9+r>;zkh1jz2B(F zMGte@Smao<6shiC#Vua%z`M?e9&S8ojO#$ESLINXvq%>?9kB~~5}!}EB1IiWH0Qkz zOWQ(F;pbMGJ|B9qYq8XaHx1^HZ@_yoiWH=5#g&hH{7+Ya$~M%v_$BHte6JA$bJQzU zOte0Ic2%v16e>B`F}8@`-j^1KZNwbw`htD5Ck%<5$~8cQY^U@ z{l{Cwg}hWt;$RXMahfQJFKxJ3jqHMVVnKEk6&%@z)6Wj26{9W^&Z5nP(+)h1KK;fz z)SMz*`C@c1h9}KQNQ6viGELs9r<`0j(A$b|vcr9(uZ*FUU(As>wFbDNYT!iOU;{qt zC64@jtax>tSjr`ER&GElM>FhotS(g9cAChHF8|4(Q9XF6cpY|lfaa=VeZ;h}Zi%ie zoP}tu`x_50%j;gu(y7RP5yh&664I%;ibR5qFgM$P#ABY&@Wn5J{^&2N#-pU7@iys% z{>q`<8k_IqQMZECR4Lg4*{(Ju3eSM=XDoHQ+Xpd|9-^GI0Hk`|ij*dwv76KtGkY#z zj>!%xjMLGfhqfl0Ii=%!w||BzrH{ZnFbM9+B>4B&py??^A?Y>dRNy_2Soa=@#qNv1 zd;A7I_vxgwYz5?6hT`y^;gEMRr>yoqWm~;T%N}pw-E5>*!m+gAi1!(`ZI4AG@P&=gYkWc#uVz%Qa+CDZ`UE)_2(lWrCmIdt8c;-H% zjgnH5Klj5Bjy4b<85g7U3)>QC_LR`hIu3C?y2DRY{f1P8&qFJ}9A3e=&mfr>?O_gH zgYS&ugq_F)eLZ|Qwl40V$`^2M=XDw9Slo)lQAen`$xC38xZ~+3?>Soyt3ZwqrUWE? ze1Xf479iD;;fNimzz?S^jd9~QK<308XVq3vX67j>tE=W#lyI8`%G**Jcb+| z`eUe@)o&D$&XJ&+9iitlXUQwpkHgskyYb&OTwuzXAc6P>IzCttBPbJ4GhkbZjdZ{a zTu6%gIcOYsMwsK|#dJQtgq!tKSK8J;M%)?KG2@(z_>OL@b?E`hzDq(+q8H42^-iEp zwq1vq6kwkXK!OMM5}B~0^hYc!h{Bu^55bL$}G4Q_~hXwC92UWdxbhwd=^LuJ=h*2KcWNU)XQ| zy@u&rodis@JGfv*8WEhN$dF%(yxM+Kfp0odoP^mb^9Wg;%_lswpVB|Ih;GOQVztwo zse~_&Fl(3Jso~sIZFC4)zMQ};{?9^6GYWlhs=1{Sg`~&PklWS9@HW>^$)wn zfCkR@2;g2CLB*@5`_0vT)8oL!iM0mj_YpO-L4R~zupn1CJFL- zo~SH#rbfA{3l@EQjO-2Fu{ZFS+**@K<&Ou%P27g_ng)&#EO*1aku9SQ?ccEO!~n>% zkHHz41!(Fc*P)X5J;d@-EH&L78XecsA|5ImVo7wQn#d*ob6^olsQFIb~t*T*w6#(LSYHS!Sb# zWIR5u5k_~w9a~J;=fi2%_A+EuJqF7I7ho&!Lf?FF&fo0DLTp@IOe|kDx)@8{P^Wf3 zt*<(cwEeoN_m%Hc8>B*fVnj0AsMtp(Ho!(0(}6aR8p(=sUQ=z&da5(8N3nS!qmW0O z=N}eoZQE>M>j+Rr(-@kjnx+;Wv;&|0O(cwYg}=<+$Ao_@LfkeB)V%t9{F7Ht?ZtxI zcun@$xYESi0ame-NqLMX^dSjY-aH+5UHWXXQoe#p@?0@*x|Y<%2@r45KH7P~GTM^U zN=2)5*ply=tS^3wpNO|dzU~~f!mKHe*gupI;jnRuT=zM^3%&s}ViS~hYBtklw-GDm zW8~O%UYMb4U%GF-soD!P@cq}P+Pe9gF+9a(($Mci5Yv|u5U*i_9c>}^#D$UmPo;`Q^$@% z+A{FRN~a+6A`WFToJm*7Bu(~NceMNC(P+y(KWN5gck0!aiOhUf(J=QjLgU#+vd$G= zNYh6t?p+_U)SxktnDvvBDxdG9-W)fSFwtio`?fbaJAe0t#)}?Q)c-fG`U0Lw&Lr&Y zVydqFHO^z%AH{Ni&f_JClrjlrwnce`{D-YXY*{0vwVvhr$Xw zO;L-LH?ru)qn5>`cFSje(AXQ&urgLny(`Ty$0rtYEVqzj6X?uoKh)-82E23EY2Sb5 zjo|X#fX9I@pHDk{g~;2R_z`l7JGvk^6$5fNqepL*`6Gm%Q=5@6golgj)=)QWFv zGUFzLhyErhyH3Uyw0yzYpa+N}hSCOwFP27(C%Vfe)FD;}XTxa3b4n!EytRb&?Mhhp z@t~WGrpk^FlojZK0}=!I*%&0;0nc;9l0S7Aj@`YSO&jML+W2rSF!n7-a-b>H4XLDb z+NPC_ibq70Fc-eA2DmmNJMnQhs9!54>QWr3C^(1FHL0(I(hvdjN6W+u|c1v-DsKIO` z_14Ph8}$rURNYFGuSG-tz8tc2Nys8$3l^5tQKME&Qq3nZ9LW9&k`^K5*|UgUT}F+( zTc}V7JU_zwg^%V<6EI7&iK+$8P2;O-(dMzFxyLjr%#=~#dTYq5nbPp?3$f(Paa1?GD;X4~ zUFuW0in1B4SY>w^y=)vKefC8YvSaz7QN z%}Z{nIrIDC({1O%Z7(b#ACi|W`@;}BY5B=^cKlLCncE+F@HU*}d6e$GIu&+%lz9uU zxRaRhGpvlqLT>LDoQ2^qldbhh9v=hmu)lXL2Rz^x9H`tX8t;{z(d_>IRc-bV-laeJ zsX2D|pCfge%vD~fvuqJ<_J52e3!n!OtVikGe~GSoAf2{mkg!W>UyWJqYE;kGBhh*x zd=@1*Y-0?KIX;;&OF4#By;oyv!Evhhz7Gtk?|~BDFB*1v9QfXINO*V%wrj3K!pU;v zV>BPD&c;!xQ4RPrUt>Mf6?CE0NnD#!H2LMDC3Z)F{i=P8;Jl@_$G_3mCsEF(8E=EQ z6Fq3D^}lT6?%zbzw3Av`aG8wA`;3l17s z_gC&-()Cbng4ND7$a?Cc6}QtnM^(L>frX1!Q^v!d=!+0ZYmQx_n&t_5!4}AAH9-#* z0X|a!zHjo6L<^ot{icIhr5J%F;&-T}^Dm-2>V#GDdGP(?6Y&UBYVY<9IY=kd0^Ox? zdhmK#%bk%^`;Rb--U|mw+-1O0^TkUPz0}&H`Rd=hxZ7N}=EJmCiBZyi1TGHrLP7QKjBr$pg=gtkZ^m#F>FY8Cl zQ(mEZw>&IXuO+e?Yn1TQL*wyK%v4U8!v0mL)p5^IInA7fTcJY zAO7Cg>+#fya^-f=TIy@ipa-r=aJFJW*j3~SbsRj`Sg_obhV3~AxtbiDp6derVhvTb z{J@-5rjT`OM1nvg%ueEC<@_<2TU|ng?@ytEawG6G`k*bm=?t^75BW=eaco#fII>dz z9>RrtP;zF&V4d?{MEuzl4ZF=(`Z`JeRNkK$jM|8xy^fwRFb*vW63%mM4<|W;C}xLJQqk`YoUc7_ z>PGcS^R&s-Fwlx#?WJZN+TwBO&m#Bq1uy4uKl@VAwBtlo{R4Mfurx8AGmEM6@IqW~ zE27hGAxq3B`q|}=fxAi${*ddaC2ImUSjWZbIP(qYZrv(z_%84-an=!0$`~XHsv)wI(VFJaBSQrI zI;d~@(&~bD9u+z6;JtIA^*jE-;meKCkwN~WBgUi*?)_{;1S>En*BXtOJ>zGqxiwdi zw6YZgrw(y9?t}dsBT)3kl5sqr(P)Q0Cd{!NvgSvuaMvrn85RE&etJ14U~yran#;2$ z$}5Ygef{hBW0rH(4$C`T3kI!T8dASzj4%Qr@t0pXN52P4fKgNMvj-KXNx=hDhLxFX z5%VR5lpnl{hwn^Y%#0aMg+|ec6Lgxfn>L!t^k%r$=ck>pM?SgJ9LprX3Pu|yQ&wmU zv#`4D)J}Ypy88M~uuSQs%!1j(C3~GfyIjNs(wrrmtf||^P=_d()sV`J0aR#LgY#X| z+?78E;VZRCVSluZ+Fa0W-aMenUkTLbZ7u6qbOmLxLY!%u486J~wf!&>w6QQ?qkTaZ zM(2pk7xMC#fMpN5WTo>kl)Y~@V$zL?GGH)~@{iER4UZPB?H{JDADgXV{XS8_-AUB; zuo0YPDuT|R4!TsbpXJJHs5vKx3R@YRQT&Py3@r4Qe4b7F8af%t2mvxZp_a<;Ld}r2 ziDubXlFG{yVg9xl4ZSiP-pC;~1%+R>i;xJG%p zW}f^2oPrk&^6;(qTO~1m7hdZgh;|Ljbb{DU( z;|BIh`-^Z-!(6|8E*TiQ)LozU04=WhTNClN%0*9qey92#nZ? z59ZahA+=HeUYhB!4b@L)SR2&S&Yl7WAbooZK}q>GZ&wuh1`BhG}D^7jEu>H^vl z=tx8d4-@Bpooc7_b83g!vs4AU4{~yUk?+ZCM@yLLI8$bUMSL|C22aBRjvkAI&q-;; zN#N6io?f+`Rz8nJf(T12UJBX9%FR?)<4p^uw$c{2|3H_VOGIbk*?yNpTLvEhEfahw zmz9i=a~W|)Khn5e>xPr(3dn~-ZinQb>qKmqKBk7sadc*;rN34S4D>?^THD%* z8sZ|zEsgU$HtztgIOt5oL*}8dAdKz>jg@+GuG0kbucNI5D+niICsC}mWjrfeXv2KS znWhX!-mQ0W_?7i&OWt;MgTs7Dws0n1)cldn+5uT)R86gz&BQ15FH+Zg3;QMaNFduD zKnmY(qSCS!EZAy>UM%wRtNKz*4j#F%!c)8s+s2mJcTwJAt))enbBqf)04d_gopFTM zc^B~e(r$}l<4ufi`Eb?Oh@|){<@&84eZZsDQnh=8`nXvR4Fl-ojoPMzT+*;taZjr`eWievoKj)J%OYp-gEU$d!aEw(Ti`c zSSPnEUWysWlc_#UC2Qz@qoGd zXHsPRWNemwI+8rvN|~~$;Gy|}!>|!9&+i)md2VvZ_1Jh}kNMz@2abJAw!LG@7Ru9a zi;M1Eu3SD&5yX0@(Au;=`JFg=u*p+u#@~Thi=Nc>H!wr`SEF|``>hOj=}wOC{V_zk zSD@)R>9bn!WxBidtVz({c*1j1f-fJd8?#mz5YiHxO5$3lu?Bt?HgKfi-%1vVf>Wt( zjmZSN+m^K4wa=p3O9sfk9KqXU=4|;vBiwRmE3y0gJJmKlK}w$*s!Z&TRunihCYaNV*Cbz*K@|8S3KgK;dOh6h!UHwE4?~>}DZe*_2>W#ffB882yu>$p5;sYGBQ}?j^je0>FXX^`l#GSk zY}Dv>l*;5K;HfpH_1~OX>zX*SfZY(fwe9ZMz#;F%wQU#hl#rysM!vucD7g@wlTu7n z7w6#uS7t<~G#@GcYNDR0r*U1}1=O$s*s(`qp{B2(=BaauS1Q~GRrj$9W?EsTw@G?L z6R;OT(9FW^GOf`7VrKIbDUK_a6n>~iLYG~zdusvlCpGGDy+Rs=Rld=kY?aGZeSS!%yqW|-$|y%?*E)(gfYp; zLHmn}hJ6LCWCIcwP5{1yf?PN6tF}y8joG~ElyhSSJO?${M