commit 5a29cb4699a207acb2d481fd52f46255f5e3213c Author: Anton Blanchard Date: Thu Aug 22 16:46:13 2019 +1000 Initial import of microwatt Signed-off-by: Anton Blanchard diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..e8ba46f --- /dev/null +++ b/.gitignore @@ -0,0 +1,8 @@ +*.o +*~ +*.cf +*.s +core_tb +fetch_tb +loadstore_tb +simple_ram_behavioural_tb diff --git a/LICENSE b/LICENSE new file mode 100644 index 0000000..d7bf7c5 --- /dev/null +++ b/LICENSE @@ -0,0 +1,8 @@ +© IBM Corp. 2019 +This softcore is licensed under and subject to the terms of the CC-BY 4.0 +license (https://creativecommons.org/licenses/by/4.0/legalcode). +Additional rights, including the right to physically implement a softcore +that is compliant with the required sections of the Power ISA +Specification, will be available at no cost via the OpenPOWER Foundation. +This README will be updated with additional information when OpenPOWER's +license is available. diff --git a/Makefile b/Makefile new file mode 100644 index 0000000..6daa193 --- /dev/null +++ b/Makefile @@ -0,0 +1,74 @@ +GHDL=ghdl +GHDLFLAGS=--std=08 +CFLAGS=-O2 + +all = core_tb simple_ram_behavioural_tb +# XXX +# loadstore_tb fetch_tb + +all: $(all) + +%.o : %.vhdl + $(GHDL) -a $(GHDLFLAGS) $< + +common.o: decode_types.o +core_tb.o: common.o wishbone_types.o core.o simple_ram_behavioural.o +core.o: common.o wishbone_types.o fetch1.o fetch2.o decode1.o decode2.o register_file.o cr_file.o execute1.o execute2.o loadstore1.o loadstore2.o multiply.o writeback.o wishbone_arbiter.o +cr_file.o: common.o +crhelpers.o: common.o +decode1.o: common.o decode_types.o +decode2.o: decode_types.o common.o helpers.o +decode_types.o: +execute1.o: decode_types.o common.o helpers.o crhelpers.o ppc_fx_insns.o sim_console.o +execute2.o: common.o crhelpers.o ppc_fx_insns.o +fetch1.o: common.o +fetch2.o: common.o wishbone_types.o +fetch_tb.o: common.o wishbone_types.o fetch.o +glibc_random_helpers.o: +glibc_random.o: glibc_random_helpers.o +helpers.o: +loadstore1.o: common.o +loadstore2.o: common.o helpers.o wishbone_types.o +loadstore_tb.o: common.o simple_ram_types.o simple_ram.o loadstore1.o loadstore2.o +multiply_tb.o: common.o glibc_random.o ppc_fx_insns.o multiply.o +multiply.o: common.o decode_types.o ppc_fx_insns.o crhelpers.o +ppc_fx_insns.o: helpers.o +register_file.o: common.o +sim_console.o: +simple_ram_behavioural_helpers.o: +simple_ram_behavioural_tb.o: wishbone_types.o simple_ram_behavioural.o +simple_ram_behavioural.o: wishbone_types.o simple_ram_behavioural_helpers.o +wishbone_arbiter.o: wishbone_types.o +wishbone_types.o: +writeback.o: common.o + +core_tb: core_tb.o simple_ram_behavioural_helpers_c.o sim_console_c.o + $(GHDL) -e $(GHDLFLAGS) -Wl,simple_ram_behavioural_helpers_c.o -Wl,sim_console_c.o $@ + +fetch_tb: fetch_tb.o + $(GHDL) -e $(GHDLFLAGS) $@ + +loadstore_tb: loadstore_tb.o + $(GHDL) -e $(GHDLFLAGS) $@ + +simple_ram_tb: simple_ram_tb.o + $(GHDL) -e $(GHDLFLAGS) $@ + +simple_ram_behavioural_tb: simple_ram_behavioural_helpers_c.o simple_ram_behavioural_tb.o + $(GHDL) -e $(GHDLFLAGS) -Wl,simple_ram_behavioural_helpers_c.o $@ + +tests = $(sort $(patsubst tests/%.out,%,$(wildcard tests/*.out))) + +check: $(tests) test_micropython test_micropython_long + +$(tests): core_tb + @./scripts/run_test.sh $@ + +test_micropython: + @./scripts/test_micropython.py + +test_micropython_long: + @./scripts/test_micropython_long.py + +clean: + rm -f *.o work-*cf $(all) diff --git a/README.md b/README.md new file mode 100644 index 0000000..04be118 --- /dev/null +++ b/README.md @@ -0,0 +1,58 @@ +# Microwatt + +A tiny Open POWER ISA softcore written in VHDL 2008. It aims to be simple and easy +to understand. + +## Simulation + +- Build micropython. If you aren't building on a ppc64le box you + will need a cross compiler. If it isn't available on your distro + grab the powerpc64le-power8 toolchain from https://toolchains.bootlin.com + +``` +git clone https://github.com/mikey/micropython +cd micropython +git checkout powerpc +cd ports/powerpc +make -j$(nproc) +cd ../../../ +``` + +- Microwatt uses ghdl for simulation. Either install this from your + distro or build it. Next build microwatt: + +``` +git clone https://github.com/antonblanchard/microwatt +cd microwatt +make +``` + +- Link in the micropython image: + +``` +ln -s ../micropython/ports/powerpc/build/firmware.bin simple_ram_behavioural.bin +``` + +- Now run microwatt, sending debug output to /dev/null: + +``` +./core_tb > /dev/null +``` + +## Testing + +- A simple test suite containing random execution test cases and a couple of + micropython test cases can be run with: + +``` +make -j$(nproc) check +``` + +## Issues + +This is functional, but very simple. We still have quite a lot to do: + +- Need to implement a simple non pipelined divide +- There are a few instructions still to be implemented +- Need to add caches and bypassing (in progress) +- Need to add supervisor state (in progress) diff --git a/common.vhdl b/common.vhdl new file mode 100644 index 0000000..a1f3f7a --- /dev/null +++ b/common.vhdl @@ -0,0 +1,196 @@ +library ieee; +use ieee.std_logic_1164.all; + +library work; +use work.decode_types.all; + +package common is + type ctrl_t is record + lr: std_ulogic_vector(63 downto 0); + ctr: std_ulogic_vector(63 downto 0); + tb: std_ulogic_vector(63 downto 0); + carry: std_ulogic; + end record; + + type Fetch1ToFetch2Type is record + valid: std_ulogic; + nia: std_ulogic_vector(63 downto 0); + end record; + + type Fetch2ToDecode1Type is record + valid: std_ulogic; + nia: std_ulogic_vector(63 downto 0); + insn: std_ulogic_vector(31 downto 0); + end record; + constant Fetch2ToDecode1Init : Fetch2ToDecode1Type := (valid => '0', others => (others => '0')); + + type Decode1ToDecode2Type is record + valid: std_ulogic; + nia: std_ulogic_vector(63 downto 0); + insn: std_ulogic_vector(31 downto 0); + decode: decode_rom_t; + end record; + constant Decode1ToDecode2Init : Decode1ToDecode2Type := (valid => '0', decode => decode_rom_init, others => (others => '0')); + + type Decode2ToExecute1Type is record + valid: std_ulogic; + insn_type: insn_type_t; + nia: std_ulogic_vector(63 downto 0); + write_reg: std_ulogic_vector(4 downto 0); + read_reg1: std_ulogic_vector(4 downto 0); + read_reg2: std_ulogic_vector(4 downto 0); + read_data1: std_ulogic_vector(63 downto 0); + read_data2: std_ulogic_vector(63 downto 0); + const1: std_ulogic_vector(23 downto 0); + const2: std_ulogic_vector(6 downto 0); + const3: std_ulogic_vector(6 downto 0); + cr: std_ulogic_vector(31 downto 0); + lr: std_ulogic; + rc: std_ulogic; + input_carry: std_ulogic; + output_carry: std_ulogic; + input_cr: std_ulogic; + output_cr: std_ulogic; + input_cr_data: std_ulogic_vector(31 downto 0); + end record; + constant Decode2ToExecute1Init : Decode2ToExecute1Type := (valid => '0', insn_type => OP_ILLEGAL, lr => '0', rc => '0', input_carry => '0', output_carry => '0', input_cr => '0', output_cr => '0', others => (others => '0')); + + type Decode2ToMultiplyType is record + valid: std_ulogic; + insn_type: insn_type_t; + nia: std_ulogic_vector(63 downto 0); + write_reg: std_ulogic_vector(4 downto 0); + data1: std_ulogic_vector(64 downto 0); + data2: std_ulogic_vector(64 downto 0); + rc: std_ulogic; + end record; + constant Decode2ToMultiplyInit : Decode2ToMultiplyType := (valid => '0', insn_type => OP_ILLEGAL, rc => '0', others => (others => '0')); + + type Decode2ToRegisterFileType is record + read1_reg : std_ulogic_vector(4 downto 0); + read2_reg : std_ulogic_vector(4 downto 0); + read3_reg : std_ulogic_vector(4 downto 0); + end record; + + type RegisterFileToDecode2Type is record + read1_data : std_ulogic_vector(63 downto 0); + read2_data : std_ulogic_vector(63 downto 0); + read3_data : std_ulogic_vector(63 downto 0); + end record; + + type Decode2ToCrFileType is record + read_cr_nr_1 : integer; + read_cr_nr_2 : integer; + end record; + + type CrFileToDecode2Type is record + read_cr_data : std_ulogic_vector(31 downto 0); + read_cr_data_1 : std_ulogic_vector(3 downto 0); + read_cr_data_2 : std_ulogic_vector(3 downto 0); + end record; + + type Execute1ToFetch1Type is record + redirect: std_ulogic; + redirect_nia: std_ulogic_vector(63 downto 0); + end record; + constant Execute1ToFetch1TypeInit : Execute1ToFetch1Type := (redirect => '0', others => (others => '0')); + + type Decode2ToLoadstore1Type is record + valid : std_ulogic; + nia: std_ulogic_vector(63 downto 0); + load : std_ulogic; -- is this a load or store + addr1 : std_ulogic_vector(63 downto 0); + addr2 : std_ulogic_vector(63 downto 0); + data : std_ulogic_vector(63 downto 0); -- data to write, unused for read + write_reg : std_ulogic_vector(4 downto 0); -- read data goes to this register + length : std_ulogic_vector(3 downto 0); + byte_reverse : std_ulogic; + sign_extend : std_ulogic; -- do we need to sign extend? + update : std_ulogic; -- is this an update instruction? + update_reg : std_ulogic_vector(4 downto 0); -- if so, the register to update + end record; + constant Decode2ToLoadstore1Init : Decode2ToLoadstore1Type := (valid => '0', load => '0', byte_reverse => '0', sign_extend => '0', update => '0', others => (others => '0')); + + type Loadstore1ToLoadstore2Type is record + valid : std_ulogic; + load : std_ulogic; + addr : std_ulogic_vector(63 downto 0); + data : std_ulogic_vector(63 downto 0); + write_reg : std_ulogic_vector(4 downto 0); + length : std_ulogic_vector(3 downto 0); + byte_reverse : std_ulogic; + sign_extend : std_ulogic; + update : std_ulogic; + update_reg : std_ulogic_vector(4 downto 0); + end record; + + type Loadstore2ToWritebackType is record + valid : std_ulogic; + write_enable: std_ulogic; + write_reg : std_ulogic_vector(4 downto 0); + write_data : std_ulogic_vector(63 downto 0); + write_enable2: std_ulogic; + write_reg2 : std_ulogic_vector(4 downto 0); + write_data2 : std_ulogic_vector(63 downto 0); + end record; + constant Loadstore2ToWritebackInit : Loadstore2ToWritebackType := (valid => '0', write_enable => '0', write_enable2 => '0', others => (others => '0')); + + type Execute1ToExecute2Type is record + valid: std_ulogic; + write_enable : std_ulogic; + write_reg: std_ulogic_vector(4 downto 0); + write_data: std_ulogic_vector(63 downto 0); + write_cr_enable : std_ulogic; + write_cr_mask : std_ulogic_vector(7 downto 0); + write_cr_data : std_ulogic_vector(31 downto 0); + rc : std_ulogic; + end record; + constant Execute1ToExecute2Init : Execute1ToExecute2Type := (valid => '0', write_enable => '0', write_cr_enable => '0', rc => '0', others => (others => '0')); + + type Execute2ToWritebackType is record + valid: std_ulogic; + write_enable : std_ulogic; + write_reg: std_ulogic_vector(4 downto 0); + write_data: std_ulogic_vector(63 downto 0); + write_cr_enable : std_ulogic; + write_cr_mask : std_ulogic_vector(7 downto 0); + write_cr_data : std_ulogic_vector(31 downto 0); + end record; + constant Execute2ToWritebackInit : Execute2ToWritebackType := (valid => '0', write_enable => '0', write_cr_enable => '0', others => (others => '0')); + + type MultiplyToWritebackType is record + valid: std_ulogic; + + write_reg_enable : std_ulogic; + write_reg_nr: std_ulogic_vector(4 downto 0); + write_reg_data: std_ulogic_vector(63 downto 0); + write_cr_enable: std_ulogic; + write_cr_mask: std_ulogic_vector(7 downto 0); + write_cr_data: std_ulogic_vector(31 downto 0); + end record; + constant MultiplyToWritebackInit : MultiplyToWritebackType := (valid => '0', write_reg_enable => '0', write_cr_enable => '0', others => (others => '0')); + + type WritebackToRegisterFileType is record + write_reg : std_ulogic_vector(4 downto 0); + write_data : std_ulogic_vector(63 downto 0); + write_enable : std_ulogic; + write_reg2 : std_ulogic_vector(4 downto 0); + write_data2 : std_ulogic_vector(63 downto 0); + write_enable2 : std_ulogic; + end record; + constant WritebackToRegisterFileInit : WritebackToRegisterFileType := (write_enable => '0', write_enable2 => '0', others => (others => '0')); + + type WritebackToCrFileType is record + write_cr_enable : std_ulogic; + write_cr_mask : std_ulogic_vector(7 downto 0); + write_cr_data : std_ulogic_vector(31 downto 0); + end record; + constant WritebackToCrFileInit : WritebackToCrFileType := (write_cr_enable => '0', others => (others => '0')); + + -- Would prefer not to expose this outside the register file, but ghdl + -- doesn't support external names + type regfile is array(0 to 32) of std_ulogic_vector(63 downto 0); +end common; + +package body common is +end common; diff --git a/core.vhdl b/core.vhdl new file mode 100644 index 0000000..e183046 --- /dev/null +++ b/core.vhdl @@ -0,0 +1,149 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; +use work.wishbone_types.all; + +entity core is + generic ( + SIM : boolean := false + ); + port ( + clk : in std_logic; + rst : in std_logic; + + wishbone_in : in wishbone_slave_out; + wishbone_out : out wishbone_master_out; + + -- Added for debug, ghdl doesn't support external names unfortunately + registers : out regfile; + terminate_out : out std_ulogic + ); +end core; + +architecture behave of core is + -- fetch signals + signal fetch1_to_fetch2: Fetch1ToFetch2Type; + signal fetch2_to_decode1: Fetch2ToDecode1Type; + + -- decode signals + signal decode1_to_decode2: Decode1ToDecode2Type; + signal decode2_to_execute1: Decode2ToExecute1Type; + + -- register file signals + signal register_file_to_decode2: RegisterFileToDecode2Type; + signal decode2_to_register_file: Decode2ToRegisterFileType; + signal writeback_to_register_file: WritebackToRegisterFileType; + + -- CR file signals + signal decode2_to_cr_file: Decode2ToCrFileType; + signal cr_file_to_decode2: CrFileToDecode2Type; + signal writeback_to_cr_file: WritebackToCrFileType; + + -- execute signals + signal execute1_to_execute2: Execute1ToExecute2Type; + signal execute2_to_writeback: Execute2ToWritebackType; + signal execute1_to_fetch1: Execute1ToFetch1Type; + + -- load store signals + signal decode2_to_loadstore1: Decode2ToLoadstore1Type; + signal loadstore1_to_loadstore2: Loadstore1ToLoadstore2Type; + signal loadstore2_to_writeback: Loadstore2ToWritebackType; + + -- multiply signals + signal decode2_to_multiply: Decode2ToMultiplyType; + signal multiply_to_writeback: MultiplyToWritebackType; + + -- wishbone signals + signal wishbone_data_in : wishbone_slave_out; + signal wishbone_data_out : wishbone_master_out; + signal wishbone_insn_in : wishbone_slave_out; + signal wishbone_insn_out : wishbone_master_out; + + -- local signals + signal fetch_enable: std_ulogic := '0'; + signal complete: std_ulogic; + signal first_fetch: std_ulogic := '0'; + + signal terminate: std_ulogic; +begin + + terminate_out <= terminate; + + fetch1_0: entity work.fetch1 + generic map (RESET_ADDRESS => (others => '0')) + port map (clk => clk, rst => rst, fetch_one_in => fetch_enable, + e_in => execute1_to_fetch1, f_out => fetch1_to_fetch2); + + fetch2_0: entity work.fetch2 + port map (clk => clk, wishbone_in => wishbone_insn_in, + wishbone_out => wishbone_insn_out, f_in => fetch1_to_fetch2, + f_out => fetch2_to_decode1); + + decode1_0: entity work.decode1 + port map (clk => clk, f_in => fetch2_to_decode1, d_out => decode1_to_decode2); + + decode2_0: entity work.decode2 + port map (clk => clk, d_in => decode1_to_decode2, e_out => decode2_to_execute1, + l_out => decode2_to_loadstore1, m_out => decode2_to_multiply, + r_in => register_file_to_decode2, r_out => decode2_to_register_file, + c_in => cr_file_to_decode2, c_out => decode2_to_cr_file); + + register_file_0: entity work.register_file + port map (clk => clk, d_in => decode2_to_register_file, + d_out => register_file_to_decode2, w_in => writeback_to_register_file, + registers_out => registers); + + cr_file_0: entity work.cr_file + port map (clk => clk, d_in => decode2_to_cr_file, d_out => cr_file_to_decode2, + w_in => writeback_to_cr_file); + + execute1_0: entity work.execute1 + generic map (SIM => SIM) + port map (clk => clk, e_in => decode2_to_execute1, f_out => execute1_to_fetch1, + e_out => execute1_to_execute2, terminate_out => terminate); + + execute2_0: entity work.execute2 + port map (clk => clk, e_in => execute1_to_execute2, e_out => execute2_to_writeback); + + loadstore1_0: entity work.loadstore1 + port map (clk => clk, l_in => decode2_to_loadstore1, l_out => loadstore1_to_loadstore2); + + loadstore2_0: entity work.loadstore2 + port map (clk => clk, l_in => loadstore1_to_loadstore2, + w_out => loadstore2_to_writeback, m_in => wishbone_data_in, + m_out => wishbone_data_out); + + multiply_0: entity work.multiply + port map (clk => clk, m_in => decode2_to_multiply, m_out => multiply_to_writeback); + + writeback_0: entity work.writeback + port map (clk => clk, w_in => execute2_to_writeback, l_in => loadstore2_to_writeback, + m_in => multiply_to_writeback, w_out => writeback_to_register_file, + c_out => writeback_to_cr_file, complete_out => complete); + + wishbone_arbiter_0: entity work.wishbone_arbiter + port map (clk => clk, rst => rst, wb1_in => wishbone_data_out, wb1_out => wishbone_data_in, + wb2_in => wishbone_insn_out, wb2_out => wishbone_insn_in, wb_out => wishbone_out, + wb_in => wishbone_in); + + -- Only single issue until we add bypass support + single_issue_0: process(clk) + begin + if (rising_edge(clk)) then + if rst = '1' then + first_fetch <= '1'; + else + if first_fetch = '1' then + fetch_enable <= '1'; + first_fetch <= '0'; + else + fetch_enable <= complete; + end if; + end if; + end if; + end process single_issue_0; + +end behave; diff --git a/core_tb.vhdl b/core_tb.vhdl new file mode 100644 index 0000000..1c463d7 --- /dev/null +++ b/core_tb.vhdl @@ -0,0 +1,57 @@ +library ieee; +use ieee.std_logic_1164.all; + +library work; +use work.common.all; +use work.wishbone_types.all; + +entity core_tb is +end core_tb; + +architecture behave of core_tb is + signal clk, rst: std_logic; + + signal wishbone_in : wishbone_slave_out; + signal wishbone_out : wishbone_master_out; + + signal registers : regfile; + signal terminate : std_ulogic; + + -- testbench signals + constant clk_period : time := 10 ns; +begin + core_0: entity work.core + generic map (SIM => true) + port map (clk => clk, rst => rst, wishbone_in => wishbone_in, + wishbone_out => wishbone_out, registers => registers, terminate_out => terminate); + + simple_ram_0: entity work.simple_ram_behavioural + generic map ( filename => "simple_ram_behavioural.bin", size => 1048576) + port map (clk => clk, rst => rst, wishbone_in => wishbone_out, wishbone_out => wishbone_in); + + clk_process: process + begin + clk <= '0'; + wait for clk_period/2; + clk <= '1'; + wait for clk_period/2; + end process; + + rst_process: process + begin + rst <= '1'; + wait for 10*clk_period; + rst <= '0'; + wait; + end process; + + dump_registers: process(all) + begin + if terminate = '1' then + loop_0: for i in 0 to 31 loop + report "REG " & to_hstring(registers(i)); + end loop loop_0; + assert false report "end of test" severity failure; + end if; + end process; +end; diff --git a/cr_file.vhdl b/cr_file.vhdl new file mode 100644 index 0000000..31c66e2 --- /dev/null +++ b/cr_file.vhdl @@ -0,0 +1,65 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; + +entity cr_file is + port( + clk : in std_logic; + + d_in : in Decode2ToCrFileType; + d_out : out CrFileToDecode2Type; + + w_in : in WritebackToCrFileType + ); +end entity cr_file; + +architecture behaviour of cr_file is + signal crs : std_ulogic_vector(31 downto 0) := (others => '0'); +begin + -- synchronous writes + cr_write_0: process(clk) + variable hi, lo : integer := 0; + begin + if rising_edge(clk) then + if w_in.write_cr_enable = '1' then + report "Writing " & to_hstring(w_in.write_cr_data) & " to CR mask " & to_hstring(w_in.write_cr_mask); + + for i in 0 to 7 loop + if w_in.write_cr_mask(i) = '1' then + lo := i*4; + hi := lo + 3; + crs(hi downto lo) <= w_in.write_cr_data(hi downto lo); + end if; + end loop; + end if; + end if; + end process cr_write_0; + + -- asynchronous reads + cr_read_0: process(all) + variable hi, lo : integer := 0; + begin + --lo := (7-d_in.read_cr_nr_1)*4; + --hi := lo + 3; + + --report "read " & integer'image(d_in.read_cr_nr_1) & " from CR " & to_hstring(crs(hi downto lo)); + --d_out.read_cr_data_1 <= crs(hi downto lo); + + -- Also return the entire CR to make mfcrf easier for now + report "read CR " & to_hstring(crs); + d_out.read_cr_data <= crs; + +-- -- Forward any written data +-- if w_in.write_cr_enable = '1' then +-- if d_in.read_cr_nr_1 = w_in.write_cr_nr then +-- d_out.read_cr_data_1 <= w_in.write_cr_data; +-- end if; +-- if d_in.read_cr_nr_2 = w_in.write_cr_nr then +-- d_out.read_cr_data_2 <= w_in.write_cr_data; +-- end if; +-- end if; + end process cr_read_0; +end architecture behaviour; diff --git a/crhelpers.vhdl b/crhelpers.vhdl new file mode 100644 index 0000000..350fd13 --- /dev/null +++ b/crhelpers.vhdl @@ -0,0 +1,134 @@ +library ieee; +use ieee.std_logic_1164.all; + +library work; +use work.common.all; + +package crhelpers is + function fxm_to_num(fxm: std_ulogic_vector(7 downto 0)) return integer; + function num_to_fxm(num: integer) return std_ulogic_vector; + --function from_crfile(cr: crfile) return std_ulogic_vector; + --function extract_one_crfield(cr: crfile; fxm: std_ulogic_vector(7 downto 0)) return std_ulogic_vector; + --function insert_multiple_crfields(cr_in: crfile; rs: std_ulogic_vector(63 downto 0); fxm: std_ulogic_vector(7 downto 0)) return crfile; + --function insert_one_crfield(cr_in: crfile; rs: std_ulogic_vector(63 downto 0); fxm: std_ulogic_vector(7 downto 0)) return crfile; +end package crhelpers; + +package body crhelpers is + + function fxm_to_num(fxm: std_ulogic_vector(7 downto 0)) return integer is + begin + -- If multiple fields are set (undefined), match existing + -- hardware by returning the first one. + for i in 0 to 7 loop + -- Big endian bit numbering + if fxm(7-i) = '1' then + return i; + end if; + end loop; + + -- If no fields are set (undefined), also match existing + -- hardware by returning cr7. + return 7; + end; + + function num_to_fxm(num: integer) return std_ulogic_vector is + begin + case num is + when 0 => + return "10000000"; + when 1 => + return "01000000"; + when 2 => + return "00100000"; + when 3 => + return "00010000"; + when 4 => + return "00001000"; + when 5 => + return "00000100"; + when 6 => + return "00000010"; + when 7 => + return "00000001"; + when others => + return "00000000"; + end case; + end; + +-- function from_crfile(cr: crfile) return std_ulogic_vector is +-- variable combined_cr : std_ulogic_vector(31 downto 0) := (others => '0'); +-- variable high, low: integer range 0 to 31 := 0; +-- begin +-- for i in 0 to cr'length-1 loop +-- low := 4*(7-i); +-- high := low+3; +-- combined_cr(high downto low) := cr(i); +-- end loop; +-- +-- return combined_cr; +-- end function; +-- +-- function extract_one_crfield(cr: crfile; fxm: std_ulogic_vector(7 downto 0)) return std_ulogic_vector is +-- variable combined_cr : std_ulogic_vector(63 downto 0) := (others => '0'); +-- variable crnum: integer range 0 to 7 := 0; +-- begin +-- crnum := fxm_to_num(fxm); +-- +-- -- Vivado doesn't support non constant vector slice +-- -- low := 4*(7-crnum); +-- -- high := low+3; +-- -- combined_cr(high downto low) := cr(crnum); +-- case_0: case crnum is +-- when 0 => +-- combined_cr(31 downto 28) := cr(0); +-- when 1 => +-- combined_cr(27 downto 24) := cr(1); +-- when 2 => +-- combined_cr(23 downto 20) := cr(2); +-- when 3 => +-- combined_cr(19 downto 16) := cr(3); +-- when 4 => +-- combined_cr(15 downto 12) := cr(4); +-- when 5 => +-- combined_cr(11 downto 8) := cr(5); +-- when 6 => +-- combined_cr(7 downto 4) := cr(6); +-- when 7 => +-- combined_cr(3 downto 0) := cr(7); +-- end case; +-- +-- return combined_cr; +-- end; +-- +-- function insert_multiple_crfields(cr_in: crfile; rs: std_ulogic_vector(63 downto 0); fxm: std_ulogic_vector(7 downto 0)) return crfile is +-- variable cr : crfile; +-- variable combined_cr : std_ulogic_vector(63 downto 0) := (others => '0'); +-- variable high, low: integer range 0 to 31 := 0; +-- begin +-- cr := cr_in; +-- +-- for i in 0 to 7 loop +-- -- BE bit numbering +-- if fxm(7-i) = '1' then +-- low := 4*(7-i); +-- high := low+3; +-- cr(i) := rs(high downto low); +-- end if; +-- end loop; +-- +-- return cr; +-- end; +-- +-- function insert_one_crfield(cr_in: crfile; rs: std_ulogic_vector(63 downto 0); fxm: std_ulogic_vector(7 downto 0)) return crfile is +-- variable cr : crfile; +-- variable crnum: integer range 0 to 7 := 0; +-- variable high, low: integer range 0 to 31 := 0; +-- begin +-- cr := cr_in; +-- crnum := fxm_to_num(fxm); +-- low := 4*(7-crnum); +-- high := low+3; +-- cr(crnum) := rs(high downto low); +-- return cr; +-- end; +end package body crhelpers; diff --git a/decode1.vhdl b/decode1.vhdl new file mode 100644 index 0000000..b3c5a0c --- /dev/null +++ b/decode1.vhdl @@ -0,0 +1,847 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; +use work.decode_types.all; + +entity decode1 is + port ( + clk : in std_ulogic; + + f_in : in Fetch2ToDecode1Type; + d_out : out Decode1ToDecode2Type + ); +end entity decode1; + +architecture behaviour of decode1 is + signal f : Fetch2ToDecode1Type := Fetch2ToDecode1Init; + + type decode_rom_array_t is array(ppc_insn_t) of decode_rom_t; + + -- Note: reformat with column -t -o ' ' + constant decode_rom_array : decode_rom_array_t := ( + -- unit internal in1 in2 in3 out const const const CR CR cry cry ldst ld BR sgn upd mul mul rc lk + -- op 1 2 3 in out in out len ext 32 sgn + PPC_ILLEGAL => (ALU, OP_ILLEGAL, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_ADD => (ALU, OP_ADD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_ADDC => (ALU, OP_ADDC, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_ADDE => (ALU, OP_ADDC, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '1', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + --PPC_ADDEX + PPC_ADDI => (ALU, OP_ADD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_ADDIC => (ALU, OP_ADDC, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_ADDIC_RC => (ALU, OP_ADDC, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', ONE, '0'), + PPC_ADDIS => (ALU, OP_ADD, RA_OR_ZERO, CONST_SI_HI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_ADDME + --PPC_ADDPCIS + PPC_ADDZE => (ALU, OP_ADDC, RA, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '1', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_AND => (ALU, OP_AND, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_ANDC => (ALU, OP_ANDC, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_ANDI_RC => (ALU, OP_AND, RS, CONST_UI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', ONE, '0'), + PPC_ANDIS_RC => (ALU, OP_AND, RS, CONST_UI_HI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', ONE, '0'), + PPC_ATTN => (ALU, OP_ILLEGAL, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_B => (ALU, OP_B, NONE, CONST_LI, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '1'), + --PPC_BA + PPC_BC => (ALU, OP_BC, NONE, CONST_BD, NONE, NONE, BO, BI, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '1'), + --PPC_BCA + PPC_BCCTR => (ALU, OP_BCCTR, NONE, NONE, NONE, NONE, BO, BI, BH, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '1'), + --PPC_BCLA + PPC_BCLR => (ALU, OP_BCLR, NONE, NONE, NONE, NONE, BO, BI, BH, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '1'), + --PPC_BCTAR + --PPC_BPERM + PPC_CMP => (ALU, OP_CMP, RA, RB, NONE, NONE, BF, L, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_CMPB => (ALU, OP_CMPB, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_CMPEQB + PPC_CMPI => (ALU, OP_CMP, RA, CONST_SI, NONE, NONE, BF, L, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_CMPL => (ALU, OP_CMPL, RA, RB, NONE, NONE, BF, L, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_CMPLI => (ALU, OP_CMPL, RA, CONST_UI, NONE, NONE, BF, L, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_CMPRB + PPC_CNTLZD => (ALU, OP_CNTLZD, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_CNTLZW => (ALU, OP_CNTLZW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_CNTTZD => (ALU, OP_CNTTZD, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_CNTTZW => (ALU, OP_CNTTZW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + --PPC_CRAND + --PPC_CRANDC + --PPC_CREQV + --PPC_CRNAND + --PPC_CRNOR + --PPC_CROR + --PPC_CRORC + --PPC_CRXOR + --PPC_DARN + PPC_DCBF => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_DCBST => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_DCBT => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_DCBTST => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_DCBZ + PPC_DIVD => (ALU, OP_DIVD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + --PPC_DIVDE + --PPC_DIVDEU + PPC_DIVDU => (ALU, OP_DIVDU, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_DIVW => (ALU, OP_DIVW, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + --PPC_DIVWE + --PPC_DIVWEU + PPC_DIVWU => (ALU, OP_DIVWU, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_EQV => (ALU, OP_EQV, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_EXTSB => (ALU, OP_EXTSB, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_EXTSH => (ALU, OP_EXTSH, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_EXTSW => (ALU, OP_EXTSW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + --PPC_EXTSWSLI + --PPC_ICBI + PPC_ICBT => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_ISEL => (ALU, OP_ISEL, RA_OR_ZERO, RB, NONE, RT, BC, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_ISYNC => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LBARX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '1', '0', '0', NONE, '0'), + --CONST_LI matches CONST_SI, so reuse it + PPC_LBZ => (LDST, OP_LOAD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LBZU => (LDST, OP_LOAD, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LBZUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LBZX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LD => (LDST, OP_LOAD, RA_OR_ZERO, CONST_DS, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LDARX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '1', '0', '0', NONE, '0'), + PPC_LDBRX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '1', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LDU => (LDST, OP_LOAD, RA, CONST_DS, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LDUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LDX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LHA => (LDST, OP_LOAD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '1', '0', '0', '0', '0', NONE, '0'), + PPC_LHARX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '1', '0', '0', NONE, '0'), + PPC_LHAU => (LDST, OP_LOAD, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '1', '1', '0', '0', '0', NONE, '0'), + PPC_LHAUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '1', '1', '0', '0', '0', NONE, '0'), + PPC_LHAX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '1', '0', '0', '0', '0', NONE, '0'), + PPC_LHBRX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '1', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LHZ => (LDST, OP_LOAD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LHZU => (LDST, OP_LOAD, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LHZUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LHZX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LWA => (LDST, OP_LOAD, RA_OR_ZERO, CONST_DS, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '1', '0', '0', '0', '0', NONE, '0'), + PPC_LWARX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '1', '0', '0', NONE, '0'), + PPC_LWAUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '1', '1', '0', '0', '0', NONE, '0'), + PPC_LWAX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '1', '0', '0', '0', '0', NONE, '0'), + PPC_LWBRX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '1', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LWZ => (LDST, OP_LOAD, RA_OR_ZERO, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_LWZU => (LDST, OP_LOAD, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LWZUX => (LDST, OP_LOAD, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_LWZX => (LDST, OP_LOAD, RA_OR_ZERO, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_MADDHD + --PPC_MADDHDU + --PPC_MADDLD + --PPC_MCRF + --PPC_MCRXR + --PPC_MCRXRX + PPC_MFCR => (ALU, OP_MFCR, NONE, NONE, NONE, RT, NONE, NONE, NONE, '1', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_MFOCRF => (ALU, OP_MFOCRF, NONE, NONE, NONE, RT, FXM, NONE, NONE, '1', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_MFCTR => (ALU, OP_MFCTR, NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_MFLR => (ALU, OP_MFLR, NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_MFTB => (ALU, OP_MFTB, NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_MTCTR => (ALU, OP_MTCTR, RS, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_MTLR => (ALU, OP_MTLR, RS, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_MFSPR + --PPC_MODSD + --PPC_MODSW + --PPC_MODUD + --PPC_MODUW + PPC_MTCRF => (ALU, OP_MTCRF, RS, NONE, NONE, NONE, FXM, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_MTOCRF => (ALU, OP_MTOCRF, RS, NONE, NONE, NONE, FXM, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_MTSPR + PPC_MULHD => (MUL, OP_MUL_H64, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '1', RC, '0'), + PPC_MULHDU => (MUL, OP_MUL_H64, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_MULHW => (MUL, OP_MUL_H32, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '1', '1', RC, '0'), + PPC_MULHWU => (MUL, OP_MUL_H32, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '1', '0', RC, '0'), + PPC_MULLD => (MUL, OP_MUL_L64, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '1', RC, '0'), + PPC_MULLI => (MUL, OP_MUL_L64, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '0', '1', NONE, '0'), + PPC_MULLW => (MUL, OP_MUL_L64, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '1', '0', '0', NONE, '0', '0', '0', '0', '1', '1', RC, '0'), + PPC_NAND => (ALU, OP_NAND, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_NEG => (ALU, OP_NEG, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_NOR => (ALU, OP_NOR, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_OR => (ALU, OP_OR, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_ORC => (ALU, OP_ORC, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_ORI => (ALU, OP_OR, RS, CONST_UI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_ORIS => (ALU, OP_OR, RS, CONST_UI_HI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_POPCNTB => (ALU, OP_POPCNTB, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_POPCNTD => (ALU, OP_POPCNTD, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_POPCNTW => (ALU, OP_POPCNTW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_PRTYD => (ALU, OP_PRTYD, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_PRTYW => (ALU, OP_PRTYW, RS, NONE, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_RLDCL => (ALU, OP_RLDCL, RS, RB, NONE, RA, NONE, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLDCR => (ALU, OP_RLDCR, RS, RB, NONE, RA, NONE, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLDIC => (ALU, OP_RLDIC, RS, NONE, NONE, RA, SH, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLDICL => (ALU, OP_RLDICL, RS, NONE, NONE, RA, SH, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLDICR => (ALU, OP_RLDICR, RS, NONE, NONE, RA, SH, ME, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLDIMI => (ALU, OP_RLDIMI, RA, RS, NONE, RA, SH, MB, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLWIMI => (ALU, OP_RLWIMI, RA, RS, NONE, RA, SH32, MB32, ME32, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLWINM => (ALU, OP_RLWINM, RS, NONE, NONE, RA, SH32, MB32, ME32, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_RLWNM => (ALU, OP_RLWNM, RS, RB, NONE, RA, NONE, MB32, ME32, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + --PPC_SETB + PPC_SLD => (ALU, OP_SLD, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SLW => (ALU, OP_SLW, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SRAD => (ALU, OP_SRAD, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SRADI => (ALU, OP_SRADI, RS, NONE, NONE, RA, SH, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SRAW => (ALU, OP_SRAW, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SRAWI => (ALU, OP_SRAWI, RS, NONE, NONE, RA, SH, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SRD => (ALU, OP_SRD, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SRW => (ALU, OP_SRW, RS, RB, RS, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_STB => (LDST, OP_STORE, RA_OR_ZERO, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_STBCX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '1', '0', '0', RC, '0'), + PPC_STBU => (LDST, OP_STORE, RA, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '1', '0', '0', '0', RC, '0'), + PPC_STBUX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '1', '0', '0', '0', RC, '0'), + PPC_STBX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is1B, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_STD => (LDST, OP_STORE, RA_OR_ZERO, CONST_DS, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STDBRX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '1', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STDCX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '1', '0', '0', NONE, '0'), + PPC_STDU => (LDST, OP_STORE, RA, CONST_DS, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_STDUX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_STDX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is8B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STH => (LDST, OP_STORE, RA, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STHBRX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '1', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STHCX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '1', '0', '0', NONE, '0'), + PPC_STHU => (LDST, OP_STORE, RA, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_STHUX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_STHX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is2B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STW => (LDST, OP_STORE, RA_OR_ZERO, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STWBRX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '1', '0', '0', '0', '0', '0', NONE, '0'), + PPC_STWCX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '1', '0', '0', NONE, '0'), + PPC_STWU => (LDST, OP_STORE, RA, CONST_SI, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_STWUX => (LDST, OP_STORE, RA, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '1', '0', '0', '0', NONE, '0'), + PPC_STWX => (LDST, OP_STORE, RA_OR_ZERO, RB, RS, NONE, NONE, NONE, NONE, '0', '0', '0', '0', is4B, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_SUBF => (ALU, OP_SUBF, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SUBFC => (ALU, OP_SUBFC, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SUBFE => (ALU, OP_SUBFC, RA, RB, NONE, RT, NONE, NONE, NONE, '0', '0', '1', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SUBFIC => (ALU, OP_SUBFC, RA, CONST_SI, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '1', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_SUBFME + PPC_SUBFZE => (ALU, OP_SUBFC, RA, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '1', '1', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_SYNC => (ALU, OP_NOP, NONE, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_TD + PPC_TDI => (ALU, OP_TDI, RA, CONST_SI, NONE, NONE, TOO, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + --PPC_TW + --PPC_TWI + PPC_XOR => (ALU, OP_XOR, RS, RB, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', RC, '0'), + PPC_XORI => (ALU, OP_XOR, RS, CONST_UI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_XORIS => (ALU, OP_XOR, RS, CONST_UI_HI, NONE, RA, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_SIM_READ => (ALU, OP_SIM_READ, NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_SIM_POLL => (ALU, OP_SIM_POLL, NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_SIM_WRITE => (ALU, OP_SIM_WRITE, RS, NONE, NONE, NONE, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + PPC_SIM_CONFIG => (ALU, OP_SIM_CONFIG,NONE, NONE, NONE, RT, NONE, NONE, NONE, '0', '0', '0', '0', NONE, '0', '0', '0', '0', '0', '0', NONE, '0'), + + others => decode_rom_init + ); + +begin + decode1_0: process(clk) + begin + if rising_edge(clk) then + f <= f_in; + end if; + end process; + + decode1_1: process(all) + variable ppc_insn: ppc_insn_t; + begin + d_out <= Decode1ToDecode2Init; + ppc_insn := PPC_ILLEGAL; + + d_out.valid <= f.valid; + + if f.valid then + d_out.nia <= f.nia; + d_out.insn <= f.insn; + + report "Decode insn " & to_hstring(f.insn); + if std_match(f.insn, "011111---------------0100001010-") then + report "PPC_add"; + ppc_insn := PPC_ADD; + elsif std_match(f.insn, "011111---------------0000001010-") then + report "PPC_addc"; + ppc_insn := PPC_ADDC; + elsif std_match(f.insn, "011111---------------0010001010-") then + report "PPC_adde"; + ppc_insn := PPC_ADDE; + elsif std_match(f.insn, "011111---------------0010101010-") then + report "PPC_addex"; + ppc_insn := PPC_ADDEX; + elsif std_match(f.insn, "001110--------------------------") then + report "PPC_addi"; + ppc_insn := PPC_ADDI; + elsif std_match(f.insn, "001100--------------------------") then + report "PPC_addic"; + ppc_insn := PPC_ADDIC; + elsif std_match(f.insn, "001101--------------------------") then + report "PPC_addic."; + ppc_insn := PPC_ADDIC_RC; + elsif std_match(f.insn, "001111--------------------------") then + report "PPC_addis"; + ppc_insn := PPC_ADDIS; + elsif std_match(f.insn, "011111---------------0011101010-") then + report "PPC_addme"; + ppc_insn := PPC_ADDME; + elsif std_match(f.insn, "010011--------------------00010-") then + report "PPC_addpcis"; + ppc_insn := PPC_ADDPCIS; + elsif std_match(f.insn, "011111---------------0011001010-") then + report "PPC_addze"; + ppc_insn := PPC_ADDZE; + elsif std_match(f.insn, "011111---------------0000011100-") then + report "PPC_and"; + ppc_insn := PPC_AND; + elsif std_match(f.insn, "011111---------------0000111100-") then + report "PPC_andc"; + ppc_insn := PPC_ANDC; + elsif std_match(f.insn, "011100--------------------------") then + report "PPC_andi."; + ppc_insn := PPC_ANDI_RC; + elsif std_match(f.insn, "011101--------------------------") then + report "PPC_andis."; + ppc_insn := PPC_ANDIS_RC; + elsif std_match(f.insn, "000000---------------0100000000-") then + report "PPC_attn"; + ppc_insn := PPC_ATTN; + elsif std_match(f.insn, "010010------------------------0-") then + report "PPC_b"; + ppc_insn := PPC_B; + elsif std_match(f.insn, "010010------------------------1-") then + report "PPC_ba"; + ppc_insn := PPC_BA; + elsif std_match(f.insn, "010000------------------------0-") then + report "PPC_bc"; + ppc_insn := PPC_BC; + elsif std_match(f.insn, "010000------------------------10") then + report "PPC_bca"; + ppc_insn := PPC_BCA; + elsif std_match(f.insn, "010011---------------1000010000-") then + report "PPC_bcctr"; + ppc_insn := PPC_BCCTR; + elsif std_match(f.insn, "010000------------------------11") then + report "PPC_bcla"; + ppc_insn := PPC_BCLA; + elsif std_match(f.insn, "010011---------------0000010000-") then + report "PPC_bclr"; + ppc_insn := PPC_BCLR; + elsif std_match(f.insn, "010011---------------1000110000-") then + report "PPC_bctar"; + ppc_insn := PPC_BCTAR; + elsif std_match(f.insn, "011111---------------0011111100-") then + report "PPC_bperm"; + ppc_insn := PPC_BPERM; + elsif std_match(f.insn, "011111---------------0000000000-") then + report "PPC_cmp"; + ppc_insn := PPC_CMP; + elsif std_match(f.insn, "011111---------------0111111100-") then + report "PPC_cmpb"; + ppc_insn := PPC_CMPB; + elsif std_match(f.insn, "011111---------------0011100000-") then + report "PPC_cmpeqb"; + ppc_insn := PPC_CMPEQB; + elsif std_match(f.insn, "001011--------------------------") then + report "PPC_cmpi"; + ppc_insn := PPC_CMPI; + elsif std_match(f.insn, "011111---------------0000100000-") then + report "PPC_cmpl"; + ppc_insn := PPC_CMPL; + elsif std_match(f.insn, "001010--------------------------") then + report "PPC_cmpli"; + ppc_insn := PPC_CMPLI; + elsif std_match(f.insn, "011111---------------0011000000-") then + report "PPC_cmprb"; + ppc_insn := PPC_CMPRB; + elsif std_match(f.insn, "011111---------------0000111010-") then + report "PPC_cntlzd"; + ppc_insn := PPC_CNTLZD; + elsif std_match(f.insn, "011111---------------0000011010-") then + report "PPC_cntlzw"; + ppc_insn := PPC_CNTLZW; + elsif std_match(f.insn, "011111---------------1000111010-") then + report "PPC_cnttzd"; + ppc_insn := PPC_CNTTZD; + elsif std_match(f.insn, "011111---------------1000011010-") then + report "PPC_cnttzw"; + ppc_insn := PPC_CNTTZW; + elsif std_match(f.insn, "010011---------------0100000001-") then + report "PPC_crand"; + ppc_insn := PPC_CRAND; + elsif std_match(f.insn, "010011---------------0010000001-") then + report "PPC_crandc"; + ppc_insn := PPC_CRANDC; + elsif std_match(f.insn, "010011---------------0100100001-") then + report "PPC_creqv"; + ppc_insn := PPC_CREQV; + elsif std_match(f.insn, "010011---------------0011100001-") then + report "PPC_crnand"; + ppc_insn := PPC_CRNAND; + elsif std_match(f.insn, "010011---------------0000100001-") then + report "PPC_crnor"; + ppc_insn := PPC_CRNOR; + elsif std_match(f.insn, "010011---------------0111000001-") then + report "PPC_cror"; + ppc_insn := PPC_CROR; + elsif std_match(f.insn, "010011---------------0110100001-") then + report "PPC_crorc"; + ppc_insn := PPC_CRORC; + elsif std_match(f.insn, "010011---------------0011000001-") then + report "PPC_crxor"; + ppc_insn := PPC_CRXOR; + elsif std_match(f.insn, "011111---------------1011110011-") then + report "PPC_darn"; + ppc_insn := PPC_DARN; + elsif std_match(f.insn, "011111---------------0001010110-") then + report "PPC_dcbf"; + ppc_insn := PPC_DCBF; + elsif std_match(f.insn, "011111---------------0000110110-") then + report "PPC_dcbst"; + ppc_insn := PPC_DCBST; + elsif std_match(f.insn, "011111---------------0100010110-") then + report "PPC_dcbt"; + ppc_insn := PPC_DCBT; + elsif std_match(f.insn, "011111---------------0011110110-") then + report "PPC_dcbtst"; + ppc_insn := PPC_DCBTST; + elsif std_match(f.insn, "011111---------------1111110110-") then + report "PPC_dcbz"; + ppc_insn := PPC_DCBZ; + elsif std_match(f.insn, "011111---------------0111101001-") then + report "PPC_divd"; + ppc_insn := PPC_DIVD; + elsif std_match(f.insn, "011111---------------0110101001-") then + report "PPC_divde"; + ppc_insn := PPC_DIVDE; + elsif std_match(f.insn, "011111---------------0110001001-") then + report "PPC_divdeu"; + ppc_insn := PPC_DIVDEU; + elsif std_match(f.insn, "011111---------------0111001001-") then + report "PPC_divdu"; + ppc_insn := PPC_DIVDU; + elsif std_match(f.insn, "011111---------------0111101011-") then + report "PPC_divw"; + ppc_insn := PPC_DIVW; + elsif std_match(f.insn, "011111---------------0110101011-") then + report "PPC_divwe"; + ppc_insn := PPC_DIVWE; + elsif std_match(f.insn, "011111---------------0110001011-") then + report "PPC_divweu"; + ppc_insn := PPC_DIVWEU; + elsif std_match(f.insn, "011111---------------0111001011-") then + report "PPC_divwu"; + ppc_insn := PPC_DIVWU; + elsif std_match(f.insn, "011111---------------0100011100-") then + report "PPC_eqv"; + ppc_insn := PPC_EQV; + elsif std_match(f.insn, "011111---------------1110111010-") then + report "PPC_extsb"; + ppc_insn := PPC_EXTSB; + elsif std_match(f.insn, "011111---------------1110011010-") then + report "PPC_extsh"; + ppc_insn := PPC_EXTSH; + elsif std_match(f.insn, "011111---------------1111011010-") then + report "PPC_extsw"; + ppc_insn := PPC_EXTSW; + elsif std_match(f.insn, "011111---------------110111101--") then + report "PPC_extswsli"; + ppc_insn := PPC_EXTSWSLI; + elsif std_match(f.insn, "011111---------------1111010110-") then + report "PPC_icbi"; + ppc_insn := PPC_ICBI; + elsif std_match(f.insn, "011111---------------0000010110-") then + report "PPC_icbt"; + ppc_insn := PPC_ICBT; + elsif std_match(f.insn, "011111--------------------01111-") then + report "PPC_isel"; + ppc_insn := PPC_ISEL; + elsif std_match(f.insn, "010011---------------0010010110-") then + report "PPC_isync"; + ppc_insn := PPC_ISYNC; + elsif std_match(f.insn, "011111---------------0000110100-") then + report "PPC_lbarx"; + ppc_insn := PPC_LBARX; + elsif std_match(f.insn, "100010--------------------------") then + report "PPC_lbz"; + ppc_insn := PPC_LBZ; + elsif std_match(f.insn, "100011--------------------------") then + report "PPC_lbzu"; + ppc_insn := PPC_LBZU; + elsif std_match(f.insn, "011111---------------0001110111-") then + report "PPC_lbzux"; + ppc_insn := PPC_LBZUX; + elsif std_match(f.insn, "011111---------------0001010111-") then + report "PPC_lbzx"; + ppc_insn := PPC_LBZX; + elsif std_match(f.insn, "111010------------------------00") then + report "PPC_ld"; + ppc_insn := PPC_LD; + elsif std_match(f.insn, "011111---------------0001010100-") then + report "PPC_ldarx"; + ppc_insn := PPC_LDARX; + elsif std_match(f.insn, "011111---------------1000010100-") then + report "PPC_ldbrx"; + ppc_insn := PPC_LDBRX; + elsif std_match(f.insn, "111010------------------------01") then + report "PPC_ldu"; + ppc_insn := PPC_LDU; + elsif std_match(f.insn, "011111---------------0000110101-") then + report "PPC_ldux"; + ppc_insn := PPC_LDUX; + elsif std_match(f.insn, "011111---------------0000010101-") then + report "PPC_ldx"; + ppc_insn := PPC_LDX; + elsif std_match(f.insn, "101010--------------------------") then + report "PPC_lha"; + ppc_insn := PPC_LHA; + elsif std_match(f.insn, "011111---------------0001110100-") then + report "PPC_lharx"; + ppc_insn := PPC_LHARX; + elsif std_match(f.insn, "101011--------------------------") then + report "PPC_lhau"; + ppc_insn := PPC_LHAU; + elsif std_match(f.insn, "011111---------------0101110111-") then + report "PPC_lhaux"; + ppc_insn := PPC_LHAUX; + elsif std_match(f.insn, "011111---------------0101010111-") then + report "PPC_lhax"; + ppc_insn := PPC_LHAX; + elsif std_match(f.insn, "011111---------------1100010110-") then + report "PPC_lhbrx"; + ppc_insn := PPC_LHBRX; + elsif std_match(f.insn, "101000--------------------------") then + report "PPC_lhz"; + ppc_insn := PPC_LHZ; + elsif std_match(f.insn, "101001--------------------------") then + report "PPC_lhzu"; + ppc_insn := PPC_LHZU; + elsif std_match(f.insn, "011111---------------0100110111-") then + report "PPC_lhzux"; + ppc_insn := PPC_LHZUX; + elsif std_match(f.insn, "011111---------------0100010111-") then + report "PPC_lhzx"; + ppc_insn := PPC_LHZX; + elsif std_match(f.insn, "111010------------------------10") then + report "PPC_lwa"; + ppc_insn := PPC_LWA; + elsif std_match(f.insn, "011111---------------0000010100-") then + report "PPC_lwarx"; + ppc_insn := PPC_LWARX; + elsif std_match(f.insn, "011111---------------0101110101-") then + report "PPC_lwaux"; + ppc_insn := PPC_LWAUX; + elsif std_match(f.insn, "011111---------------0101010101-") then + report "PPC_lwax"; + ppc_insn := PPC_LWAX; + elsif std_match(f.insn, "011111---------------1000010110-") then + report "PPC_lwbrx"; + ppc_insn := PPC_LWBRX; + elsif std_match(f.insn, "100000--------------------------") then + report "PPC_lwz"; + ppc_insn := PPC_LWZ; + elsif std_match(f.insn, "100001--------------------------") then + report "PPC_lwzu"; + ppc_insn := PPC_LWZU; + elsif std_match(f.insn, "011111---------------0000110111-") then + report "PPC_lwzux"; + ppc_insn := PPC_LWZUX; + elsif std_match(f.insn, "011111---------------0000010111-") then + report "PPC_lwzx"; + ppc_insn := PPC_LWZX; + elsif std_match(f.insn, "000100--------------------110000") then + report "PPC_maddhd"; + ppc_insn := PPC_MADDHD; + elsif std_match(f.insn, "000100--------------------110001") then + report "PPC_maddhdu"; + ppc_insn := PPC_MADDHDU; + elsif std_match(f.insn, "000100--------------------110011") then + report "PPC_maddld"; + ppc_insn := PPC_MADDLD; + elsif std_match(f.insn, "010011---------------0000000000-") then + report "PPC_mcrf"; + ppc_insn := PPC_MCRF; + elsif std_match(f.insn, "011111---------------1000000000-") then + report "PPC_mcrxr"; + ppc_insn := PPC_MCRXR; + elsif std_match(f.insn, "011111---------------1001000000-") then + report "PPC_mcrxrx"; + ppc_insn := PPC_MCRXRX; + elsif std_match(f.insn, "011111-----0---------0000010011-") then + report "PPC_mfcr"; + ppc_insn := PPC_MFCR; + elsif std_match(f.insn, "011111-----1---------0000010011-") then + report "PPC_mfocrf"; + ppc_insn := PPC_MFOCRF; + -- Specific MF/MT SPR encodings first + elsif std_match(f.insn, "011111-----01001000000101010011-") then + report "PPC_mfctr"; + ppc_insn := PPC_MFCTR; + elsif std_match(f.insn, "011111-----01000000000101010011-") then + report "PPC_mflr"; + ppc_insn := PPC_MFLR; + elsif std_match(f.insn, "011111-----01100010000101010011-") then + report "PPC_mftb"; + ppc_insn := PPC_MFTB; + elsif std_match(f.insn, "011111-----01001000000111010011-") then + report "PPC_mtctr"; + ppc_insn := PPC_MTCTR; + elsif std_match(f.insn, "011111-----01000000000111010011-") then + report "PPC_mtlr"; + ppc_insn := PPC_MTLR; + elsif std_match(f.insn, "011111---------------0101010011-") then + report "PPC_mfspr"; + ppc_insn := PPC_MFSPR; + elsif std_match(f.insn, "011111---------------1100001001-") then + report "PPC_modsd"; + ppc_insn := PPC_MODSD; + elsif std_match(f.insn, "011111---------------1100001011-") then + report "PPC_modsw"; + ppc_insn := PPC_MODSW; + elsif std_match(f.insn, "011111---------------0100001001-") then + report "PPC_modud"; + ppc_insn := PPC_MODUD; + elsif std_match(f.insn, "011111---------------0100001011-") then + report "PPC_moduw"; + ppc_insn := PPC_MODUW; + elsif std_match(f.insn, "011111-----0---------0010010000-") then + report "PPC_mtcrf"; + ppc_insn := PPC_MTCRF; + elsif std_match(f.insn, "011111-----1---------0010010000-") then + report "PPC_mtocrf"; + ppc_insn := PPC_MTOCRF; + elsif std_match(f.insn, "011111---------------0111010011-") then + report "PPC_mtspr"; + ppc_insn := PPC_MTSPR; + elsif std_match(f.insn, "011111----------------001001001-") then + report "PPC_mulhd"; + ppc_insn := PPC_MULHD; + elsif std_match(f.insn, "011111----------------000001001-") then + report "PPC_mulhdu"; + ppc_insn := PPC_MULHDU; + elsif std_match(f.insn, "011111----------------001001011-") then + report "PPC_mulhw"; + ppc_insn := PPC_MULHW; + elsif std_match(f.insn, "011111----------------000001011-") then + report "PPC_mulhwu"; + ppc_insn := PPC_MULHWU; + elsif std_match(f.insn, "011111---------------0011101001-") then + report "PPC_mulld"; + ppc_insn := PPC_MULLD; + elsif std_match(f.insn, "000111--------------------------") then + report "PPC_mulli"; + ppc_insn := PPC_MULLI; + elsif std_match(f.insn, "011111---------------0011101011-") then + report "PPC_mullw"; + ppc_insn := PPC_MULLW; + elsif std_match(f.insn, "011111---------------0111011100-") then + report "PPC_nand"; + ppc_insn := PPC_NAND; + elsif std_match(f.insn, "011111---------------0001101000-") then + report "PPC_neg"; + ppc_insn := PPC_NEG; + elsif std_match(f.insn, "011111---------------0001111100-") then + report "PPC_nor"; + ppc_insn := PPC_NOR; + elsif std_match(f.insn, "011111---------------0110111100-") then + report "PPC_or"; + ppc_insn := PPC_OR; + elsif std_match(f.insn, "011111---------------0110011100-") then + report "PPC_orc"; + ppc_insn := PPC_ORC; + elsif std_match(f.insn, "011000--------------------------") then + report "PPC_ori"; + ppc_insn := PPC_ORI; + elsif std_match(f.insn, "011001--------------------------") then + report "PPC_oris"; + ppc_insn := PPC_ORIS; + elsif std_match(f.insn, "011111---------------0001111010-") then + report "PPC_popcntb"; + ppc_insn := PPC_POPCNTB; + elsif std_match(f.insn, "011111---------------0111111010-") then + report "PPC_popcntd"; + ppc_insn := PPC_POPCNTD; + elsif std_match(f.insn, "011111---------------0101111010-") then + report "PPC_popcntw"; + ppc_insn := PPC_POPCNTW; + elsif std_match(f.insn, "011111---------------0010111010-") then + report "PPC_prtyd"; + ppc_insn := PPC_PRTYD; + elsif std_match(f.insn, "011111---------------0010011010-") then + report "PPC_prtyw"; + ppc_insn := PPC_PRTYW; + elsif std_match(f.insn, "011110---------------------1000-") then + report "PPC_rldcl"; + ppc_insn := PPC_RLDCL; + elsif std_match(f.insn, "011110---------------------1001-") then + report "PPC_rldcr"; + ppc_insn := PPC_RLDCR; + elsif std_match(f.insn, "011110---------------------010--") then + report "PPC_rldic"; + ppc_insn := PPC_RLDIC; + elsif std_match(f.insn, "011110---------------------000--") then + report "PPC_rldicl"; + ppc_insn := PPC_RLDICL; + elsif std_match(f.insn, "011110---------------------001--") then + report "PPC_rldicr"; + ppc_insn := PPC_RLDICR; + elsif std_match(f.insn, "011110---------------------011--") then + report "PPC_rldimi"; + ppc_insn := PPC_RLDIMI; + elsif std_match(f.insn, "010100--------------------------") then + report "PPC_rlwimi"; + ppc_insn := PPC_RLWIMI; + elsif std_match(f.insn, "010101--------------------------") then + report "PPC_rlwinm"; + ppc_insn := PPC_RLWINM; + elsif std_match(f.insn, "010111--------------------------") then + report "PPC_rlwnm"; + ppc_insn := PPC_RLWNM; + elsif std_match(f.insn, "011111---------------0010000000-") then + report "PPC_setb"; + ppc_insn := PPC_SETB; + elsif std_match(f.insn, "011111---------------0000011011-") then + report "PPC_sld"; + ppc_insn := PPC_SLD; + elsif std_match(f.insn, "011111---------------0000011000-") then + report "PPC_slw"; + ppc_insn := PPC_SLW; + elsif std_match(f.insn, "011111---------------1100011010-") then + report "PPC_srad"; + ppc_insn := PPC_SRAD; + elsif std_match(f.insn, "011111---------------110011101--") then + report "PPC_sradi"; + ppc_insn := PPC_SRADI; + elsif std_match(f.insn, "011111---------------1100011000-") then + report "PPC_sraw"; + ppc_insn := PPC_SRAW; + elsif std_match(f.insn, "011111---------------1100111000-") then + report "PPC_srawi"; + ppc_insn := PPC_SRAWI; + elsif std_match(f.insn, "011111---------------1000011011-") then + report "PPC_srd"; + ppc_insn := PPC_SRD; + elsif std_match(f.insn, "011111---------------1000011000-") then + report "PPC_srw"; + ppc_insn := PPC_SRW; + elsif std_match(f.insn, "100110--------------------------") then + report "PPC_stb"; + ppc_insn := PPC_STB; + elsif std_match(f.insn, "011111---------------1010110110-") then + report "PPC_stbcx"; + ppc_insn := PPC_STBCX; + elsif std_match(f.insn, "100111--------------------------") then + report "PPC_stbu"; + ppc_insn := PPC_STBU; + elsif std_match(f.insn, "011111---------------0011110111-") then + report "PPC_stbux"; + ppc_insn := PPC_STBUX; + elsif std_match(f.insn, "011111---------------0011010111-") then + report "PPC_stbx"; + ppc_insn := PPC_STBX; + elsif std_match(f.insn, "111110------------------------00") then + report "PPC_std"; + ppc_insn := PPC_STD; + elsif std_match(f.insn, "011111---------------1010010100-") then + report "PPC_stdbrx"; + ppc_insn := PPC_STDBRX; + elsif std_match(f.insn, "011111---------------0011010110-") then + report "PPC_stdcx"; + ppc_insn := PPC_STDCX; + elsif std_match(f.insn, "111110------------------------01") then + report "PPC_stdu"; + ppc_insn := PPC_STDU; + elsif std_match(f.insn, "011111---------------0010110101-") then + report "PPC_stdux"; + ppc_insn := PPC_STDUX; + elsif std_match(f.insn, "011111---------------0010010101-") then + report "PPC_stdx"; + ppc_insn := PPC_STDX; + elsif std_match(f.insn, "101100--------------------------") then + report "PPC_sth"; + ppc_insn := PPC_STH; + elsif std_match(f.insn, "011111---------------1110010110-") then + report "PPC_sthbrx"; + ppc_insn := PPC_STHBRX; + elsif std_match(f.insn, "011111---------------1011010110-") then + report "PPC_sthcx"; + ppc_insn := PPC_STHCX; + elsif std_match(f.insn, "101101--------------------------") then + report "PPC_sthu"; + ppc_insn := PPC_STHU; + elsif std_match(f.insn, "011111---------------0110110111-") then + report "PPC_sthux"; + ppc_insn := PPC_STHUX; + elsif std_match(f.insn, "011111---------------0110010111-") then + report "PPC_sthx"; + ppc_insn := PPC_STHX; + elsif std_match(f.insn, "100100--------------------------") then + report "PPC_stw"; + ppc_insn := PPC_STW; + elsif std_match(f.insn, "011111---------------1010010110-") then + report "PPC_stwbrx"; + ppc_insn := PPC_STWBRX; + elsif std_match(f.insn, "011111---------------0010010110-") then + report "PPC_stwcx"; + ppc_insn := PPC_STWCX; + elsif std_match(f.insn, "100101--------------------------") then + report "PPC_stwu"; + ppc_insn := PPC_STWU; + elsif std_match(f.insn, "011111---------------0010110111-") then + report "PPC_stwux"; + ppc_insn := PPC_STWUX; + elsif std_match(f.insn, "011111---------------0010010111-") then + report "PPC_stwx"; + ppc_insn := PPC_STWX; + elsif std_match(f.insn, "011111---------------0000101000-") then + report "PPC_subf"; + ppc_insn := PPC_SUBF; + elsif std_match(f.insn, "011111---------------0000001000-") then + report "PPC_subfc"; + ppc_insn := PPC_SUBFC; + elsif std_match(f.insn, "011111---------------0010001000-") then + report "PPC_subfe"; + ppc_insn := PPC_SUBFE; + elsif std_match(f.insn, "001000--------------------------") then + report "PPC_subfic"; + ppc_insn := PPC_SUBFIC; + elsif std_match(f.insn, "011111---------------0011101000-") then + report "PPC_subfme"; + ppc_insn := PPC_SUBFME; + elsif std_match(f.insn, "011111---------------0011001000-") then + report "PPC_subfze"; + ppc_insn := PPC_SUBFZE; + elsif std_match(f.insn, "011111---------------1001010110-") then + report "PPC_sync"; + ppc_insn := PPC_SYNC; + elsif std_match(f.insn, "011111---------------0001000100-") then + report "PPC_td"; + ppc_insn := PPC_TD; + elsif std_match(f.insn, "000010--------------------------") then + report "PPC_tdi"; + ppc_insn := PPC_TDI; + elsif std_match(f.insn, "011111---------------0000000100-") then + report "PPC_tw"; + ppc_insn := PPC_TW; + elsif std_match(f.insn, "000011--------------------------") then + report "PPC_twi"; + ppc_insn := PPC_TWI; + elsif std_match(f.insn, "011111---------------0100111100-") then + report "PPC_xor"; + ppc_insn := PPC_XOR; + elsif std_match(f.insn, "011010--------------------------") then + report "PPC_xori"; + ppc_insn := PPC_XORI; + elsif std_match(f.insn, "011011--------------------------") then + report "PPC_xoris"; + ppc_insn := PPC_XORIS; + elsif std_match(f.insn, "000001---------------0000000000-") then + report "PPC_SIM_READ"; + ppc_insn := PPC_SIM_READ; + elsif std_match(f.insn, "000001---------------0000000001-") then + report "PPC_SIM_POLL"; + ppc_insn := PPC_SIM_POLL; + elsif std_match(f.insn, "000001---------------0000000010-") then + report "PPC_SIM_WRITE"; + ppc_insn := PPC_SIM_WRITE; + elsif std_match(f.insn, "000001---------------0000000011-") then + report "PPC_SIM_CONFIG"; + ppc_insn := PPC_SIM_CONFIG; + else + report "PPC_illegal"; + ppc_insn := PPC_ILLEGAL; + end if; + + d_out.decode <= decode_rom_array(ppc_insn); + end if; + end process; +end architecture behaviour; diff --git a/decode2.vhdl b/decode2.vhdl new file mode 100644 index 0000000..1205f76 --- /dev/null +++ b/decode2.vhdl @@ -0,0 +1,324 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.decode_types.all; +use work.common.all; +use work.helpers.all; + +entity decode2 is + port ( + clk : in std_ulogic; + + d_in : in Decode1ToDecode2Type; + + e_out : out Decode2ToExecute1Type; + m_out : out Decode2ToMultiplyType; + l_out : out Decode2ToLoadstore1Type; + + r_in : in RegisterFileToDecode2Type; + r_out : out Decode2ToRegisterFileType; + + c_in : in CrFileToDecode2Type; + c_out : out Decode2ToCrFileType + ); +end entity decode2; + +architecture behaviour of decode2 is + signal d : Decode1ToDecode2Type; + + alias insn_rs : std_ulogic_vector(4 downto 0) is d.insn(25 downto 21); + alias insn_rt : std_ulogic_vector(4 downto 0) is d.insn(25 downto 21); + alias insn_ra : std_ulogic_vector(4 downto 0) is d.insn(20 downto 16); + alias insn_rb : std_ulogic_vector(4 downto 0) is d.insn(15 downto 11); + alias insn_si : std_ulogic_vector(15 downto 0) is d.insn(15 downto 0); + alias insn_ui : std_ulogic_vector(15 downto 0) is d.insn(15 downto 0); + alias insn_l : std_ulogic is d.insn(21); + alias insn_sh32 : std_ulogic_vector(4 downto 0) is d.insn(15 downto 11); + alias insn_mb32 : std_ulogic_vector(4 downto 0) is d.insn(10 downto 6); + alias insn_me32 : std_ulogic_vector(4 downto 0) is d.insn(5 downto 1); + alias insn_li : std_ulogic_vector(23 downto 0) is d.insn(25 downto 2); + alias insn_lk : std_ulogic is d.insn(0); + alias insn_rc : std_ulogic is d.insn(0); + alias insn_bd : std_ulogic_vector(13 downto 0) is d.insn(15 downto 2); + alias insn_bf : std_ulogic_vector(2 downto 0) is d.insn(25 downto 23); + alias insn_fxm : std_ulogic_vector(7 downto 0) is d.insn(19 downto 12); + alias insn_bo : std_ulogic_vector(4 downto 0) is d.insn(25 downto 21); + alias insn_bi : std_ulogic_vector(4 downto 0) is d.insn(20 downto 16); + alias insn_bh : std_ulogic_vector(1 downto 0) is d.insn(12 downto 11); + alias insn_d : std_ulogic_vector(15 downto 0) is d.insn(15 downto 0); + alias insn_ds : std_ulogic_vector(13 downto 0) is d.insn(15 downto 2); + alias insn_to : std_ulogic_vector(4 downto 0) is d.insn(25 downto 21); + alias insn_bc : std_ulogic_vector(4 downto 0) is d.insn(10 downto 6); + + -- can't use an alias for these + signal insn_sh : std_ulogic_vector(5 downto 0); + signal insn_me : std_ulogic_vector(5 downto 0); + signal insn_mb : std_ulogic_vector(5 downto 0); +begin + insn_sh <= d.insn(1) & d.insn(15 downto 11); + insn_me <= d.insn(5) & d.insn(10 downto 6); + insn_mb <= d.insn(5) & d.insn(10 downto 6); + + decode2_0: process(clk) + begin + if rising_edge(clk) then + d <= d_in; + end if; + end process; + + r_out.read1_reg <= insn_ra when (d.decode.input_reg_a = RA) else + insn_ra when d.decode.input_reg_a = RA_OR_ZERO else + insn_rs when d.decode.input_reg_a = RS else + (others => '0'); + + r_out.read2_reg <= insn_rb when d.decode.input_reg_b = RB else + insn_rs when d.decode.input_reg_b = RS else + (others => '0'); + + r_out.read3_reg <= insn_rs when d.decode.input_reg_c = RS else + (others => '0'); + + decode2_1: process(all) + variable mul_a : std_ulogic_vector(63 downto 0); + variable mul_b : std_ulogic_vector(63 downto 0); + begin + e_out <= Decode2ToExecute1Init; + l_out <= Decode2ToLoadStore1Init; + m_out <= Decode2ToMultiplyInit; + + mul_a := (others => '0'); + mul_b := (others => '0'); + + e_out.nia <= d.nia; + l_out.nia <= d.nia; + m_out.nia <= d.nia; + + --e_out.input_cr <= d.decode.input_cr; + --m_out.input_cr <= d.decode.input_cr; + --e_out.output_cr <= d.decode.output_cr; + + e_out.cr <= c_in.read_cr_data; + + e_out.input_carry <= d.decode.input_carry; + e_out.output_carry <= d.decode.output_carry; + + if d.decode.lr then + e_out.lr <= insn_lk; + end if; + + -- XXX This is getting too complicated. Use variables and assign to each unit later + + case d.decode.unit is + when ALU => + e_out.insn_type <= d.decode.insn_type; + e_out.valid <= d.valid; + when LDST => + l_out.valid <= d.valid; + when MUL => + m_out.insn_type <= d.decode.insn_type; + m_out.valid <= d.valid; + when NONE => + e_out.insn_type <= OP_ILLEGAL; + e_out.valid <= d.valid; + end case; + + -- required for bypassing + case d.decode.input_reg_a is + when RA => + e_out.read_reg1 <= insn_ra; + l_out.update_reg <= insn_ra; + when RA_OR_ZERO => + e_out.read_reg1 <= insn_ra; + l_out.update_reg <= insn_ra; + when RS => + e_out.read_reg1 <= insn_rs; + when NONE => + e_out.read_reg1 <= (others => '0'); + l_out.update_reg <= (others => '0'); + end case; + + -- required for bypassing + case d.decode.input_reg_b is + when RB => + e_out.read_reg2 <= insn_rb; + when RS => + e_out.read_reg2 <= insn_rs; + when others => + e_out.read_reg2 <= (others => '0'); + end case; + + -- required for bypassing + --case d.decode.input_reg_c is + --when RS => + --e_out.read_reg3 <= insn_rs; + --when NONE => + --e_out.read_reg3 <= (others => '0'); + --end case; + + case d.decode.input_reg_a is + when RA => + e_out.read_data1 <= r_in.read1_data; + mul_a := r_in.read1_data; + l_out.addr1 <= r_in.read1_data; + when RA_OR_ZERO => + e_out.read_data1 <= ra_or_zero(r_in.read1_data, insn_ra); + l_out.addr1 <= ra_or_zero(r_in.read1_data, insn_ra); + when RS => + e_out.read_data1 <= r_in.read1_data; + when NONE => + e_out.read_data1 <= (others => '0'); + mul_a := (others => '0'); + end case; + + case d.decode.input_reg_b is + when RB => + e_out.read_data2 <= r_in.read2_data; + mul_b := r_in.read2_data; + l_out.addr2 <= r_in.read2_data; + when RS => + e_out.read_data2 <= r_in.read2_data; + when CONST_UI => + e_out.read_data2 <= std_ulogic_vector(resize(unsigned(insn_ui), 64)); + when CONST_SI => + e_out.read_data2 <= std_ulogic_vector(resize(signed(insn_si), 64)); + l_out.addr2 <= std_ulogic_vector(resize(signed(insn_si), 64)); + mul_b := std_ulogic_vector(resize(signed(insn_si), 64)); + when CONST_SI_HI => + e_out.read_data2 <= std_ulogic_vector(resize(signed(insn_si) & x"0000", 64)); + when CONST_UI_HI => + e_out.read_data2 <= std_ulogic_vector(resize(unsigned(insn_si) & x"0000", 64)); + when CONST_LI => + e_out.read_data2 <= std_ulogic_vector(resize(signed(insn_li) & "00", 64)); + when CONST_BD => + e_out.read_data2 <= std_ulogic_vector(resize(signed(insn_bd) & "00", 64)); + when CONST_DS => + l_out.addr2 <= std_ulogic_vector(resize(signed(insn_ds) & "00", 64)); + when NONE => + e_out.read_data2 <= (others => '0'); + l_out.addr2 <= (others => '0'); + mul_b := (others => '0'); + end case; + + case d.decode.input_reg_c is + when RS => + l_out.data <= r_in.read3_data; + when NONE => + l_out.data <= (others => '0'); + end case; + + if d.decode.mul_32bit = '1' then + if d.decode.mul_signed = '1' then + m_out.data1 <= (others => mul_a(31)); + m_out.data1(31 downto 0) <= mul_a(31 downto 0); + m_out.data2 <= (others => mul_b(31)); + m_out.data2(31 downto 0) <= mul_b(31 downto 0); + else + m_out.data1 <= '0' & x"00000000" & mul_a(31 downto 0); + m_out.data2 <= '0' & x"00000000" & mul_b(31 downto 0); + end if; + else + if d.decode.mul_signed = '1' then + m_out.data1 <= mul_a(63) & mul_a; + m_out.data2 <= mul_b(63) & mul_b; + else + m_out.data1 <= '0' & mul_a; + m_out.data2 <= '0' & mul_b; + end if; + end if; + + case d.decode.const_a is + when SH => + e_out.const1(insn_sh'range) <= insn_sh; + when SH32 => + e_out.const1(insn_sh32'range) <= insn_sh32; + when FXM => + e_out.const1(insn_fxm'range) <= insn_fxm; + when BO => + e_out.const1(insn_bo'range)<= insn_bo; + when BF => + e_out.const1(insn_bf'range)<= insn_bf; + when TOO => + e_out.const1(insn_to'range)<= insn_to; + when BC => + e_out.const1(insn_bc'range)<= insn_bc; + when NONE => + e_out.const1 <= (others => '0'); + end case; + + case d.decode.const_b is + when MB => + e_out.const2(insn_mb'range) <= insn_mb; + when ME => + e_out.const2(insn_me'range) <= insn_me; + when MB32 => + e_out.const2(insn_mb32'range) <= insn_mb32; + when BI => + e_out.const2(insn_bi'range) <= insn_bi; + when L => + e_out.const2(0) <= insn_l; + when NONE => + e_out.const2 <= (others => '0'); + end case; + + case d.decode.const_c is + when ME32 => + e_out.const3(insn_me32'range) <= insn_me32; + when BH => + e_out.const3(insn_bh'range) <= insn_bh; + when NONE => + e_out.const3 <= (others => '0'); + end case; + + case d.decode.output_reg_a is + when RT => + e_out.write_reg <= insn_rt; + l_out.write_reg <= insn_rt; + m_out.write_reg <= insn_rt; + when RA => + e_out.write_reg <= insn_ra; + l_out.write_reg <= insn_ra; + when NONE => + e_out.write_reg <= (others => '0'); + l_out.write_reg <= (others => '0'); + m_out.write_reg <= (others => '0'); + end case; + + case d.decode.rc is + when RC => + e_out.rc <= insn_rc; + m_out.rc <= insn_rc; + when ONE => + e_out.rc <= '1'; + m_out.rc <= '1'; + when NONE => + e_out.rc <= '0'; + m_out.rc <= '0'; + end case; + + -- load/store specific signals + if d.decode.insn_type = OP_LOAD then + l_out.load <= '1'; + else + l_out.load <= '0'; + end if; + + case d.decode.length is + when is1B => + l_out.length <= "0001"; + when is2B => + l_out.length <= "0010"; + when is4B => + l_out.length <= "0100"; + when is8B => + l_out.length <= "1000"; + when NONE => + l_out.length <= "0000"; + end case; + + l_out.byte_reverse <= d.decode.byte_reverse; + l_out.sign_extend <= d.decode.sign_extend; + l_out.update <= d.decode.update; + end process; +end architecture behaviour; diff --git a/decode_types.vhdl b/decode_types.vhdl new file mode 100644 index 0000000..4017e9a --- /dev/null +++ b/decode_types.vhdl @@ -0,0 +1,140 @@ +library ieee; +use ieee.std_logic_1164.all; + +package decode_types is + type ppc_insn_t is (PPC_ILLEGAL, PPC_ADD, PPC_ADDC, PPC_ADDE, + PPC_ADDEX, PPC_ADDI, PPC_ADDIC, PPC_ADDIC_RC, PPC_ADDIS, + PPC_ADDME, PPC_ADDPCIS, PPC_ADDZE, PPC_AND, PPC_ANDC, + PPC_ANDI_RC, PPC_ANDIS_RC, PPC_ATTN, PPC_B, PPC_BA, PPC_BC, + PPC_BCA, PPC_BCCTR, PPC_BCLA, PPC_BCLR, PPC_BCTAR, PPC_BPERM, + PPC_CMP, PPC_CMPB, PPC_CMPEQB, PPC_CMPI, PPC_CMPL, PPC_CMPLI, + PPC_CMPRB, PPC_CNTLZD, PPC_CNTLZW, PPC_CNTTZD, PPC_CNTTZW, + PPC_CRAND, PPC_CRANDC, PPC_CREQV, PPC_CRNAND, PPC_CRNOR, + PPC_CROR, PPC_CRORC, PPC_CRXOR, PPC_DARN, PPC_DCBF, PPC_DCBST, + PPC_DCBT, PPC_DCBTST, PPC_DCBZ, PPC_DIVD, PPC_DIVDE, + PPC_DIVDEU, PPC_DIVDU, PPC_DIVW, PPC_DIVWE, PPC_DIVWEU, + PPC_DIVWU, PPC_EQV, PPC_EXTSB, PPC_EXTSH, PPC_EXTSW, + PPC_EXTSWSLI, PPC_ICBI, PPC_ICBT, PPC_ISEL, PPC_ISYNC, + PPC_LBARX, PPC_LBZ, PPC_LBZU, PPC_LBZUX, PPC_LBZX, PPC_LD, + PPC_LDARX, PPC_LDBRX, PPC_LDU, PPC_LDUX, PPC_LDX, PPC_LHA, + PPC_LHARX, PPC_LHAU, PPC_LHAUX, PPC_LHAX, PPC_LHBRX, PPC_LHZ, + PPC_LHZU, PPC_LHZUX, PPC_LHZX, PPC_LWA, PPC_LWARX, PPC_LWAUX, + PPC_LWAX, PPC_LWBRX, PPC_LWZ, PPC_LWZU, PPC_LWZUX, PPC_LWZX, + PPC_MADDHD, PPC_MADDHDU, PPC_MADDLD, PPC_MCRF, PPC_MCRXR, + PPC_MCRXRX, PPC_MFCR, PPC_MFOCRF, PPC_MFSPR, PPC_MFTB, + PPC_MODSD, PPC_MODSW, PPC_MODUD, PPC_MODUW, PPC_MTCRF, + PPC_MFCTR, PPC_MTCTR, PPC_MFLR, PPC_MTLR, PPC_MTOCRF, + PPC_MTSPR, PPC_MULHD, PPC_MULHDU, PPC_MULHW, PPC_MULHWU, + PPC_MULLD, PPC_MULLI, PPC_MULLW, PPC_NAND, PPC_NEG, PPC_NOR, + PPC_OR, PPC_ORC, PPC_ORI, PPC_ORIS, PPC_POPCNTB, PPC_POPCNTD, + PPC_POPCNTW, PPC_PRTYD, PPC_PRTYW, PPC_RLDCL, PPC_RLDCR, + PPC_RLDIC, PPC_RLDICL, PPC_RLDICR, PPC_RLDIMI, PPC_RLWIMI, + PPC_RLWINM, PPC_RLWNM, PPC_SETB, PPC_SLD, PPC_SLW, PPC_SRAD, + PPC_SRADI, PPC_SRAW, PPC_SRAWI, PPC_SRD, PPC_SRW, PPC_STB, + PPC_STBCX, PPC_STBU, PPC_STBUX, PPC_STBX, PPC_STD, PPC_STDBRX, + PPC_STDCX, PPC_STDU, PPC_STDUX, PPC_STDX, PPC_STH, PPC_STHBRX, + PPC_STHCX, PPC_STHU, PPC_STHUX, PPC_STHX, PPC_STW, PPC_STWBRX, + PPC_STWCX, PPC_STWU, PPC_STWUX, PPC_STWX, PPC_SUBF, PPC_SUBFC, + PPC_SUBFE, PPC_SUBFIC, PPC_SUBFME, PPC_SUBFZE, PPC_SYNC, PPC_TD, + PPC_TDI, PPC_TW, PPC_TWI, PPC_XOR, PPC_XORI, PPC_XORIS, + PPC_SIM_READ, PPC_SIM_POLL, PPC_SIM_WRITE, PPC_SIM_CONFIG); + + type insn_type_t is (OP_ILLEGAL, OP_NOP, OP_ADD, OP_ADDC, OP_ADDEX, OP_ADDME, + OP_ADDPCIS, OP_AND, OP_ANDC, OP_ATTN, OP_B, OP_BA, OP_BC, + OP_BCA, OP_BCCTR, OP_BCLA, OP_BCLR, OP_BCTAR, OP_BPERM, OP_CMP, + OP_CMPB, OP_CMPEQB, OP_CMPL, OP_CMPRB, + OP_CNTLZD, OP_CNTLZW, OP_CNTTZD, OP_CNTTZW, OP_CRAND, + OP_CRANDC, OP_CREQV, OP_CRNAND, OP_CRNOR, OP_CROR, OP_CRORC, + OP_CRXOR, OP_DARN, OP_DCBF, OP_DCBST, OP_DCBT, OP_DCBTST, + OP_DCBZ, OP_DIVD, OP_DIVDE, OP_DIVDEU, OP_DIVDU, OP_DIVW, + OP_DIVWE, OP_DIVWEU, OP_DIVWU, OP_EQV, OP_EXTSB, OP_EXTSH, + OP_EXTSW, OP_EXTSWSLI, OP_ICBI, OP_ICBT, OP_ISEL, OP_ISYNC, + OP_LOAD, OP_STORE, OP_MADDHD, OP_MADDHDU, OP_MADDLD, OP_MCRF, + OP_MCRXR, OP_MCRXRX, OP_MFCR, OP_MFOCRF, OP_MFCTR, OP_MFLR, + OP_MFTB, OP_MFSPR, OP_MODSD, OP_MODSW, OP_MODUD, OP_MODUW, + OP_MTCRF, OP_MTOCRF, OP_MTCTR, OP_MTLR, OP_MTSPR, OP_MUL_L64, + OP_MUL_H64, OP_MUL_H32, OP_NAND, OP_NEG, OP_NOR, OP_OR, + OP_ORC, OP_POPCNTB, OP_POPCNTD, OP_POPCNTW, OP_PRTYD, + OP_PRTYW, OP_RLDCL, OP_RLDCR, OP_RLDIC, OP_RLDICL, OP_RLDICR, + OP_RLDIMI, OP_RLWIMI, OP_RLWINM, OP_RLWNM, OP_SETB, OP_SLD, + OP_SLW, OP_SRAD, OP_SRADI, OP_SRAW, OP_SRAWI, OP_SRD, OP_SRW, + OP_SUBF, OP_SUBFC, OP_SUBFME, OP_SYNC, OP_TD, OP_TDI, OP_TW, + OP_TWI, OP_XOR, OP_SIM_READ, OP_SIM_POLL, OP_SIM_WRITE, + OP_SIM_CONFIG); + + type input_reg_a_t is (NONE, RA, RA_OR_ZERO, RS); + type input_reg_b_t is (NONE, RB, RS, CONST_UI, CONST_SI, CONST_SI_HI, CONST_UI_HI, CONST_LI, CONST_BD, CONST_DS); + type input_reg_c_t is (NONE, RS); + type output_reg_a_t is (NONE, RT, RA); + type constant_a_t is (NONE, SH, SH32, FXM, BO, BF, TOO, BC); + type constant_b_t is (NONE, MB, ME, MB32, BI, L); + type constant_c_t is (NONE, ME32, BH); + type rc_t is (NONE, ONE, RC); + + constant SH_OFFSET : integer := 0; + constant MB_OFFSET : integer := 1; + constant ME_OFFSET : integer := 1; + constant SH32_OFFSET : integer := 0; + constant MB32_OFFSET : integer := 1; + constant ME32_OFFSET : integer := 2; + + constant FXM_OFFSET : integer := 0; + + constant BO_OFFSET : integer := 0; + constant BI_OFFSET : integer := 1; + constant BH_OFFSET : integer := 2; + + constant BF_OFFSET : integer := 0; + constant L_OFFSET : integer := 1; + + constant TOO_OFFSET : integer := 0; + + type unit_t is (NONE, ALU, LDST, MUL); + type length_t is (NONE, is1B, is2B, is4B, is8B); + + type decode_rom_t is record + unit : unit_t; + insn_type : insn_type_t; + input_reg_a : input_reg_a_t; + input_reg_b : input_reg_b_t; + input_reg_c : input_reg_c_t; + output_reg_a : output_reg_a_t; + + const_a : constant_a_t; + const_b : constant_b_t; + const_c : constant_c_t; + + input_cr : std_ulogic; + output_cr : std_ulogic; + + input_carry : std_ulogic; + output_carry : std_ulogic; + + -- load/store signals + length : length_t; + byte_reverse : std_ulogic; + sign_extend : std_ulogic; + update : std_ulogic; + reserve : std_ulogic; + + -- multiplier signals + mul_32bit : std_ulogic; + mul_signed : std_ulogic; + + rc : rc_t; + lr : std_ulogic; + end record; + constant decode_rom_init : decode_rom_t := (unit => NONE, + insn_type => OP_ILLEGAL, input_reg_a => NONE, + input_reg_b => NONE, input_reg_c => NONE, + output_reg_a => NONE, const_a => NONE, const_b => NONE, + const_c => NONE, input_cr => '0', output_cr => '0', + input_carry => '0', output_carry => '0', + length => NONE, byte_reverse => '0', sign_extend => '0', + update => '0', reserve => '0', mul_32bit => '0', + mul_signed => '0', rc => NONE, lr => '0'); + +end decode_types; + +package body decode_types is +end decode_types; diff --git a/execute1.vhdl b/execute1.vhdl new file mode 100644 index 0000000..f24ccfb --- /dev/null +++ b/execute1.vhdl @@ -0,0 +1,360 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.decode_types.all; +use work.common.all; +use work.helpers.all; +use work.crhelpers.all; +use work.ppc_fx_insns.all; +use work.sim_console.all; + +entity execute1 is + generic ( + SIM : boolean := false + ); + port ( + clk : in std_logic; + + e_in : in Decode2ToExecute1Type; + f_out : out Execute1ToFetch1Type; + e_out : out Execute1ToExecute2Type; + + terminate_out : out std_ulogic + ); +end entity execute1; + +architecture behaviour of execute1 is + signal e: Decode2ToExecute1Type := Decode2ToExecute1Init; + signal ctrl: ctrl_t := (carry => '0', others => (others => '0')); + signal ctrl_tmp: ctrl_t := (carry => '0', others => (others => '0')); +begin + execute1_0: process(clk) + begin + if rising_edge(clk) then + e <= e_in; + ctrl <= ctrl_tmp; + end if; + end process; + + execute1_1: process(all) + variable result : std_ulogic_vector(63 downto 0); + variable result_with_carry : std_ulogic_vector(64 downto 0); + variable result_en : integer; + variable crnum : integer; + begin + result := (others => '0'); + result_with_carry := (others => '0'); + result_en := 0; + + e_out <= Execute1ToExecute2Init; + f_out <= Execute1ToFetch1TypeInit; + ctrl_tmp <= ctrl; + -- FIXME: run at 512MHz not core freq + ctrl_tmp.tb <= std_ulogic_vector(unsigned(ctrl.tb) + 1); + + terminate_out <= '0'; + + if e.valid = '1' then + e_out.valid <= '1'; + e_out.write_reg <= e.write_reg; + + report "execute " & to_hstring(e.nia); + + case_0: case e.insn_type is + + when OP_ILLEGAL => + terminate_out <= '1'; + report "illegal"; + when OP_NOP => + -- Do nothing + when OP_ADD => + result := ppc_add(e.read_data1, e.read_data2); + result_en := 1; + when OP_ADDC => + result_with_carry := ppc_adde(e.read_data1, e.read_data2, ctrl.carry and e.input_carry); + result := result_with_carry(63 downto 0); + ctrl_tmp.carry <= result_with_carry(64) and e.output_carry; + result_en := 1; + when OP_AND => + result := ppc_and(e.read_data1, e.read_data2); + result_en := 1; + when OP_ANDC => + result := ppc_andc(e.read_data1, e.read_data2); + result_en := 1; + when OP_B => + f_out.redirect <= '1'; + f_out.redirect_nia <= std_ulogic_vector(signed(e.nia) + signed(e.read_data2)); + when OP_BC => + if e.const1(4-2) = '0' then + ctrl_tmp.ctr <= std_ulogic_vector(unsigned(ctrl.ctr) - 1); + end if; + if ppc_bc_taken(e.const1(4 downto 0), e.const2(4 downto 0), e.cr, ctrl.ctr) = 1 then + f_out.redirect <= '1'; + f_out.redirect_nia <= std_ulogic_vector(signed(e.nia) + signed(e.read_data2)); + end if; + when OP_BCLR => + if e.const1(4-2) = '0' then + ctrl_tmp.ctr <= std_ulogic_vector(unsigned(ctrl.ctr) - 1); + end if; + if ppc_bc_taken(e.const1(4 downto 0), e.const2(4 downto 0), e.cr, ctrl.ctr) = 1 then + f_out.redirect <= '1'; + f_out.redirect_nia <= ctrl.lr(63 downto 2) & "00"; + end if; + when OP_BCCTR => + if ppc_bcctr_taken(e.const1(4 downto 0), e.const2(4 downto 0), e.cr) = 1 then + f_out.redirect <= '1'; + f_out.redirect_nia <= ctrl.ctr(63 downto 2) & "00"; + end if; + when OP_CMPB => + result := ppc_cmpb(e.read_data1, e.read_data2); + result_en := 1; + when OP_CMP => + e_out.write_cr_enable <= '1'; + crnum := to_integer(unsigned(e.const1(2 downto 0))); + e_out.write_cr_mask <= num_to_fxm(crnum); + e_out.write_cr_data <= (others => '0'); + e_out.write_cr_data((4*(7-crnum)+3) downto (4*(7-crnum))) <= ppc_cmp(e.const2(0), e.read_data1, e.read_data2); + when OP_CMPL => + e_out.write_cr_enable <= '1'; + crnum := to_integer(unsigned(e.const1(2 downto 0))); + e_out.write_cr_mask <= num_to_fxm(crnum); + e_out.write_cr_data <= (others => '0'); + e_out.write_cr_data((4*(7-crnum)+3) downto (4*(7-crnum))) <= ppc_cmpl(e.const2(0), e.read_data1, e.read_data2); + when OP_CNTLZW => + result := ppc_cntlzw(e.read_data1); + result_en := 1; + when OP_CNTTZW => + result := ppc_cnttzw(e.read_data1); + result_en := 1; + when OP_CNTLZD => + result := ppc_cntlzd(e.read_data1); + result_en := 1; + when OP_CNTTZD => + result := ppc_cnttzd(e.read_data1); + result_en := 1; + when OP_EXTSB => + result := ppc_extsb(e.read_data1); + result_en := 1; + when OP_EXTSH => + result := ppc_extsh(e.read_data1); + result_en := 1; + when OP_EXTSW => + result := ppc_extsw(e.read_data1); + result_en := 1; + when OP_EQV => + result := ppc_eqv(e.read_data1, e.read_data2); + result_en := 1; + when OP_ISEL => + crnum := to_integer(unsigned(e.const1)); + if e.cr(31-crnum) = '1' then + result := e.read_data1; + else + result := e.read_data2; + end if; + result_en := 1; + when OP_MFCTR => + result := ctrl.ctr; + result_en := 1; + when OP_MFLR => + result := ctrl.lr; + result_en := 1; + when OP_MFTB => + result := ctrl.tb; + result_en := 1; + when OP_MTCTR => + ctrl_tmp.ctr <= e.read_data1; + when OP_MTLR => + ctrl_tmp.lr <= e.read_data1; + when OP_MFCR => + result := x"00000000" & e.cr; + result_en := 1; + when OP_MFOCRF => + crnum := fxm_to_num(e.const1(7 downto 0)); + result := (others => '0'); + result((4*(7-crnum)+3) downto (4*(7-crnum))) := e.cr((4*(7-crnum)+3) downto (4*(7-crnum))); + result_en := 1; + when OP_MTCRF => + e_out.write_cr_enable <= '1'; + e_out.write_cr_mask <= e.const1(7 downto 0); + e_out.write_cr_data <= e.read_data1(31 downto 0); + when OP_MTOCRF => + e_out.write_cr_enable <= '1'; + -- We require one hot priority encoding here + crnum := fxm_to_num(e.const1(7 downto 0)); + e_out.write_cr_mask <= num_to_fxm(crnum); + e_out.write_cr_data <= e.read_data1(31 downto 0); + when OP_NAND => + result := ppc_nand(e.read_data1, e.read_data2); + result_en := 1; + when OP_NEG => + result := ppc_neg(e.read_data1); + result_en := 1; + when OP_NOR => + result := ppc_nor(e.read_data1, e.read_data2); + result_en := 1; + when OP_OR => + result := ppc_or(e.read_data1, e.read_data2); + result_en := 1; + when OP_ORC => + result := ppc_orc(e.read_data1, e.read_data2); + result_en := 1; + when OP_POPCNTB => + result := ppc_popcntb(e.read_data1); + result_en := 1; + when OP_POPCNTW => + result := ppc_popcntw(e.read_data1); + result_en := 1; + when OP_POPCNTD => + result := ppc_popcntd(e.read_data1); + result_en := 1; + when OP_PRTYD => + result := ppc_prtyd(e.read_data1); + result_en := 1; + when OP_PRTYW => + result := ppc_prtyw(e.read_data1); + result_en := 1; + when OP_RLDCL => + result := ppc_rldcl(e.read_data1, e.read_data2, e.const2(5 downto 0)); + result_en := 1; + when OP_RLDCR => + result := ppc_rldcr(e.read_data1, e.read_data2, e.const2(5 downto 0)); + result_en := 1; + when OP_RLDICL => + result := ppc_rldicl(e.read_data1, e.const1(5 downto 0), e.const2(5 downto 0)); + result_en := 1; + when OP_RLDICR => + result := ppc_rldicr(e.read_data1, e.const1(5 downto 0), e.const2(5 downto 0)); + result_en := 1; + when OP_RLWNM => + result := ppc_rlwnm(e.read_data1, e.read_data2, e.const2(4 downto 0), e.const3(4 downto 0)); + result_en := 1; + when OP_RLWINM => + result := ppc_rlwinm(e.read_data1, e.const1(4 downto 0), e.const2(4 downto 0), e.const3(4 downto 0)); + result_en := 1; + when OP_RLDIC => + result := ppc_rldic(e.read_data1, e.const1(5 downto 0), e.const2(5 downto 0)); + result_en := 1; + when OP_RLDIMI => + result := ppc_rldimi(e.read_data1, e.read_data2, e.const1(5 downto 0), e.const2(5 downto 0)); + result_en := 1; + when OP_RLWIMI => + result := ppc_rlwimi(e.read_data1, e.read_data2, e.const1(4 downto 0), e.const2(4 downto 0), e.const3(4 downto 0)); + result_en := 1; + when OP_SLD => + result := ppc_sld(e.read_data1, e.read_data2); + result_en := 1; + when OP_SLW => + result := ppc_slw(e.read_data1, e.read_data2); + result_en := 1; + when OP_SRAW => + result_with_carry := ppc_sraw(e.read_data1, e.read_data2); + result := result_with_carry(63 downto 0); + ctrl_tmp.carry <= result_with_carry(64); + result_en := 1; + when OP_SRAWI => + result_with_carry := ppc_srawi(e.read_data1, e.const1(5 downto 0)); + result := result_with_carry(63 downto 0); + ctrl_tmp.carry <= result_with_carry(64); + result_en := 1; + when OP_SRAD => + result_with_carry := ppc_srad(e.read_data1, e.read_data2); + result := result_with_carry(63 downto 0); + ctrl_tmp.carry <= result_with_carry(64); + result_en := 1; + when OP_SRADI => + result_with_carry := ppc_sradi(e.read_data1, e.const1(5 downto 0)); + result := result_with_carry(63 downto 0); + ctrl_tmp.carry <= result_with_carry(64); + result_en := 1; + when OP_SUBF => + result := ppc_subf(e.read_data1, e.read_data2); + result_en := 1; + when OP_SUBFC => + result_with_carry := ppc_subfe(e.read_data1, e.read_data2, ctrl.carry or not(e.input_carry)); + result := result_with_carry(63 downto 0); + ctrl_tmp.carry <= result_with_carry(64) and e.output_carry; + result_en := 1; + when OP_XOR => + result := ppc_xor(e.read_data1, e.read_data2); + result_en := 1; + + -- sim console + when OP_SIM_READ => + if SIM = true then + sim_console_read(result); + result_en := 1; + else + terminate_out <= '1'; + report "illegal"; + end if; + when OP_SIM_POLL => + if SIM = true then + sim_console_poll(result); + result_en := 1; + else + terminate_out <= '1'; + report "illegal"; + end if; + when OP_SIM_WRITE => + if SIM = true then + sim_console_write(e.read_data1); + else + terminate_out <= '1'; + report "illegal"; + end if; + when OP_SIM_CONFIG => + if SIM = true then + result := x"0000000000000001"; + else + result := x"0000000000000000"; + end if; + result_en := 1; + + when OP_TDI => + -- Keep our test cases happy for now, ignore trap instructions + report "OP_TDI FIXME"; + + when OP_DIVDU => + if SIM = true then + result := ppc_divdu(e.read_data1, e.read_data2); + result_en := 1; + else + terminate_out <= '1'; + report "illegal"; + end if; + when OP_DIVD => + if SIM = true then + result := ppc_divd(e.read_data1, e.read_data2); + result_en := 1; + else + terminate_out <= '1'; + report "illegal"; + end if; + when OP_DIVWU => + if SIM = true then + result := ppc_divwu(e.read_data1, e.read_data2); + result_en := 1; + + else + terminate_out <= '1'; + report "illegal"; + end if; + when others => + terminate_out <= '1'; + report "illegal"; + end case; + + if e.lr = '1' then + ctrl_tmp.lr <= std_ulogic_vector(unsigned(e.nia) + 4); + end if; + + if result_en = 1 then + e_out.write_data <= result; + e_out.write_enable <= '1'; + e_out.rc <= e.rc; + end if; + end if; + end process; +end architecture behaviour; diff --git a/execute2.vhdl b/execute2.vhdl new file mode 100644 index 0000000..851e58f --- /dev/null +++ b/execute2.vhdl @@ -0,0 +1,48 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; +use work.crhelpers.all; +use work.ppc_fx_insns.all; + +-- 2 cycle ALU +-- We handle rc form instructions here + +entity execute2 is + port ( + clk : in std_ulogic; + + e_in : in Execute1ToExecute2Type; + e_out : out Execute2ToWritebackType + ); +end execute2; + +architecture behave of execute2 is + signal e: Execute1ToExecute2Type; +begin + execute2_0: process(clk) + begin + if (rising_edge(clk)) then + e <= e_in; + end if; + end process; + + execute2_1: process(all) + begin + e_out.valid <= e.valid; + e_out.write_enable <= e.write_enable; + e_out.write_reg <= e.write_reg; + e_out.write_data <= e.write_data; + e_out.write_cr_enable <= e.write_cr_enable; + e_out.write_cr_mask <= e.write_cr_mask; + e_out.write_cr_data <= e.write_cr_data; + + if e.valid = '1' and e.rc = '1' then + e_out.write_cr_enable <= '1'; + e_out.write_cr_mask <= num_to_fxm(0); + e_out.write_cr_data <= ppc_cmpi('1', e.write_data, x"0000") & x"0000000"; + end if; + end process; +end; diff --git a/fetch1.vhdl b/fetch1.vhdl new file mode 100644 index 0000000..458805e --- /dev/null +++ b/fetch1.vhdl @@ -0,0 +1,78 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; + +entity fetch1 is + generic( + RESET_ADDRESS : std_logic_vector(63 downto 0) + ); + port( + clk : in std_ulogic; + rst : in std_ulogic; + + -- Control inputs: + fetch_one_in : in std_ulogic; + + -- redirect from execution unit + e_in : in Execute1ToFetch1Type; + + -- fetch data out + f_out : out Fetch1ToFetch2Type + ); +end entity fetch1; + +architecture behaviour of fetch1 is + type reg_type is record + pc : std_ulogic_vector(63 downto 0); + fetch_one : std_ulogic; + end record; + + signal r : reg_type; + signal rin : reg_type; +begin + regs : process(clk) + begin + if rising_edge(clk) then + r <= rin; + end if; + end process; + + comb : process(all) + variable v : reg_type; + variable fetch_valid : std_ulogic; + variable fetch_nia : std_ulogic_vector(63 downto 0); + begin + v := r; + + fetch_valid := '0'; + fetch_nia := (others => '0'); + + v.fetch_one := v.fetch_one or fetch_one_in; + + if e_in.redirect = '1' then + v.pc := e_in.redirect_nia; + end if; + + if v.fetch_one = '1' then + fetch_nia := v.pc; + fetch_valid := '1'; + v.pc := std_logic_vector(unsigned(v.pc) + 4); + + v.fetch_one := '0'; + end if; + + if rst = '1' then + v.pc := RESET_ADDRESS; + v.fetch_one := '0'; + end if; + + rin <= v; + + f_out.valid <= fetch_valid; + f_out.nia <= fetch_nia; + end process; + +end architecture behaviour; diff --git a/fetch2.vhdl b/fetch2.vhdl new file mode 100644 index 0000000..6f252c8 --- /dev/null +++ b/fetch2.vhdl @@ -0,0 +1,70 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; +use work.wishbone_types.all; + +entity fetch2 is + port( + clk : in std_ulogic; + + -- instruction memory interface + wishbone_in : in wishbone_slave_out; + wishbone_out : out wishbone_master_out; + + f_in : in Fetch1ToFetch2Type; + + f_out : out Fetch2ToDecode1Type + ); +end entity fetch2; + +architecture behaviour of fetch2 is + type reg_type is record + valid : std_ulogic; + nia : std_ulogic_vector(63 downto 0); + end record; + + signal f : Fetch1ToFetch2Type; + signal wishbone: wishbone_slave_out; + signal r : reg_type := (valid => '0', nia => (others => '0')); + signal rin : reg_type := (valid => '0', nia => (others => '0')); +begin + regs : process(clk) + begin + if rising_edge(clk) then + wishbone <= wishbone_in; + f <= f_in; + r <= rin; + end if; + end process; + + comb : process(all) + variable v : reg_type; + begin + v := r; + + if f.valid = '1' then + v.valid := '1'; + v.nia := f.nia; + end if; + + if v.valid = '1' and wishbone.ack = '1' then + v.valid := '0'; + end if; + + rin <= v; + + wishbone_out.adr <= v.nia(63 downto 3) & "000"; + wishbone_out.dat <= (others => '0'); + wishbone_out.cyc <= v.valid; + wishbone_out.stb <= v.valid; + wishbone_out.sel <= "00001111" when v.nia(2) = '0' else "11110000"; + wishbone_out.we <= '0'; + + f_out.valid <= wishbone.ack; + f_out.nia <= v.nia; + f_out.insn <= wishbone.dat(31 downto 0) when v.nia(2) = '0' else wishbone.dat(63 downto 32); + end process; +end architecture behaviour; diff --git a/fpga/LICENSE b/fpga/LICENSE new file mode 100644 index 0000000..33048f9 --- /dev/null +++ b/fpga/LICENSE @@ -0,0 +1,12 @@ +Copyright (c) Kristian Klomsten Skordal +All rights reserved. + +Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: + +1. Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer. + +2. Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. + +3. Neither the name of the copyright holder nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission. + +THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. diff --git a/fpga/nexys-video.xdc b/fpga/nexys-video.xdc new file mode 100644 index 0000000..2b71cc5 --- /dev/null +++ b/fpga/nexys-video.xdc @@ -0,0 +1,10 @@ +set_property -dict {PACKAGE_PIN R4 IOSTANDARD LVCMOS33} [get_ports clk] +create_clock -period 10.000 -name sys_clk_pin -waveform {0.000 5.000} -add [get_ports clk] + +set_property -dict {PACKAGE_PIN G4 IOSTANDARD LVCMOS15} [get_ports reset_n] + +set_property -dict {PACKAGE_PIN AA19 IOSTANDARD LVCMOS33} [get_ports uart0_txd] +set_property -dict {PACKAGE_PIN V18 IOSTANDARD LVCMOS33} [get_ports uart0_rxd] + +set_property CONFIG_VOLTAGE 3.3 [current_design] +set_property CFGBVS VCCO [current_design] diff --git a/fpga/pp_fifo.vhd b/fpga/pp_fifo.vhd new file mode 100644 index 0000000..909c969 --- /dev/null +++ b/fpga/pp_fifo.vhd @@ -0,0 +1,91 @@ +-- The Potato Processor - A simple processor for FPGAs +-- (c) Kristian Klomsten Skordal 2014 - 2015 + +library ieee; +use ieee.std_logic_1164.all; + +--! @brief A generic FIFO module. +--! Adopted from the FIFO module in . +entity pp_fifo is + generic( + DEPTH : natural := 64; + WIDTH : natural := 32 + ); + port( + -- Control lines: + clk : in std_logic; + reset : in std_logic; + + -- Status lines: + full : out std_logic; + empty : out std_logic; + + -- Data in: + data_in : in std_logic_vector(WIDTH - 1 downto 0); + data_out : out std_logic_vector(WIDTH - 1 downto 0); + push, pop : in std_logic + ); +end entity pp_fifo; + +architecture behaviour of pp_fifo is + + type memory_array is array(0 to DEPTH - 1) of std_logic_vector(WIDTH - 1 downto 0); + shared variable memory : memory_array := (others => (others => '0')); + + subtype index_type is integer range 0 to DEPTH - 1; + signal top, bottom : index_type; + + type fifo_op is (FIFO_POP, FIFO_PUSH); + signal prev_op : fifo_op := FIFO_POP; + +begin + + empty <= '1' when top = bottom and prev_op = FIFO_POP else '0'; + full <= '1' when top = bottom and prev_op = FIFO_PUSH else '0'; + + read: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + bottom <= 0; + else + if pop = '1' then + data_out <= memory(bottom); + bottom <= (bottom + 1) mod DEPTH; + end if; + end if; + end if; + end process read; + + write: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + top <= 0; + else + if push = '1' then + memory(top) := data_in; + top <= (top + 1) mod DEPTH; + end if; + end if; + end if; + end process write; + + set_prev_op: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + prev_op <= FIFO_POP; + else + if push = '1' and pop = '1' then + prev_op <= FIFO_POP; + elsif push = '1' then + prev_op <= FIFO_PUSH; + elsif pop = '1' then + prev_op <= FIFO_POP; + end if; + end if; + end if; + end process set_prev_op; + +end architecture behaviour; diff --git a/fpga/pp_soc_memory.vhd b/fpga/pp_soc_memory.vhd new file mode 100644 index 0000000..1a5ca81 --- /dev/null +++ b/fpga/pp_soc_memory.vhd @@ -0,0 +1,106 @@ +-- The Potato Processor - A simple processor for FPGAs +-- (c) Kristian Klomsten Skordal 2014 - 2015 + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use std.textio.all; + +use work.pp_utilities.all; + +--! @brief Simple memory module for use in Wishbone-based systems. +entity pp_soc_memory is + generic( + MEMORY_SIZE : natural := 4096 --! Memory size in bytes. + ); + port( + clk : in std_logic; + reset : in std_logic; + + -- Wishbone interface: + wb_adr_in : in std_logic_vector(log2(MEMORY_SIZE) - 1 downto 0); + wb_dat_in : in std_logic_vector(63 downto 0); + wb_dat_out : out std_logic_vector(63 downto 0); + wb_cyc_in : in std_logic; + wb_stb_in : in std_logic; + wb_sel_in : in std_logic_vector( 7 downto 0); + wb_we_in : in std_logic; + wb_ack_out : out std_logic + ); +end entity pp_soc_memory; + +architecture behaviour of pp_soc_memory is + type ram_t is array(0 to (MEMORY_SIZE / 8) - 1) of std_logic_vector(63 downto 0); + + impure function init_ram(name : STRING) return ram_t is + file ram_file : text open read_mode is name; + variable ram_line : line; + variable temp_word : std_logic_vector(63 downto 0); + variable temp_ram : ram_t := (others => (others => '0')); + begin + for i in 0 to (MEMORY_SIZE/8)-1 loop + exit when endfile(ram_file); + readline(ram_file, ram_line); + hread(ram_line, temp_word); + temp_ram(i) := temp_word; + end loop; + + return temp_ram; + end function; + + signal memory : ram_t := init_ram("firmware.hex"); + + attribute ram_style : string; + attribute ram_style of memory : signal is "block"; + + attribute ram_decomp : string; + attribute ram_decomp of memory : signal is "power"; + + type state_type is (IDLE, ACK); + signal state : state_type; + + signal read_ack : std_logic; + +begin + + wb_ack_out <= read_ack and wb_stb_in; + + process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + read_ack <= '0'; + state <= IDLE; + else + if wb_cyc_in = '1' then + case state is + when IDLE => + if wb_stb_in = '1' and wb_we_in = '1' then + for i in 0 to 7 loop + if wb_sel_in(i) = '1' then + memory(to_integer(unsigned(wb_adr_in(wb_adr_in'left downto 3))))(((i + 1) * 8) - 1 downto i * 8) + <= wb_dat_in(((i + 1) * 8) - 1 downto i * 8); + end if; + end loop; + read_ack <= '1'; + state <= ACK; + elsif wb_stb_in = '1' then + wb_dat_out <= memory(to_integer(unsigned(wb_adr_in(wb_adr_in'left downto 3)))); + read_ack <= '1'; + state <= ACK; + end if; + when ACK => + if wb_stb_in = '0' then + read_ack <= '0'; + state <= IDLE; + end if; + end case; + else + state <= IDLE; + read_ack <= '0'; + end if; + end if; + end if; + end process clk; + +end architecture behaviour; diff --git a/fpga/pp_soc_reset.vhd b/fpga/pp_soc_reset.vhd new file mode 100644 index 0000000..c53d85a --- /dev/null +++ b/fpga/pp_soc_reset.vhd @@ -0,0 +1,69 @@ +-- The Potato Processor - A simple processor for FPGAs +-- (c) Kristian Klomsten Skordal 2018 + +library ieee; +use ieee.std_logic_1164.all; +use work.pp_utilities.all; + +--! @brief System reset unit. +--! Because most resets in the processor core are synchronous, at least one +--! clock pulse has to be given to the processor while the reset signal is +--! asserted. However, if the clock generator is being reset at the same time, +--! the system clock might not run during reset, preventing the processor from +--! properly resetting. +entity pp_soc_reset is + generic( + RESET_CYCLE_COUNT : natural := 20000000 + ); + port( + clk : in std_logic; + + reset_n : in std_logic; + reset_out : out std_logic; + + system_clk : in std_logic; + system_clk_locked : in std_logic + ); +end entity pp_soc_reset; + +architecture behaviour of pp_soc_reset is + + subtype counter_type is natural range 0 to RESET_CYCLE_COUNT; + signal counter : counter_type; + + signal fast_reset : std_logic := '0'; + signal slow_reset : std_logic := '1'; +begin + + reset_out <= slow_reset; + +-- process(clk) +-- begin +-- if rising_edge(clk) then +-- if reset_n = '0' then +-- fast_reset <= '1'; +-- elsif system_clk_locked = '1' then +-- if fast_reset = '1' and slow_reset = '1' then +-- fast_reset <= '0'; +-- end if; +-- end if; +-- end if; +-- end process; + + process(system_clk) + begin + if rising_edge(system_clk) then + if reset_n = '0' then + slow_reset <= '1'; + counter <= RESET_CYCLE_COUNT; + else + if counter = 0 then + slow_reset <= '0'; + else + counter <= counter - 1; + end if; + end if; + end if; + end process; + +end architecture behaviour; diff --git a/fpga/pp_soc_uart.vhd b/fpga/pp_soc_uart.vhd new file mode 100644 index 0000000..1d5c629 --- /dev/null +++ b/fpga/pp_soc_uart.vhd @@ -0,0 +1,384 @@ +-- The Potato Processor - A simple processor for FPGAs +-- (c) Kristian Klomsten Skordal 2014 - 2016 + +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +--! @brief Simple UART module. +--! The following registers are defined: +--! |--------------------|--------------------------------------------| +--! | Address | Description | +--! |--------------------|--------------------------------------------| +--! | 0x00 | Transmit register (write-only) | +--! | 0x08 | Receive register (read-only) | +--! | 0x10 | Status register (read-only) | +--! | 0x18 | Sample clock divisor register (read/write) | +--! | 0x20 | Interrupt enable register (read/write) | +--! |--------------------|--------------------------------------------| +--! +--! The status register contains the following bits: +--! - Bit 0: receive buffer empty +--! - Bit 1: transmit buffer empty +--! - Bit 2: receive buffer full +--! - Bit 3: transmit buffer full +--! +--! The sample clock divisor should be set according to the formula: +--! sample_clk = (f_clk / (baudrate * 16)) - 1 +--! +--! If the sample clock divisor register is set to 0, the sample clock +--! is stopped. +--! +--! Interrupts are enabled by setting the corresponding bit in the interrupt +--! enable register. The following bits are available: +--! - Bit 0: data received (receive buffer not empty) +--! - Bit 1: ready to send data (transmit buffer empty) +entity pp_soc_uart is + generic( + FIFO_DEPTH : natural := 64 --! Depth of the input and output FIFOs. + ); + port( + clk : in std_logic; + reset : in std_logic; + + -- UART ports: + txd : out std_logic; + rxd : in std_logic; + + -- Interrupt signal: + irq : out std_logic; + + -- Wishbone ports: + wb_adr_in : in std_logic_vector(11 downto 0); + wb_dat_in : in std_logic_vector( 7 downto 0); + wb_dat_out : out std_logic_vector( 7 downto 0); + wb_we_in : in std_logic; + wb_cyc_in : in std_logic; + wb_stb_in : in std_logic; + wb_ack_out : out std_logic + ); +end entity pp_soc_uart; + +architecture behaviour of pp_soc_uart is + + subtype bitnumber is natural range 0 to 7; --! Type representing the index of a bit. + + -- UART sample clock signals: + signal sample_clk : std_logic; + signal sample_clk_divisor : std_logic_vector(7 downto 0); + signal sample_clk_counter : std_logic_vector(sample_clk_divisor'range); + + -- UART receive process signals: + type rx_state_type is (IDLE, RECEIVE, STARTBIT, STOPBIT); + signal rx_state : rx_state_type; + signal rx_byte : std_logic_vector(7 downto 0); + signal rx_current_bit : bitnumber; + + subtype rx_sample_counter_type is natural range 0 to 15; + signal rx_sample_counter : rx_sample_counter_type; + signal rx_sample_value : rx_sample_counter_type; + + subtype rx_sample_delay_type is natural range 0 to 7; + signal rx_sample_delay : rx_sample_delay_type; + + -- UART transmit process signals: + type tx_state_type is (IDLE, TRANSMIT, STOPBIT); + signal tx_state : tx_state_type; + signal tx_byte : std_logic_vector(7 downto 0); + signal tx_current_bit : bitnumber; + + -- UART transmit clock: + subtype uart_tx_counter_type is natural range 0 to 15; + signal uart_tx_counter : uart_tx_counter_type := 0; + signal uart_tx_clk : std_logic; + + -- Buffer signals: + signal send_buffer_full, send_buffer_empty : std_logic; + signal recv_buffer_full, recv_buffer_empty : std_logic; + signal send_buffer_input, send_buffer_output : std_logic_vector(7 downto 0); + signal recv_buffer_input, recv_buffer_output : std_logic_vector(7 downto 0); + signal send_buffer_push, send_buffer_pop : std_logic := '0'; + signal recv_buffer_push, recv_buffer_pop : std_logic := '0'; + + -- IRQ enable signals: + signal irq_recv_enable, irq_tx_ready_enable : std_logic := '0'; + + -- Wishbone signals: + type wb_state_type is (IDLE, WRITE_ACK, READ_ACK); + signal wb_state : wb_state_type; + + signal wb_ack : std_logic; --! Wishbone acknowledge signal + +begin + + irq <= (irq_recv_enable and (not recv_buffer_empty)) + or (irq_tx_ready_enable and send_buffer_empty); + + ---------- UART receive ---------- + + recv_buffer_input <= rx_byte; + + uart_receive: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + rx_state <= IDLE; + recv_buffer_push <= '0'; + else + case rx_state is + when IDLE => + if recv_buffer_push = '1' then + recv_buffer_push <= '0'; + end if; + + if sample_clk = '1' and rxd = '0' then + rx_sample_value <= rx_sample_counter; + rx_sample_delay <= 0; + rx_current_bit <= 0; + rx_state <= STARTBIT; + end if; + when STARTBIT => + if sample_clk = '1' then + if rx_sample_delay = 7 then + rx_state <= RECEIVE; + rx_sample_value <= rx_sample_counter; + rx_sample_delay <= 0; + else + rx_sample_delay <= rx_sample_delay + 1; + end if; + end if; + when RECEIVE => + if sample_clk = '1' and rx_sample_counter = rx_sample_value then + if rx_current_bit /= 7 then + rx_byte(rx_current_bit) <= rxd; + rx_current_bit <= rx_current_bit + 1; + else + rx_byte(rx_current_bit) <= rxd; + rx_state <= STOPBIT; + end if; + end if; + when STOPBIT => + if sample_clk = '1' and rx_sample_counter = rx_sample_value then + rx_state <= IDLE; + + if recv_buffer_full = '0' then + recv_buffer_push <= '1'; + end if; + end if; + end case; + end if; + end if; + end process uart_receive; + + sample_counter: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + rx_sample_counter <= 0; + elsif sample_clk = '1' then + if rx_sample_counter = 15 then + rx_sample_counter <= 0; + else + rx_sample_counter <= rx_sample_counter + 1; + end if; + end if; + end if; + end process sample_counter; + + ---------- UART transmit ---------- + + tx_byte <= send_buffer_output; + + uart_transmit: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + txd <= '1'; + tx_state <= IDLE; + send_buffer_pop <= '0'; + tx_current_bit <= 0; + else + case tx_state is + when IDLE => + if send_buffer_empty = '0' and uart_tx_clk = '1' then + txd <= '0'; + send_buffer_pop <= '1'; + tx_current_bit <= 0; + tx_state <= TRANSMIT; + elsif uart_tx_clk = '1' then + txd <= '1'; + end if; + when TRANSMIT => + if send_buffer_pop = '1' then + send_buffer_pop <= '0'; + elsif uart_tx_clk = '1' and tx_current_bit = 7 then + txd <= tx_byte(tx_current_bit); + tx_state <= STOPBIT; + elsif uart_tx_clk = '1' then + txd <= tx_byte(tx_current_bit); + tx_current_bit <= tx_current_bit + 1; + end if; + when STOPBIT => + if uart_tx_clk = '1' then + txd <= '1'; + tx_state <= IDLE; + end if; + end case; + end if; + end if; + end process uart_transmit; + + uart_tx_clock_generator: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + uart_tx_counter <= 0; + uart_tx_clk <= '0'; + else + if sample_clk = '1' then + if uart_tx_counter = 15 then + uart_tx_counter <= 0; + uart_tx_clk <= '1'; + else + uart_tx_counter <= uart_tx_counter + 1; + uart_tx_clk <= '0'; + end if; + else + uart_tx_clk <= '0'; + end if; + end if; + end if; + end process uart_tx_clock_generator; + + ---------- Sample clock generator ---------- + + sample_clock_generator: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + sample_clk_counter <= (others => '0'); + sample_clk <= '0'; + else + if sample_clk_divisor /= x"00" then + if sample_clk_counter = sample_clk_divisor then + sample_clk_counter <= (others => '0'); + sample_clk <= '1'; + else + sample_clk_counter <= std_logic_vector(unsigned(sample_clk_counter) + 1); + sample_clk <= '0'; + end if; + end if; + end if; + end if; + end process sample_clock_generator; + + ---------- Data Buffers ---------- + + send_buffer: entity work.pp_fifo + generic map( + DEPTH => FIFO_DEPTH, + WIDTH => 8 + ) port map( + clk => clk, + reset => reset, + full => send_buffer_full, + empty => send_buffer_empty, + data_in => send_buffer_input, + data_out => send_buffer_output, + push => send_buffer_push, + pop => send_buffer_pop + ); + + recv_buffer: entity work.pp_fifo + generic map( + DEPTH => FIFO_DEPTH, + WIDTH => 8 + ) port map( + clk => clk, + reset => reset, + full => recv_buffer_full, + empty => recv_buffer_empty, + data_in => recv_buffer_input, + data_out => recv_buffer_output, + push => recv_buffer_push, + pop => recv_buffer_pop + ); + + ---------- Wishbone Interface ---------- + + wb_ack_out <= wb_ack and wb_cyc_in and wb_stb_in; + + wishbone: process(clk) + begin + if rising_edge(clk) then + if reset = '1' then + wb_ack <= '0'; + wb_state <= IDLE; + send_buffer_push <= '0'; + recv_buffer_pop <= '0'; + sample_clk_divisor <= (others => '0'); + irq_recv_enable <= '0'; + irq_tx_ready_enable <= '0'; + else + case wb_state is + when IDLE => + if wb_cyc_in = '1' and wb_stb_in = '1' then + if wb_we_in = '1' then -- Write to register + if wb_adr_in = x"000" then + send_buffer_input <= wb_dat_in; + send_buffer_push <= '1'; + elsif wb_adr_in = x"018" then + sample_clk_divisor <= wb_dat_in; + elsif wb_adr_in = x"020" then + irq_recv_enable <= wb_dat_in(0); + irq_tx_ready_enable <= wb_dat_in(1); + end if; + + -- Invalid writes are acked and ignored. + + wb_ack <= '1'; + wb_state <= WRITE_ACK; + else -- Read from register + if wb_adr_in = x"008" then + recv_buffer_pop <= '1'; + elsif wb_adr_in = x"010" then + wb_dat_out <= x"0" & send_buffer_full & recv_buffer_full & send_buffer_empty & recv_buffer_empty; + wb_ack <= '1'; + elsif wb_adr_in = x"018" then + wb_dat_out <= sample_clk_divisor; + wb_ack <= '1'; + elsif wb_adr_in = x"020" then + wb_dat_out <= (0 => irq_recv_enable, 1 => irq_tx_ready_enable, others => '0'); + wb_ack <= '1'; + else + wb_dat_out <= (others => '0'); + wb_ack <= '1'; + end if; + wb_state <= READ_ACK; + end if; + end if; + when WRITE_ACK => + send_buffer_push <= '0'; + + if wb_stb_in = '0' then + wb_ack <= '0'; + wb_state <= IDLE; + end if; + when READ_ACK => + if recv_buffer_pop = '1' then + recv_buffer_pop <= '0'; + else + wb_dat_out <= recv_buffer_output; + wb_ack <= '1'; + end if; + + if wb_stb_in = '0' then + wb_ack <= '0'; + wb_state <= IDLE; + end if; + end case; + end if; + end if; + end process wishbone; + +end architecture behaviour; diff --git a/fpga/pp_utilities.vhd b/fpga/pp_utilities.vhd new file mode 100644 index 0000000..959b7a4 --- /dev/null +++ b/fpga/pp_utilities.vhd @@ -0,0 +1,90 @@ +-- The Potato Processor - A simple processor for FPGAs +-- (c) Kristian Klomsten Skordal 2014 + +library ieee; +use ieee.std_logic_1164.all; + +package pp_utilities is + + --! Converts a boolean to an std_logic. + function to_std_logic(input : in boolean) return std_logic; + + -- Checks if a number is 2^n: + function is_pow2(input : in natural) return boolean; + + --! Calculates log2 with integers. + function log2(input : in natural) return natural; + + -- Gets the value of the sel signals to the wishbone interconnect for the specified + -- operand size and address. + function wb_get_data_sel(size : in std_logic_vector(1 downto 0); address : in std_logic_vector) + return std_logic_vector; + +end package pp_utilities; + +package body pp_utilities is + + function to_std_logic(input : in boolean) return std_logic is + begin + if input then + return '1'; + else + return '0'; + end if; + end function to_std_logic; + + function is_pow2(input : in natural) return boolean is + variable c : natural := 1; + begin + for i in 0 to 31 loop + if input = c then + return true; + end if; + + c := c * 2; + end loop; + + return false; + end function is_pow2; + + function log2(input : in natural) return natural is + variable retval : natural := 0; + variable temp : natural := input; + begin + while temp > 1 loop + retval := retval + 1; + temp := temp / 2; + end loop; + + return retval; + end function log2; + + function wb_get_data_sel(size : in std_logic_vector(1 downto 0); address : in std_logic_vector) + return std_logic_vector is + begin + case size is + when b"01" => + case address(1 downto 0) is + when b"00" => + return b"0001"; + when b"01" => + return b"0010"; + when b"10" => + return b"0100"; + when b"11" => + return b"1000"; + when others => + return b"0001"; + end case; + when b"10" => + if address(1) = '0' then + return b"0011"; + else + return b"1100"; + end if; + when others => + return b"1111"; + end case; + end function wb_get_data_sel; + +end package body pp_utilities; diff --git a/fpga/toplevel.vhd b/fpga/toplevel.vhd new file mode 100644 index 0000000..4a124fa --- /dev/null +++ b/fpga/toplevel.vhd @@ -0,0 +1,213 @@ +-- The Potato Processor - SoC design for the Arty FPGA board +-- (c) Kristian Klomsten Skordal 2016 + +library ieee; +use ieee.std_logic_1164.all; + +library work; +use work.wishbone_types.all; + +-- 0x00000000: Main memory (1 MB) +-- 0xc0002000: UART0 (for host communication) +entity toplevel is + port( + clk : in std_logic; + reset_n : in std_logic; + + -- UART0 signals: + uart0_txd : out std_logic; + uart0_rxd : in std_logic + ); +end entity toplevel; + +architecture behaviour of toplevel is + + -- Reset signals: + signal reset : std_logic; + + -- Internal clock signals: + signal system_clk : std_logic; + signal timer_clk : std_logic; + signal system_clk_locked : std_logic; + + -- wishbone signals: + signal wishbone_proc_out: wishbone_master_out; + signal wishbone_proc_in: wishbone_slave_out; + + -- Processor signals: + signal processor_adr_out : std_logic_vector(63 downto 0); + signal processor_sel_out : std_logic_vector(7 downto 0); + signal processor_cyc_out : std_logic; + signal processor_stb_out : std_logic; + signal processor_we_out : std_logic; + signal processor_dat_out : std_logic_vector(63 downto 0); + signal processor_dat_in : std_logic_vector(63 downto 0); + signal processor_ack_in : std_logic; + + -- UART0 signals: + signal uart0_adr_in : std_logic_vector(11 downto 0); + signal uart0_dat_in : std_logic_vector( 7 downto 0); + signal uart0_dat_out : std_logic_vector( 7 downto 0); + signal uart0_cyc_in : std_logic; + signal uart0_stb_in : std_logic; + signal uart0_we_in : std_logic; + signal uart0_ack_out : std_logic; + + -- Main memory signals: + signal main_memory_adr_in : std_logic_vector(19 downto 0); + signal main_memory_dat_in : std_logic_vector(63 downto 0); + signal main_memory_dat_out : std_logic_vector(63 downto 0); + signal main_memory_cyc_in : std_logic; + signal main_memory_stb_in : std_logic; + signal main_memory_sel_in : std_logic_vector(7 downto 0); + signal main_memory_we_in : std_logic; + signal main_memory_ack_out : std_logic; + + -- Selected peripheral on the interconnect: + type intercon_peripheral_type is ( + PERIPHERAL_UART0, PERIPHERAL_MAIN_MEMORY, PERIPHERAL_ERROR, + PERIPHERAL_NONE); + signal intercon_peripheral : intercon_peripheral_type := PERIPHERAL_NONE; + + -- Interconnect address decoder state: + signal intercon_busy : boolean := false; + + -- disable for now + signal gpio_pins : std_logic_vector(11 downto 0); + signal uart1_txd : std_logic; + signal uart1_rxd : std_logic; +begin + + address_decoder: process(system_clk) + begin + if rising_edge(system_clk) then + if reset = '1' then + intercon_peripheral <= PERIPHERAL_NONE; + intercon_busy <= false; + else + if not intercon_busy then + if processor_cyc_out = '1' then + intercon_busy <= true; + + if processor_adr_out(31 downto 24) = x"00" then -- Main memory space + intercon_peripheral <= PERIPHERAL_MAIN_MEMORY; + elsif processor_adr_out(31 downto 24) = x"c0" then -- Peripheral memory space + case processor_adr_out(15 downto 12) is + when x"2" => + intercon_peripheral <= PERIPHERAL_UART0; + when others => -- Invalid address - delegated to the error peripheral + intercon_peripheral <= PERIPHERAL_ERROR; + end case; + else + intercon_peripheral <= PERIPHERAL_ERROR; + end if; + else + intercon_peripheral <= PERIPHERAL_NONE; + end if; + else + if processor_cyc_out = '0' then + intercon_busy <= false; + intercon_peripheral <= PERIPHERAL_NONE; + end if; + end if; + end if; + end if; + end process address_decoder; + + processor_intercon: process(all) + begin + case intercon_peripheral is + when PERIPHERAL_UART0 => + processor_ack_in <= uart0_ack_out; + processor_dat_in <= x"00000000000000" & uart0_dat_out; + when PERIPHERAL_MAIN_MEMORY => + processor_ack_in <= main_memory_ack_out; + processor_dat_in <= main_memory_dat_out; + when PERIPHERAL_NONE => + processor_ack_in <= '0'; + processor_dat_in <= (others => '0'); + when others => + processor_ack_in <= '0'; + processor_dat_in <= (others => '0'); + end case; + end process processor_intercon; + + reset_controller: entity work.pp_soc_reset + port map( + clk => system_clk, + reset_n => reset_n, + reset_out => reset, + system_clk => system_clk, + system_clk_locked => system_clk_locked + ); + + clkgen: entity work.clock_generator + port map( + clk => clk, + resetn => reset_n, + system_clk => system_clk, + locked => system_clk_locked + ); + + processor: entity work.core + port map( + clk => system_clk, + rst => reset, + + wishbone_out => wishbone_proc_out, + wishbone_in => wishbone_proc_in + ); + processor_adr_out <= wishbone_proc_out.adr; + processor_dat_out <= wishbone_proc_out.dat; + processor_sel_out <= wishbone_proc_out.sel; + processor_cyc_out <= wishbone_proc_out.cyc; + processor_stb_out <= wishbone_proc_out.stb; + processor_we_out <= wishbone_proc_out.we; + wishbone_proc_in.dat <= processor_dat_in; + wishbone_proc_in.ack <= processor_ack_in; + + uart0: entity work.pp_soc_uart + generic map( + FIFO_DEPTH => 32 + ) port map( + clk => system_clk, + reset => reset, + txd => uart0_txd, + rxd => uart0_rxd, + wb_adr_in => uart0_adr_in, + wb_dat_in => uart0_dat_in, + wb_dat_out => uart0_dat_out, + wb_cyc_in => uart0_cyc_in, + wb_stb_in => uart0_stb_in, + wb_we_in => uart0_we_in, + wb_ack_out => uart0_ack_out + ); + uart0_adr_in <= processor_adr_out(uart0_adr_in'range); + uart0_dat_in <= processor_dat_out(7 downto 0); + uart0_we_in <= processor_we_out; + uart0_cyc_in <= processor_cyc_out when intercon_peripheral = PERIPHERAL_UART0 else '0'; + uart0_stb_in <= processor_stb_out when intercon_peripheral = PERIPHERAL_UART0 else '0'; + + main_memory: entity work.pp_soc_memory + generic map( + MEMORY_SIZE => 1048576 + ) port map( + clk => system_clk, + reset => reset, + wb_adr_in => main_memory_adr_in, + wb_dat_in => main_memory_dat_in, + wb_dat_out => main_memory_dat_out, + wb_cyc_in => main_memory_cyc_in, + wb_stb_in => main_memory_stb_in, + wb_sel_in => main_memory_sel_in, + wb_we_in => main_memory_we_in, + wb_ack_out => main_memory_ack_out + ); + main_memory_adr_in <= processor_adr_out(main_memory_adr_in'range); + main_memory_dat_in <= processor_dat_out; + main_memory_we_in <= processor_we_out; + main_memory_sel_in <= processor_sel_out; + main_memory_cyc_in <= processor_cyc_out when intercon_peripheral = PERIPHERAL_MAIN_MEMORY else '0'; + main_memory_stb_in <= processor_stb_out when intercon_peripheral = PERIPHERAL_MAIN_MEMORY else '0'; + +end architecture behaviour; diff --git a/glibc_random.vhdl b/glibc_random.vhdl new file mode 100644 index 0000000..2284e04 --- /dev/null +++ b/glibc_random.vhdl @@ -0,0 +1,38 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.glibc_random_helpers.all; + +package glibc_random is + function pseudorand(a: integer) return std_ulogic_vector; + function pseudorand1 return std_ulogic; +end package glibc_random; + +package body glibc_random is + function pseudorand(a: integer) return std_ulogic_vector is + variable tmp1, tmp2, tmp3, tmp4: std_ulogic_vector(31 downto 0); + variable ret: std_ulogic_vector(63 downto 0); + begin + tmp1 := std_ulogic_vector(to_unsigned(random, 32)); + tmp2 := std_ulogic_vector(to_unsigned(random, 32)); + if a <= 32 then + ret := tmp1 & tmp2; + else + tmp3 := std_ulogic_vector(to_unsigned(random, 32)); + tmp4 := std_ulogic_vector(to_unsigned(random, 32)); + + ret := tmp1(15 downto 0) & tmp2(15 downto 0) & tmp3(15 downto 0) & tmp4(15 downto 0); + end if; + + return ret((a-1) downto 0); + end; + + function pseudorand1 return std_ulogic is + variable tmp: std_ulogic_vector(31 downto 0); + begin + tmp := std_ulogic_vector(to_unsigned(random, 32)); + return tmp(0); + end; +end package body glibc_random; diff --git a/glibc_random_helpers.vhdl b/glibc_random_helpers.vhdl new file mode 100644 index 0000000..ee89645 --- /dev/null +++ b/glibc_random_helpers.vhdl @@ -0,0 +1,19 @@ +package glibc_random_helpers is + procedure srand (v : integer); + attribute foreign of srand : procedure is "VHPIDIRECT srand"; + + function random return integer; + attribute foreign of random : function is "VHPIDIRECT random"; +end glibc_random_helpers; + +package body glibc_random_helpers is + procedure srand (v : integer) is + begin + assert false severity failure; + end srand; + + function random return integer is + begin + assert false severity failure; + end random; +end glibc_random_helpers; diff --git a/helpers.vhdl b/helpers.vhdl new file mode 100644 index 0000000..94cb9fd --- /dev/null +++ b/helpers.vhdl @@ -0,0 +1,209 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; + +package helpers is + function fls_32 (val: std_ulogic_vector(31 downto 0)) return integer; + function ffs_32 (val: std_ulogic_vector(31 downto 0)) return integer; + + function fls_64 (val: std_ulogic_vector(63 downto 0)) return integer; + function ffs_64 (val: std_ulogic_vector(63 downto 0)) return integer; + + function popcnt8(val: std_ulogic_vector(7 downto 0)) return std_ulogic_vector; + function popcnt32(val: std_ulogic_vector(31 downto 0)) return std_ulogic_vector; + function popcnt64(val: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function cmp_one_byte(a, b: std_ulogic_vector(7 downto 0)) return std_ulogic_vector; + + function ppc_signed_compare(a, b: signed(63 downto 0)) return std_ulogic_vector; + function ppc_unsigned_compare(a, b: unsigned(63 downto 0)) return std_ulogic_vector; + + function ra_or_zero(ra: std_ulogic_vector(63 downto 0); reg: std_ulogic_vector(4 downto 0)) return std_ulogic_vector; + + function byte_reverse(val: std_ulogic_vector(63 downto 0); size: integer) return std_ulogic_vector; + + function sign_extend(val: std_ulogic_vector(63 downto 0); size: natural) return std_ulogic_vector; +end package helpers; + +package body helpers is + function fls_32 (val: std_ulogic_vector(31 downto 0)) return integer is + variable ret: integer; + begin + ret := 32; + for i in val'range loop + if val(i) = '1' then + ret := 31 - i; + exit; + end if; + end loop; + + return ret; + end; + + function ffs_32 (val: std_ulogic_vector(31 downto 0)) return integer is + variable ret: integer; + begin + ret := 32; + for i in val'reverse_range loop + if val(i) = '1' then + ret := i; + exit; + end if; + end loop; + + return ret; + end; + + function fls_64 (val: std_ulogic_vector(63 downto 0)) return integer is + variable ret: integer; + begin + ret := 64; + for i in val'range loop + if val(i) = '1' then + ret := 63 - i; + exit; + end if; + end loop; + + return ret; + end; + + function ffs_64 (val: std_ulogic_vector(63 downto 0)) return integer is + variable ret: integer; + begin + ret := 64; + for i in val'reverse_range loop + if val(i) = '1' then + ret := i; + exit; + end if; + end loop; + + return ret; + end; + + function popcnt8(val: std_ulogic_vector(7 downto 0)) return std_ulogic_vector is + variable ret: unsigned(3 downto 0) := (others => '0'); + begin + for i in val'range loop + ret := ret + ("000" & val(i)); + end loop; + + return std_ulogic_vector(resize(ret, val'length)); + end; + + function popcnt32(val: std_ulogic_vector(31 downto 0)) return std_ulogic_vector is + variable ret: unsigned(5 downto 0) := (others => '0'); + begin + for i in val'range loop + ret := ret + ("00000" & val(i)); + end loop; + + return std_ulogic_vector(resize(ret, val'length)); + end; + + function popcnt64(val: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable ret: unsigned(6 downto 0) := (others => '0'); + begin + for i in val'range loop + ret := ret + ("000000" & val(i)); + end loop; + + return std_ulogic_vector(resize(ret, val'length)); + end; + + function cmp_one_byte(a, b: std_ulogic_vector(7 downto 0)) return std_ulogic_vector is + variable ret: std_ulogic_vector(7 downto 0); + begin + if a = b then + ret := x"ff"; + else + ret := x"00"; + end if; + + return ret; + end; + + function ppc_signed_compare(a, b: signed(63 downto 0)) return std_ulogic_vector is + variable ret: std_ulogic_vector(3 downto 0); + begin + if a < b then + ret := "1000"; + elsif a > b then + ret := "0100"; + else + ret := "0010"; + end if; + + return ret; + end; + + function ppc_unsigned_compare(a, b: unsigned(63 downto 0)) return std_ulogic_vector is + variable ret: std_ulogic_vector(3 downto 0); + begin + if a < b then + ret := "1000"; + elsif a > b then + ret := "0100"; + else + ret := "0010"; + end if; + + return ret; + end; + + function ra_or_zero(ra: std_ulogic_vector(63 downto 0); reg: std_ulogic_vector(4 downto 0)) return std_ulogic_vector is + begin + if to_integer(unsigned(reg)) = 0 then + return x"0000000000000000"; + else + return ra; + end if; + end; + + function byte_reverse(val: std_ulogic_vector(63 downto 0); size: integer) return std_ulogic_vector is + variable ret : std_ulogic_vector(63 downto 0) := (others => '0'); + begin + -- Vivado doesn't support non constant vector slices, so we have to code + -- each of these. + case_0: case size is + when 2 => + for_2 : for k in 0 to 1 loop + ret(((8*k)+7) downto (8*k)) := val((8*(1-k)+7) downto (8*(1-k))); + end loop; + when 4 => + for_4 : for k in 0 to 3 loop + ret(((8*k)+7) downto (8*k)) := val((8*(3-k)+7) downto (8*(3-k))); + end loop; + when 8 => + for_8 : for k in 0 to 7 loop + ret(((8*k)+7) downto (8*k)) := val((8*(7-k)+7) downto (8*(7-k))); + end loop; + when others => + report "bad byte reverse length " & integer'image(size) severity failure; + end case; + + return ret; + end; + + function sign_extend(val: std_ulogic_vector(63 downto 0); size: natural) return std_ulogic_vector is + variable ret : signed(63 downto 0) := (others => '0'); + variable upper : integer := 0; + begin + case_0: case size is + when 2 => + upper := 15; + when 4 => + upper := 31; + when 8 => + upper := 63; + when others => + report "bad byte reverse length " & integer'image(size) severity failure; + end case; + + ret := resize(signed(val(upper downto 0)), 64); + return std_ulogic_vector(ret); + end; +end package body helpers; diff --git a/loadstore1.vhdl b/loadstore1.vhdl new file mode 100644 index 0000000..3956c94 --- /dev/null +++ b/loadstore1.vhdl @@ -0,0 +1,55 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; + +-- 2 cycle LSU +-- We calculate the address in the first cycle + +entity loadstore1 is + port ( + clk : in std_ulogic; + + l_in : in Decode2ToLoadstore1Type; + l_out : out Loadstore1ToLoadstore2Type + ); +end loadstore1; + +architecture behave of loadstore1 is + signal l : Decode2ToLoadstore1Type; + signal lsu_sum : std_ulogic_vector(63 downto 0); +begin + -- Calculate the address in the first cycle + lsu_sum <= std_ulogic_vector(unsigned(l.addr1) + unsigned(l.addr2)) when l.valid = '1' else (others => '0'); + + loadstore1_0: process(clk) + begin + if rising_edge(clk) then + l <= l_in; + + if l_in.valid = '1' then + report "execute " & to_hstring(l_in.nia); + report "loadstore1 " & to_hstring(l_in.addr1) & " " & to_hstring(l_in.addr2); + + end if; + + end if; + end process; + + loadstore1_1: process(all) + begin + l_out.valid <= l.valid; + l_out.load <= l.load; + l_out.data <= l.data; + l_out.write_reg <= l.write_reg; + l_out.length <= l.length; + l_out.byte_reverse <= l.byte_reverse; + l_out.sign_extend <= l.sign_extend; + l_out.update <= l.update; + l_out.update_reg <= l.update_reg; + + l_out.addr <= lsu_sum; + end process; +end; diff --git a/loadstore2.vhdl b/loadstore2.vhdl new file mode 100644 index 0000000..7aede4a --- /dev/null +++ b/loadstore2.vhdl @@ -0,0 +1,151 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; +use work.helpers.all; +use work.wishbone_types.all; + +-- 2 cycle LSU +-- In this cycle we read or write any data and do sign extension and update if required. + +entity loadstore2 is + port ( + clk : in std_ulogic; + + l_in : in Loadstore1ToLoadstore2Type; + w_out : out Loadstore2ToWritebackType; + + m_in : in wishbone_slave_out; + m_out : out wishbone_master_out + ); +end loadstore2; + +architecture behave of loadstore2 is + signal l_saved : Loadstore1ToLoadstore2Type; + signal w_tmp : Loadstore2ToWritebackType; + signal m_tmp : wishbone_master_out; + + type state_t is (IDLE, WAITING_FOR_READ_ACK, WAITING_FOR_WRITE_ACK); + signal state : state_t := IDLE; + + function length_to_sel(length : in std_logic_vector(3 downto 0)) return std_ulogic_vector is + begin + case length is + when "0001" => + return "00000001"; + when "0010" => + return "00000011"; + when "0100" => + return "00001111"; + when "1000" => + return "11111111"; + when others => + return "00000000"; + end case; + end function length_to_sel; + + function wishbone_data_shift(address : in std_ulogic_vector(63 downto 0)) return natural is + begin + return to_integer(unsigned(address(2 downto 0))) * 8; + end function wishbone_data_shift; + + function wishbone_data_sel(size : in std_logic_vector(3 downto 0); address : in std_logic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(shift_left(unsigned(length_to_sel(size)), to_integer(unsigned(address(2 downto 0))))); + end function wishbone_data_sel; +begin + w_out <= w_tmp; + m_out <= m_tmp; + + loadstore2_0: process(clk) + variable tmp : std_ulogic_vector(63 downto 0); + variable data : std_ulogic_vector(63 downto 0); + begin + if rising_edge(clk) then + tmp := (others => '0'); + data := (others => '0'); + + w_tmp <= Loadstore2ToWritebackInit; + + l_saved <= l_saved; + + case_0: case state is + when IDLE => + if l_in.valid = '1' then + m_tmp <= wishbone_master_out_init; + + m_tmp.sel <= wishbone_data_sel(l_in.length, l_in.addr); + m_tmp.adr <= l_in.addr(63 downto 3) & "000"; + m_tmp.cyc <= '1'; + m_tmp.stb <= '1'; + + if l_in.load = '1' then + m_tmp.we <= '0'; + + l_saved <= l_in; + state <= WAITING_FOR_READ_ACK; + else + m_tmp.we <= '1'; + + w_tmp.valid <= '1'; + + data := l_in.data; + if l_in.byte_reverse = '1' then + data := byte_reverse(data, to_integer(unsigned(l_in.length))); + end if; + + m_tmp.dat <= std_logic_vector(shift_left(unsigned(data), wishbone_data_shift(l_in.addr))); + + assert l_in.sign_extend = '0' report "sign extension doesn't make sense for stores" severity failure; + + if l_in.update = '1' then + w_tmp.write_enable <= '1'; + w_tmp.write_reg <= l_in.update_reg; + w_tmp.write_data <= l_in.addr; + end if; + + state <= WAITING_FOR_WRITE_ACK; + end if; + end if; + + when WAITING_FOR_READ_ACK => + if m_in.ack = '1' then + tmp := std_logic_vector(shift_right(unsigned(m_in.dat), wishbone_data_shift(l_saved.addr))); + data((to_integer(unsigned(l_saved.length))*8-1) downto 0) := tmp((to_integer(unsigned(l_saved.length))*8-1) downto 0); + + if l_saved.sign_extend = '1' then + data := sign_extend(data, to_integer(unsigned(l_saved.length))); + end if; + + if l_saved.byte_reverse = '1' then + data := byte_reverse(data, to_integer(unsigned(l_saved.length))); + end if; + + w_tmp.write_data <= data; + + -- write data to register file + w_tmp.valid <= '1'; + w_tmp.write_enable <= '1'; + w_tmp.write_reg <= l_saved.write_reg; + + if l_saved.update = '1' then + w_tmp.write_enable2 <= '1'; + w_tmp.write_reg2 <= l_saved.update_reg; + w_tmp.write_data2 <= l_saved.addr; + end if; + + m_tmp <= wishbone_master_out_init; + state <= IDLE; + end if; + + when WAITING_FOR_WRITE_ACK => + if m_in.ack = '1' then + m_tmp <= wishbone_master_out_init; + state <= IDLE; + end if; + end case; + end if; + end process; +end; diff --git a/multiply.vhdl b/multiply.vhdl new file mode 100644 index 0000000..9e3339e --- /dev/null +++ b/multiply.vhdl @@ -0,0 +1,104 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; +use work.decode_types.all; +use work.ppc_fx_insns.all; +use work.crhelpers.all; + +entity multiply is + generic ( + PIPELINE_DEPTH : integer := 6 + ); + port ( + clk : in std_logic; + + m_in : in Decode2ToMultiplyType; + m_out : out MultiplyToWritebackType + ); +end entity multiply; + +architecture behaviour of multiply is + signal m: Decode2ToMultiplyType; + + type multiply_pipeline_stage is record + valid : std_ulogic; + insn_type : insn_type_t; + data : signed(129 downto 0); + write_reg : std_ulogic_vector(4 downto 0); + rc : std_ulogic; + end record; + constant MultiplyPipelineStageInit : multiply_pipeline_stage := (valid => '0', insn_type => OP_ILLEGAL, rc => '0', data => (others => '0'), others => (others => '0')); + + type multiply_pipeline_type is array(0 to PIPELINE_DEPTH-1) of multiply_pipeline_stage; + constant MultiplyPipelineInit : multiply_pipeline_type := (others => MultiplyPipelineStageInit); + + type reg_type is record + multiply_pipeline : multiply_pipeline_type; + end record; + + signal r, rin : reg_type := (multiply_pipeline => MultiplyPipelineInit); +begin + multiply_0: process(clk) + begin + if rising_edge(clk) then + m <= m_in; + r <= rin; + end if; + end process; + + multiply_1: process(all) + variable v : reg_type; + variable d : std_ulogic_vector(129 downto 0); + variable d2 : std_ulogic_vector(63 downto 0); + begin + v := r; + + m_out <= MultiplyToWritebackInit; + + if m.valid then + v.multiply_pipeline(0).valid := '1'; + v.multiply_pipeline(0).insn_type := m.insn_type; + v.multiply_pipeline(0).data := signed(m.data1) * signed(m.data2); + v.multiply_pipeline(0).write_reg := m.write_reg; + v.multiply_pipeline(0).rc := m.rc; + else + v.multiply_pipeline(0).valid := '0'; + end if; + + loop_0: for i in 0 to PIPELINE_DEPTH-2 loop + v.multiply_pipeline(i+1) := r.multiply_pipeline(i); + end loop; + + if v.multiply_pipeline(PIPELINE_DEPTH-1).valid then + d := std_ulogic_vector(v.multiply_pipeline(PIPELINE_DEPTH-1).data); + + case_0: case v.multiply_pipeline(PIPELINE_DEPTH-1).insn_type is + when OP_MUL_L64 => + d2 := d(63 downto 0); + when OP_MUL_H32 => + d2 := d(63 downto 32) & d(63 downto 32); + when OP_MUL_H64 => + d2 := d(127 downto 64); + when others => + report "Illegal insn type in multiplier"; + d2 := (others => '0'); + end case; + + m_out.valid <= '1'; + m_out.write_reg_enable <= '1'; + m_out.write_reg_nr <= v.multiply_pipeline(PIPELINE_DEPTH-1).write_reg; + m_out.write_reg_data <= d2; + + if v.multiply_pipeline(PIPELINE_DEPTH-1).rc = '1' then + m_out.write_cr_enable <= '1'; + m_out.write_cr_mask <= num_to_fxm(0); + m_out.write_cr_data <= ppc_cmpi('1', d2, x"0000") & x"0000000"; + end if; + end if; + + rin <= v; + end process; +end architecture behaviour; diff --git a/multiply_tb.vhdl b/multiply_tb.vhdl new file mode 100644 index 0000000..1eb90db --- /dev/null +++ b/multiply_tb.vhdl @@ -0,0 +1,263 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; +use work.glibc_random.all; +use work.ppc_fx_insns.all; + +entity multiply_tb is +end multiply_tb; + +architecture behave of multiply_tb is + signal clk : std_ulogic; + constant clk_period : time := 10 ns; + + constant pipeline_depth: integer := 6; + + signal m1 : DecodeToMultiplyType; + signal m2 : MultiplyToWritebackType; +begin + multiply_0: entity work.multiply + generic map (PIPELINE_DEPTH => pipeline_depth) + port map (clk => clk, m_in => m1, m_out => m2); + + clk_process: process + begin + clk <= '0'; + wait for clk_period/2; + clk <= '1'; + wait for clk_period/2; + end process; + + stim_process: process + variable ra, rb, rt, behave_rt: std_ulogic_vector(63 downto 0); + variable si: std_ulogic_vector(15 downto 0); + begin + wait for clk_period; + + m1.valid <= '1'; + m1.mul_type <= LOWER_64; + m1.nia <= (others => '0'); + m1.write_reg <= "10001"; + m1.data1 <= '0' & x"0000000000001000"; + m1.data2 <= '0' & x"0000000000001111"; + m1.rc <= '0'; + + wait for clk_period; + assert m2.valid = '0'; + + m1.valid <= '0'; + + wait for clk_period; + assert m2.valid = '0'; + + wait for clk_period; + assert m2.valid = '0'; + + wait for clk_period; + assert m2.valid = '1'; + assert m2.write_enable = '1'; + assert m2.write_reg = "10001"; + assert m2.write_data = x"0000000001111000"; + assert m2.write_cr_enable = '0'; + + wait for clk_period; + assert m2.valid = '0'; + + m1.valid <= '1'; + m1.rc <= '1'; + + wait for clk_period; + assert m2.valid = '0'; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + assert m2.valid = '1'; + assert m2.write_enable = '1'; + assert m2.write_reg = "10001"; + assert m2.write_data = x"0000000001111000"; + assert m2.write_cr_enable = '1'; + assert m2.cr = x"4"; + + -- test mulld + mulld_loop : for i in 0 to 1000 loop + ra := pseudorand(ra'length); + rb := pseudorand(rb'length); + + behave_rt := ppc_mulld(ra, rb); + + m1.data1 <= '0' & ra; + m1.data2 <= '0' & rb; + m1.valid <= '1'; + m1.mul_type <= LOWER_64; + + wait for clk_period; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + + assert m2.valid = '1'; + + assert to_hstring(behave_rt) = to_hstring(m2.write_data) + report "bad mulld expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_data); + end loop; + + -- test mulhdu + mulhdu_loop : for i in 0 to 1000 loop + ra := pseudorand(ra'length); + rb := pseudorand(rb'length); + + behave_rt := ppc_mulhdu(ra, rb); + + m1.data1 <= '0' & ra; + m1.data2 <= '0' & rb; + m1.valid <= '1'; + m1.mul_type <= UPPER_64; + + wait for clk_period; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + + assert m2.valid = '1'; + + assert to_hstring(behave_rt) = to_hstring(m2.write_data) + report "bad mulhdu expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_data); + end loop; + + -- test mulhd + mulhd_loop : for i in 0 to 1000 loop + ra := pseudorand(ra'length); + rb := pseudorand(rb'length); + + behave_rt := ppc_mulhd(ra, rb); + + m1.data1 <= ra(63) & ra; + m1.data2 <= rb(63) & rb; + m1.valid <= '1'; + m1.mul_type <= UPPER_64; + + wait for clk_period; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + + assert m2.valid = '1'; + + assert to_hstring(behave_rt) = to_hstring(m2.write_data) + report "bad mulhd expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_data); + end loop; + + -- test mullw + mullw_loop : for i in 0 to 1000 loop + ra := pseudorand(ra'length); + rb := pseudorand(rb'length); + + behave_rt := ppc_mullw(ra, rb); + + m1.data1 <= (others => ra(31)); + m1.data1(31 downto 0) <= ra(31 downto 0); + m1.data2 <= (others => rb(31)); + m1.data2(31 downto 0) <= rb(31 downto 0); + m1.valid <= '1'; + m1.mul_type <= LOWER_64; + + wait for clk_period; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + + assert m2.valid = '1'; + + assert to_hstring(behave_rt) = to_hstring(m2.write_data) + report "bad mullw expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_data); + end loop; + + -- test mulhw + mulhw_loop : for i in 0 to 1000 loop + ra := pseudorand(ra'length); + rb := pseudorand(rb'length); + + behave_rt := ppc_mulhw(ra, rb); + + m1.data1 <= (others => ra(31)); + m1.data1(31 downto 0) <= ra(31 downto 0); + m1.data2 <= (others => rb(31)); + m1.data2(31 downto 0) <= rb(31 downto 0); + m1.valid <= '1'; + m1.mul_type <= UPPER_32; + + wait for clk_period; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + + assert m2.valid = '1'; + + assert to_hstring(behave_rt) = to_hstring(m2.write_data) + report "bad mulhw expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_data); + end loop; + + -- test mulhwu + mulhwu_loop : for i in 0 to 1000 loop + ra := pseudorand(ra'length); + rb := pseudorand(rb'length); + + behave_rt := ppc_mulhwu(ra, rb); + + m1.data1 <= (others => '0'); + m1.data1(31 downto 0) <= ra(31 downto 0); + m1.data2 <= (others => '0'); + m1.data2(31 downto 0) <= rb(31 downto 0); + m1.valid <= '1'; + m1.mul_type <= UPPER_32; + + wait for clk_period; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + + assert m2.valid = '1'; + + assert to_hstring(behave_rt) = to_hstring(m2.write_data) + report "bad mulhwu expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_data); + end loop; + + -- test mulli + mulli_loop : for i in 0 to 1000 loop + ra := pseudorand(ra'length); + si := pseudorand(si'length); + + behave_rt := ppc_mulli(ra, si); + + m1.data1 <= ra(63) & ra; + m1.data2 <= (others => si(15)); + m1.data2(15 downto 0) <= si; + m1.valid <= '1'; + m1.mul_type <= LOWER_64; + + wait for clk_period; + + m1.valid <= '0'; + + wait for clk_period * (pipeline_depth-1); + + assert m2.valid = '1'; + + assert to_hstring(behave_rt) = to_hstring(m2.write_data) + report "bad mulli expected " & to_hstring(behave_rt) & " got " & to_hstring(m2.write_data); + end loop; + + assert false report "end of test" severity failure; + wait; + end process; +end behave; diff --git a/ppc_fx_insns.vhdl b/ppc_fx_insns.vhdl new file mode 100644 index 0000000..9b23bc1 --- /dev/null +++ b/ppc_fx_insns.vhdl @@ -0,0 +1,749 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.helpers.all; + +package ppc_fx_insns is + function ppc_addi (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_addis (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_add (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_subf (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_neg (ra: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_addic (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_adde (ra, rb: std_ulogic_vector(63 downto 0); carry: std_ulogic) return std_ulogic_vector; + function ppc_subfic (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_subfc (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_subfe (ra, rb: std_ulogic_vector(63 downto 0); carry: std_ulogic) return std_ulogic_vector; + function ppc_addze (ra: std_ulogic_vector(63 downto 0); carry: std_ulogic) return std_ulogic_vector; + + function ppc_andi (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_andis (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_ori (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_oris (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_xori (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_xoris (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_and (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_xor (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_nand (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_or (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_nor (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_andc (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_eqv (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_orc (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_extsb (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_extsh (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_extsw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_cntlzw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_cnttzw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_cntlzd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_cnttzd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_popcntb (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_popcntw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_popcntd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_prtyd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_prtyw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_rlwinm (rs: std_ulogic_vector(63 downto 0); sh, mb, me: std_ulogic_vector(4 downto 0)) return std_ulogic_vector; + function ppc_rlwnm (rs, rb: std_ulogic_vector(63 downto 0); mb, me: std_ulogic_vector(4 downto 0)) return std_ulogic_vector; + function ppc_rlwimi (ra, rs: std_ulogic_vector(63 downto 0); sh, mb, me: std_ulogic_vector(4 downto 0)) return std_ulogic_vector; + function ppc_rldicl (rs: std_ulogic_vector(63 downto 0); sh, mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + function ppc_rldicr (rs: std_ulogic_vector(63 downto 0); sh, me: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + function ppc_rldic (rs: std_ulogic_vector(63 downto 0); sh, mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + function ppc_rldcl (rs, rb: std_ulogic_vector(63 downto 0); mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + function ppc_rldcr (rs, rb: std_ulogic_vector(63 downto 0); me: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + function ppc_rldimi (ra, rs: std_ulogic_vector(63 downto 0); sh, mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + + function ppc_slw (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_srw (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_srawi (rs : std_ulogic_vector(63 downto 0); sh: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + function ppc_sraw (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_sld (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_srd (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_sradi (rs: std_ulogic_vector(63 downto 0); sh: std_ulogic_vector(5 downto 0)) return std_ulogic_vector; + function ppc_srad (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_mulld (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_mulhd (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_mulhdu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_mulli (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_mullw (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_mulhw (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_mulhwu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_cmpi (l: std_ulogic; ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_cmp (l: std_ulogic; ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_cmpli (l: std_ulogic; ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector; + function ppc_cmpl (l: std_ulogic; ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_cmpb (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_divw (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_divdu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_divd (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + function ppc_divwu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector; + + function ppc_b (nia: std_ulogic_vector(63 downto 0); bd: std_ulogic_vector(23 downto 0)) return std_ulogic_vector; + function ppc_bc_taken(bo, bi: std_ulogic_vector(4 downto 0); cr: std_ulogic_vector(31 downto 0); ctr: std_ulogic_vector(63 downto 0)) return integer; + function ppc_bcctr_taken(bo, bi: std_ulogic_vector(4 downto 0); cr: std_ulogic_vector(31 downto 0)) return integer; +end package ppc_fx_insns; + +package body ppc_fx_insns is + function ppc_addi (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(signed(ra) + signed(si)); + end; + + function ppc_addic (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return std_logic_vector(resize(unsigned(ra), 65) + unsigned(resize(signed(si), 64))); + end; + + function ppc_adde (ra, rb: std_ulogic_vector(63 downto 0); carry: std_ulogic) return std_ulogic_vector is + begin + return std_logic_vector(resize(unsigned(ra), 65) + resize(unsigned(rb), 65) + carry); + end; + + function ppc_subfic (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return std_logic_vector(unsigned(resize(signed(si), 64)) + resize(unsigned(not(ra)), 65) + 1); + end; + + function ppc_subfc (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_logic_vector(resize(unsigned(rb), 65) + resize(unsigned(not(ra)), 65) + 1); + end; + + function ppc_subfe (ra, rb: std_ulogic_vector(63 downto 0); carry: std_ulogic) return std_ulogic_vector is + begin + return std_logic_vector(resize(unsigned(rb), 65) + resize(unsigned(not(ra)), 65) + carry); + end; + + function ppc_addze (ra: std_ulogic_vector(63 downto 0); carry: std_ulogic) return std_ulogic_vector is + begin + return std_logic_vector(resize(unsigned(ra), 65) + carry); + end; + + function ppc_addis (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(signed(ra) + shift_left(resize(signed(si), 32), 16)); + end; + + function ppc_add (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(signed(ra) + signed(rb)); + end; + + function ppc_subf (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(signed(rb) - signed(ra)); + end; + + function ppc_neg (ra: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(-signed(ra)); + end; + + function ppc_andi (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return rs and std_ulogic_vector(resize(unsigned(ui), 64)); + end; + + function ppc_andis (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return rs and std_ulogic_vector(shift_left(resize(unsigned(ui), 64), 16)); + end; + + function ppc_ori (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return rs or std_ulogic_vector(resize(unsigned(ui), 64)); + end; + + function ppc_oris (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return rs or std_ulogic_vector(shift_left(resize(unsigned(ui), 64), 16)); + end; + + function ppc_xori (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return rs xor std_ulogic_vector(resize(unsigned(ui), 64)); + end; + + function ppc_xoris (rs: std_ulogic_vector(63 downto 0); ui: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + begin + return rs xor std_ulogic_vector(shift_left(resize(unsigned(ui), 64), 16)); + end; + + function ppc_and (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return rs and rb; + end; + + function ppc_xor (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return rs xor rb; + end; + + function ppc_nand (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return rs nand rb; + end; + + function ppc_or (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return rs or rb; + end; + + function ppc_nor (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return rs nor rb; + end; + + function ppc_andc (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return rs and not(rb); + end; + + function ppc_eqv (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return not(rs xor rb); + end; + + function ppc_orc (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return rs or not(rb); + end; + + function ppc_extsb (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(resize(signed(rs(7 downto 0)), rs'length)); + end; + + function ppc_extsh (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(resize(signed(rs(15 downto 0)), rs'length)); + end; + + function ppc_extsw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(resize(signed(rs(31 downto 0)), rs'length)); + end; + + function ppc_cntlzw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(to_unsigned(fls_32(rs(31 downto 0)), rs'length)); + end; + + function ppc_cnttzw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(to_unsigned(ffs_32(rs(31 downto 0)), rs'length)); + end; + + function ppc_cntlzd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(to_unsigned(fls_64(rs), rs'length)); + end; + + function ppc_cnttzd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(to_unsigned(ffs_64(rs), rs'length)); + end; + + function ppc_popcntb (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable ret: std_ulogic_vector (rs'range); + variable hi: integer; + variable lo: integer; + begin + ret := (others => '0'); + + for i in 1 to 8 loop + hi := (8*i)-1; + lo := 8*(i-1); + ret(hi downto lo) := popcnt8(rs(hi downto lo)); + end loop; + + return ret; + end; + + function ppc_popcntw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable ret: std_ulogic_vector (rs'range); + variable hi: integer; + variable lo: integer; + begin + ret := (others => '0'); + + for i in 1 to 2 loop + hi := (32*i)-1; + lo := 32*(i-1); + ret(hi downto lo) := popcnt32(rs(hi downto lo)); + end loop; + + return ret; + end; + + function ppc_popcntd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return popcnt64(rs); + end; + + function ppc_prtyd (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp : std_ulogic; + variable ret : std_ulogic_vector(63 downto 0); + begin + ret := (others => '0'); + + tmp := '0'; + for i in 0 to 7 loop + tmp := tmp xor rs(i*8); + end loop; + + ret(0) := tmp; + return ret; + end; + + function ppc_prtyw (rs: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp : std_ulogic; + variable ret : std_ulogic_vector(63 downto 0); + begin + ret := (others => '0'); + + tmp := '0'; + for i in 0 to 3 loop + tmp := tmp xor rs(i*8); + end loop; + ret(0) := tmp; + + tmp := '0'; + for i in 4 to 7 loop + tmp := tmp xor rs(i*8); + end loop; + ret(32) := tmp; + + return ret; + end; + + function ppc_rlwinm (rs: std_ulogic_vector(63 downto 0); sh, mb, me: std_ulogic_vector(4 downto 0)) return std_ulogic_vector is + variable hi, lo : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + begin + hi := 31 - to_integer(unsigned(mb)); + lo := 31 - to_integer(unsigned(me)); + tmp1 := rs(31 downto 0) & rs(31 downto 0); + tmp1 := std_ulogic_vector(rotate_left(unsigned(tmp1), to_integer(unsigned(sh)))); + tmp2 := (others => '0'); + if hi < lo then + -- Mask wraps around + tmp2(63 downto lo) := tmp1(63 downto lo); + tmp2(hi downto 0) := tmp1(hi downto 0); + else + tmp2(hi downto lo) := tmp1(hi downto lo); + end if; + return tmp2; + end; + + function ppc_rlwnm (rs, rb: std_ulogic_vector(63 downto 0); mb, me: std_ulogic_vector(4 downto 0)) return std_ulogic_vector is + variable hi, lo : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + variable n : integer; + begin + hi := 31 - to_integer(unsigned(mb)); + lo := 31 - to_integer(unsigned(me)); + n := to_integer(unsigned(rb(4 downto 0))); + tmp1 := rs(31 downto 0) & rs(31 downto 0); + tmp1 := std_ulogic_vector(rotate_left(unsigned(tmp1), n)); + tmp2 := (others => '0'); + if hi < lo then + -- Mask wraps around + tmp2(63 downto lo) := tmp1(63 downto lo); + tmp2(hi downto 0) := tmp1(hi downto 0); + else + tmp2(hi downto lo) := tmp1(hi downto lo); + end if; + return tmp2; + end; + + function ppc_rlwimi (ra, rs: std_ulogic_vector(63 downto 0); sh, mb, me: std_ulogic_vector(4 downto 0)) return std_ulogic_vector is + variable hi, lo : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + begin + hi := 31 - to_integer(unsigned(mb)); + lo := 31 - to_integer(unsigned(me)); + tmp1 := rs(31 downto 0) & rs(31 downto 0); + tmp1 := std_ulogic_vector(rotate_left(unsigned(tmp1), to_integer(unsigned(sh)))); + tmp2 := ra; + if hi < lo then + -- Mask wraps around + tmp2(63 downto lo) := tmp1(63 downto lo); + tmp2(hi downto 0) := tmp1(hi downto 0); + else + tmp2(hi downto lo) := tmp1(hi downto lo); + end if; + return tmp2; + end; + + function ppc_rldicl (rs: std_ulogic_vector(63 downto 0); sh, mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable hi : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + begin + hi := 63-to_integer(unsigned(mb)); + tmp1 := std_ulogic_vector(rotate_left(unsigned(rs), to_integer(unsigned(sh)))); + tmp2 := (others => '0'); + tmp2(hi downto 0) := tmp1(hi downto 0); + return tmp2; + end; + + function ppc_rldicr (rs: std_ulogic_vector(63 downto 0); sh, me: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable lo : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + begin + lo := 63-to_integer(unsigned(me)); + tmp1 := std_ulogic_vector(rotate_left(unsigned(rs), to_integer(unsigned(sh)))); + tmp2 := (others => '0'); + tmp2(63 downto lo) := tmp1(63 downto lo); + return tmp2; + end; + + function ppc_rldic (rs: std_ulogic_vector(63 downto 0); sh, mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable hi, lo : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + begin + hi := 63-to_integer(unsigned(mb)); + lo := to_integer(unsigned(sh)); + tmp1 := std_ulogic_vector(rotate_left(unsigned(rs), to_integer(unsigned(sh)))); + tmp2 := (others => '0'); + if hi < lo then + -- Mask wraps around + tmp2(63 downto lo) := tmp1(63 downto lo); + tmp2(hi downto 0) := tmp1(hi downto 0); + else + tmp2(hi downto lo) := tmp1(hi downto lo); + end if; + return tmp2; + end; + + function ppc_rldcl (rs, rb: std_ulogic_vector(63 downto 0); mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable hi : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + begin + hi := 63-to_integer(unsigned(mb)); + tmp1 := std_ulogic_vector(rotate_left(unsigned(rs), to_integer(unsigned(rb(5 downto 0))))); + tmp2 := (others => '0'); + tmp2(hi downto 0) := tmp1(hi downto 0); + return tmp2; + end; + + function ppc_rldcr (rs, rb: std_ulogic_vector(63 downto 0); me: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable lo : integer; + variable tmp1, tmp2 : std_ulogic_vector(63 downto 0); + begin + lo := 63-to_integer(unsigned(me)); + tmp1 := std_ulogic_vector(rotate_left(unsigned(rs), to_integer(unsigned(rb(5 downto 0))))); + tmp2 := (others => '0'); + tmp2(63 downto lo) := tmp1(63 downto lo); + return tmp2; + end; + + function ppc_rldimi (ra, rs: std_ulogic_vector(63 downto 0); sh, mb: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable hi, lo : integer; + variable tmp1, tmp2 : std_ulogic_vector(rs'range); + begin + hi := 63-to_integer(unsigned(mb)); + lo := to_integer(unsigned(sh)); + tmp1 := std_ulogic_vector(rotate_left(unsigned(rs), lo)); + tmp2 := ra; + if hi < lo then + -- Mask wraps around + tmp2(63 downto lo) := tmp1(63 downto lo); + tmp2(hi downto 0) := tmp1(hi downto 0); + else + tmp2(hi downto lo) := tmp1(hi downto lo); + end if; + return tmp2; + end; + + function ppc_slw (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable n : integer; + variable tmp : unsigned(31 downto 0); + begin + n := to_integer(unsigned(rb(5 downto 0))); + tmp := shift_left(unsigned(rs(31 downto 0)), n); + + return (63 downto 32 => '0') & std_ulogic_vector(tmp); + end; + + function ppc_srw (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable n : integer; + variable tmp : unsigned(31 downto 0); + begin + n := to_integer(unsigned(rb(5 downto 0))); + tmp := shift_right(unsigned(rs(31 downto 0)), n); + + return (63 downto 32 => '0') & std_ulogic_vector(tmp); + end; + + function ppc_srawi (rs : std_ulogic_vector(63 downto 0); sh: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable n : integer; + variable tmp : signed(31 downto 0); + variable carry: std_ulogic; + begin + n := to_integer(unsigned(sh)); + tmp := shift_right(signed(rs(31 downto 0)), n); + -- what about n = 0? + carry := or rs(n-1 downto 0) and rs(31); + + return carry & std_ulogic_vector(resize(tmp, rs'length)); + end; + + function ppc_sraw (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable n : natural; + variable tmp : signed(31 downto 0); + variable carry: std_ulogic; + begin + n := to_integer(unsigned(rb(5 downto 0))); + tmp := shift_right(signed(rs(31 downto 0)), n); + -- what about n = 0? + carry := or rs(n-1 downto 0) and rs(31); + + return carry & std_ulogic_vector(resize(tmp, rs'length)); + end; + + function ppc_sld (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable n : integer; + begin + n := to_integer(unsigned(rb(6 downto 0))); + return std_ulogic_vector(shift_left(unsigned(rs), n)); + end; + + function ppc_srd (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable n : integer; + begin + n := to_integer(unsigned(rb(6 downto 0))); + return std_ulogic_vector(shift_right(unsigned(rs), n)); + end; + + function ppc_sradi (rs: std_ulogic_vector(63 downto 0); sh: std_ulogic_vector(5 downto 0)) return std_ulogic_vector is + variable n : integer; + variable carry: std_ulogic; + begin + n := to_integer(unsigned(sh)); + -- what about n = 0? + carry := or rs(n-1 downto 0) and rs(63); + + return carry & std_ulogic_vector(shift_right(signed(rs), n)); + end; + + function ppc_srad (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable n : integer; + variable carry: std_ulogic; + begin + n := to_integer(unsigned(rb(6 downto 0))); + -- what about n = 0? + carry := or rs(n-1 downto 0) and rs(63); + + return carry & std_ulogic_vector(shift_right(signed(rs), n)); + end; + + -- Not sure how to better communicate the top 64 bits of the result is unused + function ppc_mulld (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: signed(127 downto 0); + begin + tmp := signed(ra) * signed(rb); + return std_ulogic_vector(tmp(63 downto 0)); + end; + + -- Not sure how to better communicate the top 64 bits of the result is unused + function ppc_mulhd (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: signed(127 downto 0); + begin + tmp := signed(ra) * signed(rb); + return std_ulogic_vector(tmp(127 downto 64)); + end; + + -- Not sure how to better communicate the top 64 bits of the result is unused + function ppc_mulhdu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: unsigned(127 downto 0); + begin + tmp := unsigned(ra) * unsigned(rb); + return std_ulogic_vector(tmp(127 downto 64)); + end; + + -- Not sure how to better communicate the top 16 bits of the result is unused + function ppc_mulli (ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + variable tmp: signed(79 downto 0); + begin + tmp := signed(ra) * signed(si); + return std_ulogic_vector(tmp(63 downto 0)); + end; + + function ppc_mullw (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(signed(ra(31 downto 0)) * signed(rb(31 downto 0))); + end; + + function ppc_mulhw (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: signed(63 downto 0); + begin + tmp := signed(ra(31 downto 0)) * signed(rb(31 downto 0)); + return std_ulogic_vector(tmp(63 downto 32)) & std_ulogic_vector(tmp(63 downto 32)); + end; + + function ppc_mulhwu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: unsigned(63 downto 0); + begin + tmp := unsigned(ra(31 downto 0)) * unsigned(rb(31 downto 0)); + return std_ulogic_vector(tmp(63 downto 32)) & std_ulogic_vector(tmp(63 downto 32)); + end; + + function ppc_cmpi (l: std_ulogic; ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + variable tmp: signed(ra'range); + begin + tmp := signed(ra); + if l = '0' then + tmp := resize(signed(ra(31 downto 0)), tmp'length); + end if; + + return ppc_signed_compare(tmp, resize(signed(si), tmp'length)); + end; + + function ppc_cmp (l: std_ulogic; ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmpa, tmpb: signed(ra'range); + begin + tmpa := signed(ra); + tmpb := signed(rb); + if l = '0' then + tmpa := resize(signed(ra(31 downto 0)), ra'length); + tmpb := resize(signed(rb(31 downto 0)), ra'length); + end if; + + return ppc_signed_compare(tmpa, tmpb); + end; + + function ppc_cmpli (l: std_ulogic; ra: std_ulogic_vector(63 downto 0); si: std_ulogic_vector(15 downto 0)) return std_ulogic_vector is + variable tmp: unsigned(ra'range); + begin + tmp := unsigned(ra); + if l = '0' then + tmp := resize(unsigned(ra(31 downto 0)), tmp'length); + end if; + + return ppc_unsigned_compare(tmp, resize(unsigned(si), tmp'length)); + end; + + function ppc_cmpl (l: std_ulogic; ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmpa, tmpb: unsigned(ra'range); + begin + tmpa := unsigned(ra); + tmpb := unsigned(rb); + if l = '0' then + tmpa := resize(unsigned(ra(31 downto 0)), ra'length); + tmpb := resize(unsigned(rb(31 downto 0)), ra'length); + end if; + + return ppc_unsigned_compare(tmpa, tmpb); + end; + + function ppc_cmpb (rs, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable ret: std_ulogic_vector (rs'range); + variable hi: integer; + variable lo: integer; + begin + for i in 1 to 8 loop + hi := (8*i)-1; + lo := 8*(i-1); + ret(hi downto lo) := cmp_one_byte(rs(hi downto lo), rb(hi downto lo)); + end loop; + + return ret; + end; + + -- Not synthesizable + function ppc_divw (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: signed(31 downto 0); + begin + tmp := signed(ra(31 downto 0)) / signed(rb(31 downto 0)); + + return (63 downto 32 => '0') & std_ulogic_vector(tmp); + end; + + function ppc_divdu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: unsigned(63 downto 0) := (others => '0'); + begin + if unsigned(rb) /= 0 then + tmp := unsigned(ra) / unsigned(rb); + end if; + + return std_ulogic_vector(tmp); + end; + + function ppc_divd (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: signed(63 downto 0) := (others => '0'); + begin + if signed(rb) /= 0 then + tmp := signed(ra) / signed(rb); + end if; + + return std_ulogic_vector(tmp); + end; + + function ppc_divwu (ra, rb: std_ulogic_vector(63 downto 0)) return std_ulogic_vector is + variable tmp: unsigned(31 downto 0) := (others => '0'); + begin + if unsigned(rb(31 downto 0)) /= 0 then + tmp := unsigned(ra(31 downto 0)) / unsigned(rb(31 downto 0)); + end if; + + return std_ulogic_vector(resize(tmp, ra'length)); + end; + + function ppc_b (nia: std_ulogic_vector(63 downto 0); bd: std_ulogic_vector(23 downto 0)) return std_ulogic_vector is + begin + return std_ulogic_vector(signed(nia) + signed(bd & "00")); + end; + + function ppc_bc_taken(bo, bi: std_ulogic_vector(4 downto 0); cr: std_ulogic_vector(31 downto 0); ctr: std_ulogic_vector(63 downto 0)) return integer is + variable crfield: integer; + variable crbit_match: std_ulogic; + variable ctr_not_zero: std_ulogic; + variable ctr_ok: std_ulogic; + variable cond_ok: std_ulogic; + variable ret: integer; + begin + crfield := to_integer(unsigned(bi)); + -- BE bit numbering + crbit_match := '1' when cr(31-crfield) = bo(4-1) else '0'; + -- We check this before it is decremented + ctr_not_zero := '1' when ctr /= x"0000000000000001" else '0'; + ctr_ok := bo(4-2) or (ctr_not_zero xor bo(4-3)); + cond_ok := bo(4-0) or crbit_match; + if ctr_ok = '1' and cond_ok = '1' then + ret := 1; + else + ret := 0; + end if; + return ret; + end; + + function ppc_bcctr_taken(bo, bi: std_ulogic_vector(4 downto 0); cr: std_ulogic_vector(31 downto 0)) return integer is + variable crfield: integer; + variable crbit_match: std_ulogic; + variable cond_ok: std_ulogic; + variable ret: integer; + begin + crfield := to_integer(unsigned(bi)); + -- BE bit numbering + crbit_match := '1' when cr(31-crfield) = bo(4-1) else '0'; + cond_ok := bo(4-0) or crbit_match; + if cond_ok = '1' then + ret := 1; + else + ret := 0; + end if; + return ret; + end; +end package body ppc_fx_insns; diff --git a/register_file.vhdl b/register_file.vhdl new file mode 100644 index 0000000..aa2ae9f --- /dev/null +++ b/register_file.vhdl @@ -0,0 +1,68 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.common.all; + +entity register_file is + port( + clk : in std_logic; + + d_in : in Decode2ToRegisterFileType; + d_out : out RegisterFileToDecode2Type; + + w_in : in WritebackToRegisterFileType; + + -- debug + registers_out : out regfile + ); +end entity register_file; + +architecture behaviour of register_file is + signal registers : regfile := (others => (others => '0')); +begin + -- synchronous writes + register_write_0: process(clk) + begin + if rising_edge(clk) then + if w_in.write_enable = '1' then + assert not(is_x(w_in.write_data)) and not(is_x(w_in.write_reg)) severity failure; + report "Writing " & to_hstring(w_in.write_data) & " to " & to_hstring(w_in.write_reg); + registers(to_integer(unsigned(w_in.write_reg))) <= w_in.write_data; + end if; + if w_in.write_enable2 = '1' then + assert not(is_x(w_in.write_data2)) and not(is_x(w_in.write_reg2)) severity failure; + report "Writing " & to_hstring(w_in.write_data2) & " to " & to_hstring(w_in.write_reg2); + registers(to_integer(unsigned(w_in.write_reg2))) <= w_in.write_data2; + end if; + end if; + end process register_write_0; + + -- asynchronous reads + register_read_0: process(all) + begin + report "read " & to_hstring(d_in.read1_reg) & " " & to_hstring(registers(to_integer(unsigned(d_in.read1_reg)))); + report "read " & to_hstring(d_in.read2_reg) & " " & to_hstring(registers(to_integer(unsigned(d_in.read2_reg)))); + report "read " & to_hstring(d_in.read3_reg) & " " & to_hstring(registers(to_integer(unsigned(d_in.read3_reg)))); + d_out.read1_data <= registers(to_integer(unsigned(d_in.read1_reg))); + d_out.read2_data <= registers(to_integer(unsigned(d_in.read2_reg))); + d_out.read3_data <= registers(to_integer(unsigned(d_in.read3_reg))); + + -- Forward any written data + --if w_in.write_enable = '1' then + --if d_in.read1_reg = w_in.write_reg then + --d_out.read1_data <= w_in.write_data; + --end if; + --if d_in.read2_reg = w_in.write_reg then + --d_out.read2_data <= w_in.write_data; + --end if; + --if d_in.read3_reg = w_in.write_reg then + --d_out.read3_data <= w_in.write_data; + --end if; + --end if; + end process register_read_0; + + -- debug + registers_out <= registers; +end architecture behaviour; diff --git a/scripts/dependencies.py b/scripts/dependencies.py new file mode 100755 index 0000000..a06696f --- /dev/null +++ b/scripts/dependencies.py @@ -0,0 +1,25 @@ +#!/usr/bin/python3 + +# Create makefile dependencies for VHDL files, looking for "use work" and +# "entity work" declarations + +import sys +import re + +work = re.compile('use work\.([^.]+)\.') +entity = re.compile('entity work\.(.*)') + +for filename in sys.argv[1:]: + with open(filename, 'r') as f: + (basename, suffix) = filename.split('.') + print('%s.o:' % basename, end='') + + for line in f: + m = work.search(line) + if m: + print(' %s.o' % m.group(1), end='') + + m = entity.search(line) + if m: + print(' %s.o' % m.group(1), end='') + print() diff --git a/scripts/hash.py b/scripts/hash.py new file mode 100755 index 0000000..3b84a29 --- /dev/null +++ b/scripts/hash.py @@ -0,0 +1,16 @@ +#!/usr/bin/python3 + +import re +import fileinput + +r = re.compile("REG ([0-9A-F]+)"); + +regs = list() + +for line in fileinput.input(): + m = r.search(line) + if m: + regs.append(int(m.group(1), 16)) + #print("%016X"% int(m.group(1), 16)) + +print("%x" % hash(tuple(regs))) diff --git a/scripts/run_test.sh b/scripts/run_test.sh new file mode 100755 index 0000000..b6f2ee6 --- /dev/null +++ b/scripts/run_test.sh @@ -0,0 +1,33 @@ +#!/bin/bash + +if [ $# -ne 1 ]; then + echo "Usage: run_test.sh " + exit 1 +fi + +TEST=$1 + +TMPDIR=$(mktemp -d) + +function finish { + rm -rf "$TMPDIR" +} + +trap finish EXIT + +MICROWATT_DIR=$PWD + +Y=$(${MICROWATT_DIR}/scripts/hash.py tests/${TEST}.out) + +cd $TMPDIR + +cp ${MICROWATT_DIR}/tests/${TEST}.bin simple_ram_behavioural.bin + +X=$( ${MICROWATT_DIR}/core_tb | ${MICROWATT_DIR}/scripts/hash.py ) + +if [ $X == $Y ]; then + echo "$TEST PASS" +else + echo "$TEST FAIL ********" + exit 1 +fi diff --git a/scripts/test_micropython.py b/scripts/test_micropython.py new file mode 100755 index 0000000..d7ffb2d --- /dev/null +++ b/scripts/test_micropython.py @@ -0,0 +1,40 @@ +#!/usr/bin/python3 + +import tempfile +import os +from shutil import copyfile +import subprocess +from pexpect import fdpexpect +import sys +import signal + +tempdir = tempfile.TemporaryDirectory() +cwd = os.getcwd() +os.chdir(tempdir.name) + +copyfile(os.path.join(cwd, 'tests/micropython.bin'), + os.path.join(tempdir.name, 'simple_ram_behavioural.bin')) + +cmd = [ os.path.join(cwd, './core_tb') ] + +devNull = open(os.devnull, 'w') +p = subprocess.Popen(cmd, stdout=devNull, + stdin=subprocess.PIPE, stderr=subprocess.PIPE) + +exp = fdpexpect.fdspawn(p.stderr) +exp.logfile = sys.stdout.buffer + +exp.expect('Type "help\(\)" for more information.') +exp.expect('>>>') + +p.stdin.write(b'print("foo")\r\n') +p.stdin.flush() + +# Catch the command echoed back to the console +exp.expect('foo', timeout=600) + +# Now catch the output +exp.expect('foo', timeout=600) +exp.expect('>>>') + +os.kill(p.pid, signal.SIGKILL) diff --git a/scripts/test_micropython_long.py b/scripts/test_micropython_long.py new file mode 100755 index 0000000..805c6b2 --- /dev/null +++ b/scripts/test_micropython_long.py @@ -0,0 +1,48 @@ +#!/usr/bin/python3 + +import tempfile +import os +from shutil import copyfile +import subprocess +from pexpect import fdpexpect +import sys +import signal + +tempdir = tempfile.TemporaryDirectory() +cwd = os.getcwd() +os.chdir(tempdir.name) + +copyfile(os.path.join(cwd, 'tests/micropython.bin'), + os.path.join(tempdir.name, 'simple_ram_behavioural.bin')) + +cmd = [ os.path.join(cwd, './core_tb') ] + +devNull = open(os.devnull, 'w') +p = subprocess.Popen(cmd, stdout=devNull, + stdin=subprocess.PIPE, stderr=subprocess.PIPE) + +exp = fdpexpect.fdspawn(p.stderr) +exp.logfile = sys.stdout.buffer + +exp.expect('Type "help\(\)" for more information.') +exp.expect('>>>') + +p.stdin.write(b'n2=0\r\n') +p.stdin.write(b'n1=1\r\n') +p.stdin.write(b'for i in range(5):\r\n') +p.stdin.write(b' n0 = n1 + n2\r\n') +p.stdin.write(b' print(n0)\r\n') +p.stdin.write(b' n2 = n1\r\n') +p.stdin.write(b' n1 = n0\r\n') +p.stdin.write(b'\r\n') +p.stdin.flush() + +exp.expect('n1 = n0', timeout=600) +exp.expect('1', timeout=600) +exp.expect('2', timeout=600) +exp.expect('3', timeout=600) +exp.expect('5', timeout=600) +exp.expect('8', timeout=600) +exp.expect('>>>', timeout=600) + +os.kill(p.pid, signal.SIGKILL) diff --git a/sim_console.vhdl b/sim_console.vhdl new file mode 100644 index 0000000..2f431bf --- /dev/null +++ b/sim_console.vhdl @@ -0,0 +1,30 @@ +library ieee; +use ieee.std_logic_1164.all; + +package sim_console is + procedure sim_console_read (val: out std_ulogic_vector(63 downto 0)); + attribute foreign of sim_console_read : procedure is "VHPIDIRECT sim_console_read"; + + procedure sim_console_poll (val: out std_ulogic_vector(63 downto 0)); + attribute foreign of sim_console_poll : procedure is "VHPIDIRECT sim_console_poll"; + + procedure sim_console_write (val: std_ulogic_vector(63 downto 0)); + attribute foreign of sim_console_write : procedure is "VHPIDIRECT sim_console_write"; +end sim_console; + +package body sim_console is + procedure sim_console_read (val: out std_ulogic_vector(63 downto 0)) is + begin + assert false report "VHPI" severity failure; + end sim_console_read; + + procedure sim_console_poll (val: out std_ulogic_vector(63 downto 0)) is + begin + assert false report "VHPI" severity failure; + end sim_console_poll; + + procedure sim_console_write (val: std_ulogic_vector(63 downto 0)) is + begin + assert false report "VHPI" severity failure; + end sim_console_write; +end sim_console; diff --git a/sim_console_c.c b/sim_console_c.c new file mode 100644 index 0000000..0a89fb2 --- /dev/null +++ b/sim_console_c.c @@ -0,0 +1,136 @@ +#include +#include +#include +#include +#include +#include +#include +#include + + +#define vhpi0 2 /* forcing 0 */ +#define vhpi1 3 /* forcing 1 */ + +static uint64_t from_std_logic_vector(unsigned char *p, unsigned long len) +{ + unsigned long ret = 0; + + if (len > 64) { + fprintf(stderr, "%s: invalid length %lu\n", __func__, len); + exit(1); + } + + for (unsigned long i = 0; i < len; i++) { + unsigned char bit; + + if (*p == vhpi0) { + bit = 0; + } else if (*p == vhpi1) { + bit = 1; + } else { + fprintf(stderr, "%s: bad bit %d\n", __func__, *p); + bit = 0; + } + + ret = (ret << 1) | bit; + p++; + } + + return ret; +} + +static void to_std_logic_vector(unsigned long val, unsigned char *p, + unsigned long len) +{ + if (len > 64) { + fprintf(stderr, "%s: invalid length %lu\n", __func__, len); + exit(1); + } + + for (unsigned long i = 0; i < len; i++) { + if ((val >> (len-1-i) & 1)) + *p = vhpi1; + else + *p = vhpi0; + + p++; + } +} + +static struct termios oldt; + +static void restore_termios(void) +{ + tcsetattr(STDIN_FILENO, TCSANOW, &oldt); +} + +static void nonblocking(void) +{ + static bool initialized = false; + + if (!initialized) { + static struct termios newt; + + tcgetattr(STDIN_FILENO, &oldt); + newt = oldt; + newt.c_lflag &= ~(ICANON|ECHO); + + newt.c_iflag &= ~(BRKINT | ICRNL | INPCK | ISTRIP | IXON); + newt.c_oflag &= ~(OPOST); + newt.c_cflag |= (CS8); + newt.c_lflag &= ~(ECHO | ICANON | IEXTEN | ISIG); + + tcsetattr(STDIN_FILENO, TCSANOW, &newt); + initialized = true; + atexit(restore_termios); + } +} + +void sim_console_read(unsigned char *__rt) +{ + int ret; + unsigned long val = 0; + + nonblocking(); + + ret = read(STDIN_FILENO, &val, 1); + if (ret != 1) { + fprintf(stderr, "%s: read of stdin returns %d\n", __func__, ret); + exit(1); + } + + //fprintf(stderr, "read returns %c\n", val); + + to_std_logic_vector(val, __rt, 64); +} + +void sim_console_poll(unsigned char *__rt) +{ + int ret; + struct pollfd fdset[1]; + uint8_t val = 0; + + nonblocking(); + + memset(fdset, 0, sizeof(fdset)); + + fdset[0].fd = STDIN_FILENO; + fdset[0].events = POLLIN; + + ret = poll(fdset, 1, -1); + //fprintf(stderr, "poll returns %d\n", ret); + + if (ret == 1) + val = 1; + + to_std_logic_vector(val, __rt, 64); +} + +void sim_console_write(unsigned char *__rs) +{ + uint8_t val; + + val = from_std_logic_vector(__rs, 64); + + fprintf(stderr, "%c", val); +} diff --git a/simple_ram_behavioural.vhdl b/simple_ram_behavioural.vhdl new file mode 100644 index 0000000..c39af3e --- /dev/null +++ b/simple_ram_behavioural.vhdl @@ -0,0 +1,81 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; +use std.textio.all; + +library work; +use work.wishbone_types.all; +use work.simple_ram_behavioural_helpers.all; + +entity simple_ram_behavioural is + generic ( + FILENAME : string; + SIZE : integer + ); + + port ( + clk : in std_ulogic; + rst : in std_ulogic; + + wishbone_in : in wishbone_master_out; + wishbone_out : out wishbone_slave_out + ); +end simple_ram_behavioural; + +architecture behave of simple_ram_behavioural is + type wishbone_state_t is (IDLE, ACK); + + signal state : wishbone_state_t := IDLE; + signal ret_ack : std_ulogic := '0'; + signal identifier : integer := behavioural_initialize(filename => FILENAME, size => SIZE); + signal reload : integer := 0; +begin + wishbone_process: process(clk) + variable ret_dat: std_ulogic_vector(63 downto 0) := (others => '0'); + begin + wishbone_out.ack <= ret_ack and wishbone_in.cyc and wishbone_in.stb; + wishbone_out.dat <= ret_dat; + + if rising_edge(clk) then + if rst = '1' then + state <= IDLE; + ret_ack <= '0'; + report "MEM RST"; + else + ret_dat := x"XXXXXXXXXXXXXXXX"; + + -- Active + if wishbone_in.cyc = '1' then + report "MEM CYC"; + case state is + when IDLE => + report "MEM IDLE"; + if wishbone_in.stb = '1' then + -- write + if wishbone_in.we = '1' then + assert not(is_x(wishbone_in.dat)) and not(is_x(wishbone_in.adr)) severity failure; + report "RAM writing " & to_hstring(wishbone_in.dat) & " to " & to_hstring(wishbone_in.adr); + behavioural_write(wishbone_in.dat, wishbone_in.adr, to_integer(unsigned(wishbone_in.sel)), identifier); + reload <= reload + 1; + ret_ack <= '1'; + state <= ACK; + else + behavioural_read(ret_dat, wishbone_in.adr, to_integer(unsigned(wishbone_in.sel)), identifier, reload); + report "RAM reading from " & to_hstring(wishbone_in.adr) & " returns " & to_hstring(ret_dat); + ret_ack <= '1'; + state <= ACK; + end if; + end if; + when ACK => + report "MEM ACK"; + ret_ack <= '0'; + state <= IDLE; + end case; + else + ret_ack <= '0'; + state <= IDLE; + end if; + end if; + end if; + end process; +end behave; diff --git a/simple_ram_behavioural_helpers.vhdl b/simple_ram_behavioural_helpers.vhdl new file mode 100644 index 0000000..8540fa0 --- /dev/null +++ b/simple_ram_behavioural_helpers.vhdl @@ -0,0 +1,30 @@ +library ieee; +use ieee.std_logic_1164.all; + +package simple_ram_behavioural_helpers is + function behavioural_initialize (filename: String; size: integer) return integer; + attribute foreign of behavioural_initialize : function is "VHPIDIRECT behavioural_initialize"; + + procedure behavioural_read (val: out std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer; reload: integer); + attribute foreign of behavioural_read : procedure is "VHPIDIRECT behavioural_read"; + + procedure behavioural_write (val: std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer); + attribute foreign of behavioural_write : procedure is "VHPIDIRECT behavioural_write"; +end simple_ram_behavioural_helpers; + +package body simple_ram_behavioural_helpers is + function behavioural_initialize (filename: String; size: integer) return integer is + begin + assert false report "VHPI" severity failure; + end behavioural_initialize; + + procedure behavioural_read (val: out std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer; reload: integer) is + begin + assert false report "VHPI" severity failure; + end behavioural_read; + + procedure behavioural_write (val: std_ulogic_vector(63 downto 0); addr: std_ulogic_vector(63 downto 0); length: integer; identifier: integer) is + begin + assert false report "VHPI" severity failure; + end behavioural_write; +end simple_ram_behavioural_helpers; diff --git a/simple_ram_behavioural_helpers_c.c b/simple_ram_behavioural_helpers_c.c new file mode 100644 index 0000000..e7dfcb5 --- /dev/null +++ b/simple_ram_behavioural_helpers_c.c @@ -0,0 +1,258 @@ +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +#define DEBUG + +#define ALIGN_UP(VAL, SIZE) (((VAL) + ((SIZE)-1)) & ~((SIZE)-1)) + +#define vhpi0 2 /* forcing 0 */ +#define vhpi1 3 /* forcing 1 */ + +struct int_bounds +{ + int left; + int right; + char dir; + unsigned int len; +}; + +struct fat_pointer +{ + void *base; + struct int_bounds *bounds; +}; + +static char *from_string(void *__p) +{ + struct fat_pointer *p = __p; + unsigned long len = p->bounds->len; + char *m; + + m = malloc(len+1); + if (!m) { + perror("malloc"); + exit(1); + } + + memcpy(m, p->base, len); + m[len] = 0x0; + + return m; +} + +static uint64_t from_std_logic_vector(unsigned char *p, unsigned long len) +{ + unsigned long ret = 0; + + if (len > 64) { + fprintf(stderr, "%s: invalid length %lu\n", __func__, len); + exit(1); + } + + for (unsigned long i = 0; i < len; i++) { + unsigned char bit; + + if (*p == vhpi0) { + bit = 0; + } else if (*p == vhpi1) { + bit = 1; + } else { + fprintf(stderr, "%s: bad bit %d\n", __func__, *p); + bit = 0; + } + + ret = (ret << 1) | bit; + p++; + } + + return ret; +} + +static void to_std_logic_vector(unsigned long val, unsigned char *p, + unsigned long len) +{ + if (len > 64) { + fprintf(stderr, "%s: invalid length %lu\n", __func__, len); + exit(1); + } + + for (unsigned long i = 0; i < len; i++) { + if ((val >> (len-1-i) & 1)) + *p = vhpi1; + else + *p = vhpi0; + + p++; + } +} + +#define MAX_REGIONS 128 + +struct ram_behavioural { + char *filename; + unsigned long size; + void *m; +}; + +static struct ram_behavioural behavioural_regions[MAX_REGIONS]; +static unsigned long region_nr; + +unsigned long behavioural_initialize(void *__f, unsigned long size) +{ + struct ram_behavioural *r; + int fd; + struct stat buf; + unsigned long tmp_size; + void *mem; + + if (region_nr == MAX_REGIONS) { + fprintf(stderr, "%s: too many regions, bump MAX_REGIONS\n"); + exit(1); + } + + r = &behavioural_regions[region_nr]; + + r->filename = from_string(__f); + r->size = ALIGN_UP(size, getpagesize()); + + fd = open(r->filename, O_RDWR); + if (fd == -1) { + fprintf(stderr, "%s: could not open %s\n", __func__, + r->filename); + exit(1); + } + + if (fstat(fd, &buf)) { + perror("fstat"); + exit(1); + } + + /* XXX Do we need to truncate the underlying file? */ + tmp_size = ALIGN_UP(buf.st_size, getpagesize()); + + if (r->size > tmp_size) { + void *m; + + /* + * We have to pad the file. Allocate the total size, then + * create a space for the file. + */ + mem = mmap(NULL, r->size, PROT_READ|PROT_WRITE, + MAP_PRIVATE|MAP_ANONYMOUS, -1, 0); + if (mem == MAP_FAILED) { + perror("mmap"); + exit(1); + } + + if (tmp_size) { + munmap(mem, tmp_size); + + m = mmap(mem, tmp_size, PROT_READ|PROT_WRITE, + MAP_PRIVATE|MAP_FIXED, fd, 0); + if (m == MAP_FAILED) { + perror("mmap"); + exit(1); + } + if (m != mem) { + fprintf(stderr, "%s: mmap(MAP_FIXED) failed\n", + __func__); + exit(1); + } + } + } else { + mem = mmap(NULL, tmp_size, PROT_READ|PROT_WRITE, MAP_PRIVATE, + fd, 0); + if (mem == MAP_FAILED) { + perror("mmap"); + exit(1); + } + } + + behavioural_regions[region_nr].m = mem; + return region_nr++; +} + +void behavioural_read(unsigned char *__val, unsigned char *__addr, + unsigned long sel, int identifier) +{ + struct ram_behavioural *r; + unsigned long val = 0; + unsigned long addr = from_std_logic_vector(__addr, 64); + unsigned char *p; + + if (identifier > region_nr) { + fprintf(stderr, "%s: bad index %d\n", __func__, identifier); + exit(1); + } + + r = &behavioural_regions[identifier]; + + for (unsigned long i = 0; i < 8; i++) { +#if 0 + /* sel only used on writes */ + if (!(sel & (1UL << i))) + continue; +#endif + + if ((addr + i) > r->size) { + fprintf(stderr, "%s: bad memory access %lx %lx\n", __func__, + addr+i, r->size); + exit(1); + } + + p = (unsigned char *)(((unsigned long)r->m) + addr + i); + val |= (((unsigned long)*p) << (i*8)); + } + +#ifdef DEBUG + printf("MEM behave %d read %016lx addr %016lx sel %02lx\n", identifier, val, + addr, sel); +#endif + + to_std_logic_vector(val, __val, 64); +} + +void behavioural_write(unsigned char *__val, unsigned char *__addr, + unsigned int sel, int identifier) +{ + struct ram_behavioural *r; + unsigned long val = from_std_logic_vector(__val, 64); + unsigned long addr = from_std_logic_vector(__addr, 64); + unsigned char *p; + + if (identifier > region_nr) { + fprintf(stderr, "%s: bad index %d\n", __func__, identifier); + exit(1); + } + + r = &behavioural_regions[identifier]; + + p = (unsigned char *)(((unsigned long)r->m) + addr); + +#ifdef DEBUG + printf("MEM behave %d write %016lx addr %016lx sel %02x\n", identifier, val, + addr, sel); +#endif + + for (unsigned long i = 0; i < 8; i++) { + if (!(sel & (1UL << i))) + continue; + + if ((addr + i) > r->size) { + fprintf(stderr, "%s: bad memory access %lx %lx\n", __func__, + addr+i, r->size); + exit(1); + } + + p = (unsigned char *)(((unsigned long)r->m) + addr + i); + *p = (val >> (i*8)) & 0xff; + } +} diff --git a/simple_ram_behavioural_tb.bin b/simple_ram_behavioural_tb.bin new file mode 100644 index 0000000..b66efb8 Binary files /dev/null and b/simple_ram_behavioural_tb.bin differ diff --git a/simple_ram_behavioural_tb.vhdl b/simple_ram_behavioural_tb.vhdl new file mode 100644 index 0000000..823c1b6 --- /dev/null +++ b/simple_ram_behavioural_tb.vhdl @@ -0,0 +1,233 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +library work; +use work.wishbone_types.all; + +entity simple_ram_behavioural_tb is +end simple_ram_behavioural_tb; + +architecture behave of simple_ram_behavioural_tb is + signal clk : std_ulogic; + signal rst : std_ulogic := '1'; + + constant clk_period : time := 10 ns; + + signal w_in : wishbone_slave_out; + signal w_out : wishbone_master_out; +begin + simple_ram_0: entity work.simple_ram_behavioural + generic map ( filename => "simple_ram_behavioural_tb.bin", size => 16 ) + port map (clk => clk, rst => rst, wishbone_out => w_in, wishbone_in => w_out); + + clock: process + begin + clk <= '1'; + wait for clk_period / 2; + clk <= '0'; + wait for clk_period / 2; + end process clock; + + stim: process + begin + w_out.adr <= (others => '0'); + w_out.dat <= (others => '0'); + w_out.cyc <= '0'; + w_out.stb <= '0'; + w_out.sel <= (others => '0'); + w_out.we <= '0'; + + wait for clk_period; + rst <= '0'; + + wait for clk_period; + + w_out.cyc <= '1'; + + -- test various read lengths and alignments + w_out.stb <= '1'; + w_out.sel <= "00000001"; + w_out.adr <= x"0000000000000000"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(7 downto 0) = x"00" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00000001"; + w_out.adr <= x"0000000000000001"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(7 downto 0) = x"01" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00000001"; + w_out.adr <= x"0000000000000007"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(7 downto 0) = x"07" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00000011"; + w_out.adr <= x"0000000000000000"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(15 downto 0) = x"0100" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00000011"; + w_out.adr <= x"0000000000000001"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(15 downto 0) = x"0201" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00000011"; + w_out.adr <= x"0000000000000007"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(15 downto 0) = x"0807" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00001111"; + w_out.adr <= x"0000000000000000"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(31 downto 0) = x"03020100" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00001111"; + w_out.adr <= x"0000000000000001"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(31 downto 0) = x"04030201" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00001111"; + w_out.adr <= x"0000000000000007"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(31 downto 0) = x"0A090807" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "11111111"; + w_out.adr <= x"0000000000000000"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(63 downto 0) = x"0706050403020100" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "11111111"; + w_out.adr <= x"0000000000000001"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(63 downto 0) = x"0807060504030201" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "11111111"; + w_out.adr <= x"0000000000000007"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(63 downto 0) = x"0E0D0C0B0A090807" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + -- test various write lengths and alignments + w_out.stb <= '1'; + w_out.sel <= "00000001"; + w_out.adr <= x"0000000000000000"; + w_out.we <= '1'; + w_out.dat(7 downto 0) <= x"0F"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "00000001"; + w_out.adr <= x"0000000000000000"; + w_out.we <= '0'; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat(7 downto 0) = x"0F" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "11111111"; + w_out.adr <= x"0000000000000007"; + w_out.we <= '1'; + w_out.dat <= x"BADC0FFEBADC0FFE"; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + w_out.stb <= '1'; + w_out.sel <= "11111111"; + w_out.adr <= x"0000000000000007"; + w_out.we <= '0'; + assert w_in.ack = '0'; + wait for clk_period; + assert w_in.ack = '1'; + assert w_in.dat = x"BADC0FFEBADC0FFE" report to_hstring(w_in.dat); + w_out.stb <= '0'; + wait for clk_period; + assert w_in.ack = '0'; + + assert false report "end of test" severity failure; + wait; + end process; +end behave; diff --git a/tests/1.bin b/tests/1.bin new file mode 100644 index 0000000..b7a11fc Binary files /dev/null and b/tests/1.bin differ diff --git a/tests/1.out b/tests/1.out new file mode 100644 index 0000000..da31672 --- /dev/null +++ b/tests/1.out @@ -0,0 +1,32 @@ +REG FFFFFFFEA9FF0004 +REG 0000000072E50000 +REG 0000000000000000 +REG 0000000000001CAA +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 000B000100000000 +REG 0000000000000000 +REG 000000000000131F +REG 0000000000000000 +REG FFFFFFFFA9F40004 +REG 000B000100000000 +REG 0000000000000000 +REG 0000000000040000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF8D1AFFFF +REG 0000000000000004 +REG 0000000028808000 +REG 000000000161E8C0 +REG 000000000000BCD9 +REG FFFFFFFFFFFFFFF1 +REG 000000000000471E +REG 000000000000BCD9 +REG 0000000028808000 +REG 000010000000BC00 +REG 0000000000000000 +REG 0000000100000001 +REG 0000000000000000 +REG 0000000000001CAA +REG 0000000051010001 diff --git a/tests/10.bin b/tests/10.bin new file mode 100644 index 0000000..2629e8d Binary files /dev/null and b/tests/10.bin differ diff --git a/tests/10.out b/tests/10.out new file mode 100644 index 0000000..127667d --- /dev/null +++ b/tests/10.out @@ -0,0 +1,32 @@ +REG 00000000290C5C65 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFC802 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF04EC +REG FFFFFFFFDFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000005800000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080000000 diff --git a/tests/100.bin b/tests/100.bin new file mode 100644 index 0000000..c7c37fe Binary files /dev/null and b/tests/100.bin differ diff --git a/tests/100.out b/tests/100.out new file mode 100644 index 0000000..294f0a4 --- /dev/null +++ b/tests/100.out @@ -0,0 +1,32 @@ +REG FC00000030B80F7E +REG FFFFFFFFFFFFFFFF +REG 000000000000001F +REG 0000000000000009 +REG FFFFFFFFFFFFF03F +REG 0000000628C6749B +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF4 +REG 0000000000000FC0 +REG 0000000000000F80 +REG 0000000000000000 +REG 000000000000001B +REG 000000000000AFC6 +REG 00000000CAEC001F +REG FFFFFFFFFFFFFFE1 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000007 +REG 0000000628C67484 +REG FFFFFFF9FFF6101F +REG 000000002300001F +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 000000000000007C +REG 000000000000001F +REG FFFFFFF9FBC21000 +REG 0000000000000000 +REG FFFFFFFFFFFFF07F +REG 000000001CF4001F +REG 000000000000007C +REG 000000005FF10911 diff --git a/tests/1000.bin b/tests/1000.bin new file mode 100644 index 0000000..da8a3c9 Binary files /dev/null and b/tests/1000.bin differ diff --git a/tests/1000.out b/tests/1000.out new file mode 100644 index 0000000..bd3ff8b --- /dev/null +++ b/tests/1000.out @@ -0,0 +1,32 @@ +REG 0000000000000042 +REG 00000000E0000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000001CE494 +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFFFFFFABBB +REG 0000000000000000 +REG 8000000000000000 +REG 0000000000000039 +REG 0000000000000000 +REG 8000000000000000 +REG 0000000100000001 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 00FFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00FFFFFFFFFFFFFF +REG 0000000000000040 +REG 00000000001D5A80 +REG 0000000000000000 +REG 0000000100000003 +REG 0000000000000068 +REG 0000000000000000 +REG 0100000000000033 +REG 0000000000000007 +REG 0000000085000040 diff --git a/tests/101.bin b/tests/101.bin new file mode 100644 index 0000000..fbdf6db Binary files /dev/null and b/tests/101.bin differ diff --git a/tests/101.out b/tests/101.out new file mode 100644 index 0000000..cbef89b --- /dev/null +++ b/tests/101.out @@ -0,0 +1,32 @@ +REG 00000000000000ED +REG 0000000000000000 +REG 000000000000074A +REG 0000000000000001 +REG 0000000000000000 +REG 0000000006FE7D07 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0808000806FE7D06 +REG 0000000000000004 +REG 00000000B83A0000 +REG 0000000000001B05 +REG FFFFFFFFFFFFFFF8 +REG 0003100000000000 +REG 0000000000000000 +REG 00000100000050EA +REG 0000000000000007 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000002000000A1D0 +REG 0000000020000000 +REG 0000000000000007 +REG 0000000000001B05 +REG 0000000044882888 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001B05 +REG 00000000810001E1 diff --git a/tests/102.bin b/tests/102.bin new file mode 100644 index 0000000..344b201 Binary files /dev/null and b/tests/102.bin differ diff --git a/tests/102.out b/tests/102.out new file mode 100644 index 0000000..9468910 --- /dev/null +++ b/tests/102.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFD338C3F +REG 0000000000000000 +REG 0000000000004870 +REG 000000003FFFFFC0 +REG FFFFFFFFFFFFFFFF +REG 480085E048000000 +REG FFFFFF8000001FE5 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 000000000000001A +REG 0000000005040001 +REG FFFFFFFFFFFFFFFF +REG 0000002820000000 +REG F58349ADEDA77E00 +REG FFFFFFFFFFFFFFF8 +REG 000000000000001A +REG FFFFFFFFE00003FF +REG 0000000000000020 +REG 00000000000009E4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000008 +REG FFFFFFFFFFFFFFFF +REG FC0000000505CC9F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000A080003 diff --git a/tests/103.bin b/tests/103.bin new file mode 100644 index 0000000..92df010 Binary files /dev/null and b/tests/103.bin differ diff --git a/tests/103.out b/tests/103.out new file mode 100644 index 0000000..7010445 --- /dev/null +++ b/tests/103.out @@ -0,0 +1,32 @@ +REG FF9FFFFF21240000 +REG 000000000017EE80 +REG 00000001BFC00000 +REG 0060000000780000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFF95 +REG FFFFFFFFE0CA9244 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFF408B +REG 0000000040000000 +REG 0000000000000000 +REG FFFFFFFFFFFF9ED4 +REG 00000000000002FD +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFE0CA9244 +REG 0000000000000020 +REG 000000000000EA01 +REG 0000000000000000 +REG 000000000D000000 +REG 0000000000000000 +REG FFFFFFFFFFE80000 +REG 0000000000000000 +REG 000000005A108491 diff --git a/tests/104.bin b/tests/104.bin new file mode 100644 index 0000000..7055870 Binary files /dev/null and b/tests/104.bin differ diff --git a/tests/104.out b/tests/104.out new file mode 100644 index 0000000..3081707 --- /dev/null +++ b/tests/104.out @@ -0,0 +1,32 @@ +REG 00003FFFFFFF8001 +REG 0000000000000000 +REG 0000000000000000 +REG 49C2000000000082 +REG 801F100000000000 +REG FFFFFFFFFDF6D8F0 +REG 49C2000000000082 +REG 0000000000000026 +REG FFFFFFFFFFFEFFFF +REG 801F100000000000 +REG FFFFFF00FFFFFFFF +REG FFFFFF00FFFFFFFE +REG FFFFFF00FFFFFFFE +REG FFFFFFFFD56124E1 +REG FFFFFF00FFFFFFFF +REG 0000000000008000 +REG 0000000000000026 +REG EAB09270E8409270 +REG 0000000000000000 +REG FFFFFF00FFFFFFFF +REG 000801F1000001E8 +REG 0000000000000000 +REG FFF7FE0EFFFFFFFF +REG 7FE0F00000000000 +REG FFFFFF00FFFFFFFE +REG 00000000004124E1 +REG 0000000038820000 +REG 00000000004124E1 +REG 03FFFFFFFF000000 +REG 0000000000000000 +REG 0000002500000025 +REG 000000001090001E diff --git a/tests/105.bin b/tests/105.bin new file mode 100644 index 0000000..c177d2f Binary files /dev/null and b/tests/105.bin differ diff --git a/tests/105.out b/tests/105.out new file mode 100644 index 0000000..d72036b --- /dev/null +++ b/tests/105.out @@ -0,0 +1,32 @@ +REG 0000000048200024 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000002 +REG FFFFFFFF82410000 +REG 0000000000000000 +REG 00000000BA76001F +REG 020000202A26001F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000001F0000000A +REG 0004C0000004C000 +REG FFFFFFFFF7FFFFFD +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG BE041CE7FFFBDC6A +REG 00000000000059A0 +REG 0000000000000006 +REG 0000000048200024 +REG 0000000000000000 +REG FFFB3FFFFFFB4000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000090400048 diff --git a/tests/106.bin b/tests/106.bin new file mode 100644 index 0000000..71d3b42 Binary files /dev/null and b/tests/106.bin differ diff --git a/tests/106.out b/tests/106.out new file mode 100644 index 0000000..ee5a93a --- /dev/null +++ b/tests/106.out @@ -0,0 +1,32 @@ +REG 000000070000075A +REG 0000000000000000 +REG BFFFFFFFBE000004 +REG 0000000001FFFFFB +REG 0000000000000000 +REG FFFFFEF2FFFFA904 +REG 0200000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFB +REG F873800000000000 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFE1CE0 +REG 0000000001FFFFFB +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 078C2C003F540004 +REG 00000000000007E8 +REG 0000000002000871 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFE000004 +REG FFFFFFF1FFFFAB02 +REG 0000000000000000 +REG 078C2C003F540004 +REG F873D3FFC1540004 +REG 00000007184E0100 +REG 4000000040000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000108800088 diff --git a/tests/107.bin b/tests/107.bin new file mode 100644 index 0000000..855e1d4 Binary files /dev/null and b/tests/107.bin differ diff --git a/tests/107.out b/tests/107.out new file mode 100644 index 0000000..18a1987 --- /dev/null +++ b/tests/107.out @@ -0,0 +1,32 @@ +REG 7851A07EFFA70241 +REG 0000000000000000 +REG 0000000000004000 +REG D30FE001D310661A +REG D3102F7BD3102F7B +REG FFFBFFFFFFFD7FFF +REG 87F000010000423F +REG FFFBFFFFFFFD7E00 +REG 87F40015741BFFD1 +REG 7B81FFFF4000001F +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000C110F +REG FFFFFFFFFFFFFFCB +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF5BC0 +REG 00000000000007FF +REG 000000147419F50E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 87F40015741C5662 +REG 0000000000000000 +REG FFFFFFF94C438180 +REG 780BFFFEFFFD11AF +REG 00000000FFFFBDC0 +REG 0000000000000000 +REG FFFFFFF94C438180 +REG FFFFFFF94C43C3BF +REG 780BFFFEFFFD11AF +REG 0000000040088004 diff --git a/tests/108.bin b/tests/108.bin new file mode 100644 index 0000000..2387c6a Binary files /dev/null and b/tests/108.bin differ diff --git a/tests/108.out b/tests/108.out new file mode 100644 index 0000000..655de65 --- /dev/null +++ b/tests/108.out @@ -0,0 +1,32 @@ +REG 000000000000001E +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000010 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000000 +REG 00003FFFCE9561AE +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000007 +REG 0000001F9AA70020 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF7FFFFFFF +REG 0000000000000000 +REG FFFFFFFF76D1FFF0 +REG FFFFFFFFFFFFFFF0 +REG FFFFFFFFFFFFFFFF +REG 00000000892E0000 +REG 00007FFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000040088088 diff --git a/tests/109.bin b/tests/109.bin new file mode 100644 index 0000000..7c8b965 Binary files /dev/null and b/tests/109.bin differ diff --git a/tests/109.out b/tests/109.out new file mode 100644 index 0000000..2d54e13 --- /dev/null +++ b/tests/109.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000004C5B0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFC00000000000 +REG FFFFFFFFFFFF8D9E +REG 0000000000000000 +REG FFFFFFFFFFFF5E44 +REG FFFFFFFFFFFFE8C8 +REG 00000000FFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 3FFFFFF8FFFFFFF8 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF5E44 +REG 000000004C5B0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG FFFFFFFFFFFFBD1F +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF00000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000A1BB +REG 000000010401FFFE diff --git a/tests/11.bin b/tests/11.bin new file mode 100644 index 0000000..ca584c3 Binary files /dev/null and b/tests/11.bin differ diff --git a/tests/11.out b/tests/11.out new file mode 100644 index 0000000..4123362 --- /dev/null +++ b/tests/11.out @@ -0,0 +1,32 @@ +REG 003AD23688890019 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 00000000972B0000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 004080C0D0C0C089 +REG 0000000000000000 +REG 0000000000000317 +REG FFC52DC9E05DFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFD1 +REG 000000000000002F +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF89A0 +REG 0000000000000000 +REG 0000000000000006 +REG 0000000000000000 +REG 0808080503040000 +REG 0808080503040000 +REG 0000000000000030 +REG 0000000000000000 +REG 0000000104005000 diff --git a/tests/110.bin b/tests/110.bin new file mode 100644 index 0000000..bf30e56 Binary files /dev/null and b/tests/110.bin differ diff --git a/tests/110.out b/tests/110.out new file mode 100644 index 0000000..1fc19b3 --- /dev/null +++ b/tests/110.out @@ -0,0 +1,32 @@ +REG 0000000000000032 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000046 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF9DDB +REG FFFFFFFF55B2FFBF +REG FFFFFFFFFFFFFFFF +REG 000000000000C6C3 +REG 0000000000000000 +REG FFFFFFFFFFFFFFB9 +REG FFFFFFFFFFFF3983 +REG 0000000000000033 +REG 0000000000000000 +REG 0000023000000030 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000003 +REG 0000000000000008 +REG 7C20000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0001FFFFFFFFFF73 +REG 0000000000000002 +REG FFFFFFFFFFFFFFBF +REG 0000000000000040 +REG 0000000000000000 +REG 00000001011E89F2 diff --git a/tests/111.bin b/tests/111.bin new file mode 100644 index 0000000..ee43f6d Binary files /dev/null and b/tests/111.bin differ diff --git a/tests/111.out b/tests/111.out new file mode 100644 index 0000000..e5140be --- /dev/null +++ b/tests/111.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFF9A6A +REG 0000000000000000 +REG FFFFFFFFFFFFFFF7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000003350 +REG FFFFFFFFFFFFFFFF +REG 00000FFFFFFFF7FF +REG 0000000000000001 +REG FFFFFFFFFFFF9A6A +REG 0000000000000000 +REG 0000001A00000012 +REG FFFFFFFFFFF34D40 +REG 000000002F280888 +REG 0000000000000001 +REG 0000000000000000 +REG 0007FF0000000000 +REG FFFFFFFFD0D7FFFF +REG FFFFFFFFFFFFB350 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003C +REG 0000000000000020 +REG FFFFFFFFFFFF0000 +REG 0000000000000000 +REG FFFFFFFFFFFF5F77 +REG D0D72F27D0D72F27 +REG 0000000000000001 +REG 0000002000000000 +REG 0000002000000017 +REG 0000000000000000 +REG 0000000041FA11F1 diff --git a/tests/112.bin b/tests/112.bin new file mode 100644 index 0000000..8886b19 Binary files /dev/null and b/tests/112.bin differ diff --git a/tests/112.out b/tests/112.out new file mode 100644 index 0000000..afe2b76 --- /dev/null +++ b/tests/112.out @@ -0,0 +1,32 @@ +REG FFFFFFFFB357FFC1 +REG 0000000000000021 +REG 0000000000000000 +REG FFFFFFFFFFFFFFCF +REG 0000000000008DF8 +REG 000000007FFFF7C0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE1 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000090D6 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE1 +REG 000000000000C4A7 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG 0000000048D50000 +REG 00000000000034E1 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFEAD2 +REG 0000000000000000 +REG FFFFFFFF44A9FFDF +REG 000000000000D120 +REG FFFFFFFFFFFFFFDF +REG 00000000000090D5 +REG 000000000000FC00 +REG 0000000000000040 +REG 0000000040000700 diff --git a/tests/113.bin b/tests/113.bin new file mode 100644 index 0000000..4e1949a Binary files /dev/null and b/tests/113.bin differ diff --git a/tests/113.out b/tests/113.out new file mode 100644 index 0000000..e895e14 --- /dev/null +++ b/tests/113.out @@ -0,0 +1,32 @@ +REG FFFFFFF8E09C556F +REG 000000002448848E +REG 0000000083C40000 +REG 000000000000FF00 +REG E3A0008CE810D740 +REG 0000080000000000 +REG 09200002A89AE240 +REG 8D7F3BB3449942C5 +REG 0002A5B9121A971C +REG FC48980000189976 +REG 0002A5B9121A975C +REG FFFFFFF8E0906D06 +REG 00000000EDDA0035 +REG FFB6FFFFEABB77ED +REG 0000000000005F00 +REG FFFFFFFFFFFFFFFD +REG FFFFFFFFFFFFA0DA +REG 0000000000000035 +REG 0000010000000000 +REG 0000000000005F26 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000005F26 +REG 0000000000000000 +REG 0048FFFFFFFF8808 +REG 0000000000000020 +REG 0000000000000040 +REG E3A0008CE810C566 +REG FFFFFFFFEABAFFF6 +REG FFFFFFDFFFFFA0FA +REG FFFFFFFFFFFFFFAA +REG 000000004891091D diff --git a/tests/114.bin b/tests/114.bin new file mode 100644 index 0000000..194af43 Binary files /dev/null and b/tests/114.bin differ diff --git a/tests/114.out b/tests/114.out new file mode 100644 index 0000000..706199f --- /dev/null +++ b/tests/114.out @@ -0,0 +1,32 @@ +REG BFFFFC0A1FFFF7DB +REG 0000000000000000 +REG 0000000000000000 +REG 800009200003F000 +REG 0000000000000040 +REG 000000004F7FE848 +REG FFFFFFFFE00098C3 +REG FFFFFFFF80000000 +REG 000000001FFF673D +REG 0000000000000000 +REG FFFFFFFFE000FBAF +REG FFFFFFFFFFFFF172 +REG 0000000000000000 +REG 00000000000FFFFE +REG 0007FFFEFFF00002 +REG 0000000000004E91 +REG 0000000000000000 +REG FFFFFFFFE0005799 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFC0000000 +REG 000003FFE000082E +REG 00000000FFFF7EDC +REG FFFFFFFFFFFFFFFF +REG 000000001FFF5799 +REG 0000000000000000 +REG 0000000017FD0000 +REG FFFFBF6EE007BF6E +REG 0000000000000000 +REG FFFFFFFFFFFF0000 +REG FFFFFFFF80000000 +REG 000000005EFFD110 diff --git a/tests/115.bin b/tests/115.bin new file mode 100644 index 0000000..218aa0b Binary files /dev/null and b/tests/115.bin differ diff --git a/tests/115.out b/tests/115.out new file mode 100644 index 0000000..9088016 --- /dev/null +++ b/tests/115.out @@ -0,0 +1,32 @@ +REG 0000000000000042 +REG FFFFFFFFFE48FFFF +REG 00006F3900006F39 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000003FE0000 +REG 100106B000000000 +REG FFFFFFFFFFFFFE13 +REG FFFFFFFFFFFF4985 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFC19D3E63 +REG FFFFFFFFE8250000 +REG 0000000000000040 +REG FFFFFFFFFE48FFFF +REG 0000000000008A9E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000106B0000 +REG E00000000100FFFF +REG 0000000040828478 +REG 000000007B490000 +REG 8000340000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFA8250000 +REG FFFFFFFFFFFFFFFF +REG E800000000000000 +REG 0000000000008A9D +REG 0000000117120811 diff --git a/tests/116.bin b/tests/116.bin new file mode 100644 index 0000000..3f097ed Binary files /dev/null and b/tests/116.bin differ diff --git a/tests/116.out b/tests/116.out new file mode 100644 index 0000000..f91434d --- /dev/null +++ b/tests/116.out @@ -0,0 +1,32 @@ +REG 000000000045061D +REG FFFFFFFFC0ACFFFF +REG 0000000000450600 +REG FFFFFFFFFFBAF9DF +REG 0000000000000000 +REG 0000000000000380 +REG 0000000000450600 +REG 0000000000000020 +REG FFFFFEDB201FFB60 +REG FFFFFFFFC0AD0000 +REG 0000000000000000 +REG FFFFFEDB201FFB60 +REG 0000000020040001 +REG FFFFFFFFFFFFFFFF +REG 0808080802040808 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000020 +REG 0000000000450600 +REG 0000000000000020 +REG 000000000044A8A4 +REG 0000000000000007 +REG FFFFFFFFFE056800 +REG 0000000000000000 +REG 000000007F02AE4D +REG 0000000000000000 +REG 0000000000F811B2 +REG 0000000000000007 +REG 0080000000000000 +REG 0000000000000000 +REG 00001EE90DC27CF6 +REG 0000000040509010 diff --git a/tests/117.bin b/tests/117.bin new file mode 100644 index 0000000..17ea3f4 Binary files /dev/null and b/tests/117.bin differ diff --git a/tests/117.out b/tests/117.out new file mode 100644 index 0000000..dec1906 --- /dev/null +++ b/tests/117.out @@ -0,0 +1,32 @@ +REG 000000002F423F42 +REG 0000000000000C00 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFF0001CA8 +REG FFFFFFFFF0007B0A +REG 0000000000000091 +REG 0000000000000003 +REG 0FFFFFD071FABD00 +REG 0000400000000001 +REG 0000000007080603 +REG 0000000037FFFFE7 +REG 000000007A114210 +REG 000000002F083F42 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFF0007B0A +REG 0000000000000000 +REG FFFFBFFFFFFFFFFF +REG 00000F33111EAA2C +REG 0000000000000040 +REG FFFFFFFFFC0000BD +REG 00000000D0BDD7BE +REG FFFFFFFF2F422441 +REG 000000000FFF84F5 +REG 0000000000000020 +REG 0000000000000000 +REG 0000400000000001 +REG 000000002F422842 +REG 00000000D0B22BBE +REG 000000000E0500F5 +REG 000000009E107E84 diff --git a/tests/118.bin b/tests/118.bin new file mode 100644 index 0000000..e657557 Binary files /dev/null and b/tests/118.bin differ diff --git a/tests/118.out b/tests/118.out new file mode 100644 index 0000000..b0a6664 --- /dev/null +++ b/tests/118.out @@ -0,0 +1,32 @@ +REG 01FFFFFFFFFFFFFD +REG 0000000000005802 +REG 0000000000000200 +REG FFFFFFFFFFFF9C43 +REG FFFFFFE000FFEB94 +REG 0000001FFF00146B +REG 0000000000000020 +REG 0000000500000005 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFDFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFC00000000 +REG FFFFFF001E000000 +REG FFFFFFFFFFFF693C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0200001F0000001F +REG 0000000000000200 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FE00000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000FFE200734A +REG FFFFFFFC00000000 +REG FFFFFF8000000000 +REG 00000000000096E3 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000108910888 diff --git a/tests/119.bin b/tests/119.bin new file mode 100644 index 0000000..5942644 Binary files /dev/null and b/tests/119.bin differ diff --git a/tests/119.out b/tests/119.out new file mode 100644 index 0000000..3146164 --- /dev/null +++ b/tests/119.out @@ -0,0 +1,32 @@ +REG 480000000002D4EC +REG 0000000000000000 +REG 0000000000000000 +REG 000FFFFFFFFFCAD8 +REG 0000000000005469 +REG EFBF28D8EFFFC305 +REG 0000008FFFFFD7D8 +REG 0000000000000020 +REG FFFFFFFFFFFFFFC6 +REG 0000009000000090 +REG 0000000000000000 +REG FFFD99E9FFFFFFEC +REG 00013B65A93C1F74 +REG FFFD99E9FFFFFFEA +REG 0002661600026616 +REG FFFFFFFFFFFFFFFF +REG 0000000000000041 +REG 0000000000000006 +REG FFFD99E9FFFFFFEB +REG 0000000000000005 +REG 00000001FFFFF2B6 +REG FFFFFFFF99CB0000 +REG 0000000000000040 +REG 000000000000006A +REG FFFFFFFFFFFFF2B6 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000185 +REG 0000000000000000 +REG 0000000000000041 +REG B7FD99E9FFFFFF95 +REG 0000000104088088 diff --git a/tests/12.bin b/tests/12.bin new file mode 100644 index 0000000..f5c1739 Binary files /dev/null and b/tests/12.bin differ diff --git a/tests/12.out b/tests/12.out new file mode 100644 index 0000000..7bae327 --- /dev/null +++ b/tests/12.out @@ -0,0 +1,32 @@ +REG 0000000000009F88 +REG 0000000000000000 +REG FFFFFC6CFFFFFC6C +REG 000779480007CC9B +REG 0000000000000000 +REG FFFFFFFFEF290001 +REG FFFFFFFFFFFF6077 +REG FFFFFFFFBBFABFFF +REG 0000000010D6FFFF +REG 0000000000077948 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000004F40C +REG 0000000000000000 +REG 0007794800077948 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000080000 +REG FFFFFC6CFFFFFC6C +REG 0000000004000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00001C6CFFFFFC6C +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFC6CFFFFFC6C +REG 000000000004F58D +REG FF3FFFFFFFFFFFFF +REG 00000000480A8004 diff --git a/tests/120.bin b/tests/120.bin new file mode 100644 index 0000000..07de7ea Binary files /dev/null and b/tests/120.bin differ diff --git a/tests/120.out b/tests/120.out new file mode 100644 index 0000000..f03a54a --- /dev/null +++ b/tests/120.out @@ -0,0 +1,32 @@ +REG 5CEFF00200008022 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 043BA020AF31A4FF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000118007FF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000FFB800 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFEE7FF800 +REG 0000000000000000 +REG 23100FFDFFFFD610 +REG 0000000000000000 +REG 00000000015B5000 +REG 000000002E20A000 +REG 000000000000001E +REG 0118007FF0000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000B +REG 0000000000000000 +REG 0000000000000000 +REG 00000000901E1000 diff --git a/tests/121.bin b/tests/121.bin new file mode 100644 index 0000000..4153abd Binary files /dev/null and b/tests/121.bin differ diff --git a/tests/121.out b/tests/121.out new file mode 100644 index 0000000..0b3a09a --- /dev/null +++ b/tests/121.out @@ -0,0 +1,32 @@ +REG FFFBFFBFFDC00001 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG FFFFF9FFC92EFFFF +REG FFFFFFFFFF7FFFFF +REG 4000000000000000 +REG FF85A9BEFCB8F7F7 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFF9FFE757FFFF +REG 17C49F006F000000 +REG E481584E91000000 +REG FFFFFFFFFF7FFFFF +REG 0000000000000040 +REG 0000000094290000 +REG E481584E91000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG C000000000000000 +REG 0000000000000000 +REG 007B564002400019 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFC0 +REG 20FBF9C507C70809 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000020020011FFF +REG 0000000000000000 +REG 00000000931C889F diff --git a/tests/122.bin b/tests/122.bin new file mode 100644 index 0000000..007f1d6 Binary files /dev/null and b/tests/122.bin differ diff --git a/tests/122.out b/tests/122.out new file mode 100644 index 0000000..88a5eaa --- /dev/null +++ b/tests/122.out @@ -0,0 +1,32 @@ +REG FFDFB7FFFFDFFFFF +REG 0000000000001F40 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 8880000000050900 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000004600000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000001F0000001F +REG 0000000000000000 +REG FFEBF46398000001 +REG 0000000000000000 +REG 0000000000000003 +REG 00000002BFF80035 +REG 0000000000002048 +REG 5A80000281738D1F +REG 00000002BFF80035 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000018B10000 +REG 0000000000000000 +REG 0020480000200000 +REG A57FFFFD7E8C7300 +REG 0000000000000000 +REG 018B100000000000 +REG 0000000000002048 +REG 0000000000000000 +REG 0000000000000000 +REG 8880000000050900 +REG 0000000091110889 diff --git a/tests/123.bin b/tests/123.bin new file mode 100644 index 0000000..1be6d16 Binary files /dev/null and b/tests/123.bin differ diff --git a/tests/123.out b/tests/123.out new file mode 100644 index 0000000..44aec7f --- /dev/null +++ b/tests/123.out @@ -0,0 +1,32 @@ +REG C7EF27E4CFEF791D +REG 0000000000000032 +REG 0000000000000000 +REG 0000000000000004 +REG FFFFFFFFFFFFFFFF +REG 000000000000C747 +REG 000000000DFD0000 +REG 0000000000000000 +REG 0000000059A40004 +REG 0000000000000000 +REG 0000000008000001 +REG 9880008C0000007F +REG FFFFFFFFFFE00000 +REG 000000008F440888 +REG 0000000000000005 +REG 0000000000000000 +REG 000000001F800000 +REG FFFFFFFFFFFFAD55 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG D87FDC7F9880008C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 006FE80000000000 +REG 000000000800AE30 +REG FFFFFFFFFFDFA9B6 +REG 00000000001FFFDF +REG 0000000000000000 +REG 000000009E881110 diff --git a/tests/124.bin b/tests/124.bin new file mode 100644 index 0000000..9c08082 Binary files /dev/null and b/tests/124.bin differ diff --git a/tests/124.out b/tests/124.out new file mode 100644 index 0000000..36ca36d --- /dev/null +++ b/tests/124.out @@ -0,0 +1,32 @@ +REG 000007FFE6101D6F +REG 0000000000000000 +REG 0000000028D41400 +REG 0000000080000000 +REG 0000000000007D6F +REG 000FFFFFD800969E +REG 0000000000003E00 +REG FFFFFFFFFFFFE7E7 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000000F +REG 0000000000000000 +REG FFFFFFFFFFFF969E +REG FFF0000127FF4881 +REG 0000000028000000 +REG 0000000000000000 +REG 0000000028D41400 +REG 0000000000048400 +REG 0000000000007D6F +REG 000000000000001F +REG 000000000000000A +REG 0000000000000000 +REG 0000000000000000 +REG FC00000000007FFE +REG 0000000200000002 +REG 0000000000003E00 +REG 0000000000000004 +REG 0000000000000005 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFB7BFF +REG 0000000051A82800 diff --git a/tests/125.bin b/tests/125.bin new file mode 100644 index 0000000..0c98a37 Binary files /dev/null and b/tests/125.bin differ diff --git a/tests/125.out b/tests/125.out new file mode 100644 index 0000000..4c0d2e7 --- /dev/null +++ b/tests/125.out @@ -0,0 +1,32 @@ +REG 0000000071310008 +REG FFFFFFFFFFFFFFFE +REG 0000000000000040 +REG FFBAF110FFBA72BF +REG 0000000000000000 +REG 00000000CC3D0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 4000000040000000 +REG 0000000000000000 +REG FFFFFFFFB4FEFFFF +REG 00000000CC3DFC20 +REG 80007FFF80007FFF +REG FFFFFFFFFFFFFFFF +REG 0000000000007DB5 +REG FFFFFFFF0000FFFF +REG 00000000CC3D0000 +REG 000000330F400000 +REG 00000000CC3D0000 +REG FFFFFFFFFFFE0000 +REG 0000000000003031 +REG 0000000004000000 +REG FFBAF110FFBAF110 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 000000000001FC20 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000CC3D0177 +REG FFFFFFFFFFC07FFF +REG 0000000080080000 diff --git a/tests/126.bin b/tests/126.bin new file mode 100644 index 0000000..2e167df Binary files /dev/null and b/tests/126.bin differ diff --git a/tests/126.out b/tests/126.out new file mode 100644 index 0000000..8509743 --- /dev/null +++ b/tests/126.out @@ -0,0 +1,32 @@ +REG BFFFFFFFFFFFFFFD +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000000D +REG 8000001F0000001E +REG 0000000000000000 +REG DB21A5D3360FFFF8 +REG FFFFFFFFFFFFFFA4 +REG 0000000000000000 +REG 000000000000003F +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000001133 +REG FF800FC000FFFFE6 +REG 0000000000000006 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF9 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFF3C6FFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 7FFFFFE0FFFFFFC1 +REG E300000725FFFFFF +REG 7FC007E000000000 +REG 0000000000000020 +REG 8000001F3F59001E +REG DB21A5D3360FFFFF +REG 0000000000000000 +REG 1CFFFFF8DA000000 +REG 0000000050089048 diff --git a/tests/127.bin b/tests/127.bin new file mode 100644 index 0000000..d39f54a Binary files /dev/null and b/tests/127.bin differ diff --git a/tests/127.out b/tests/127.out new file mode 100644 index 0000000..93a362c --- /dev/null +++ b/tests/127.out @@ -0,0 +1,32 @@ +REG FFFFF3F6FFFFB362 +REG 0000000000000000 +REG 0000000000003A6F +REG FFFFFFFF85D80020 +REG 0000000000000000 +REG FFFFFFFFCFDC0000 +REG 0000000030240000 +REG 0000000007FFFFC0 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFCFDBFFFE +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000007FFFFC0 +REG 0000000000000020 +REG FFFFFFFFFFFFC59A +REG FFF0000000000000 +REG 0000000030000000 +REG 0000000030240000 +REG 000000000000000A +REG 0000000000000000 +REG 0000000000003A6F +REG 0000000000000000 +REG FDA5E80007FFFFC0 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 5E7EB08E5E7EB08E +REG 0000000000000000 +REG 0000000081001040 diff --git a/tests/128.bin b/tests/128.bin new file mode 100644 index 0000000..c1da012 Binary files /dev/null and b/tests/128.bin differ diff --git a/tests/128.out b/tests/128.out new file mode 100644 index 0000000..e57bad1 --- /dev/null +++ b/tests/128.out @@ -0,0 +1,32 @@ +REG 000000000000609F +REG 000000000341BF00 +REG 000000000000002E +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFF8 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000040040042 +REG E000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000341BEFF +REG 0000C17600000000 +REG 00000000001FC5F2 +REG 0000000000000000 +REG FFFFFFFFE67F8000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFE23A0D +REG 0000000000003A0D +REG 0000000020848048 +REG 0003600000000000 +REG 0000000000000000 +REG 0007F1FB0007F1FB +REG E000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000000 +REG 00036000CCFF0000 +REG FFFFFFFFFFFFFFD2 +REG 0000000081090090 diff --git a/tests/129.bin b/tests/129.bin new file mode 100644 index 0000000..1c129ac Binary files /dev/null and b/tests/129.bin differ diff --git a/tests/129.out b/tests/129.out new file mode 100644 index 0000000..89898af --- /dev/null +++ b/tests/129.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFF355D +REG 0000000000000000 +REG 000000000000137E +REG 0000000000000000 +REG 0000000000000000 +REG FF006872FF006872 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000004A3D +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFB5E3 +REG FFFFFFFFFFFF9A48 +REG FFFFFFFF9A91D8BF +REG 0280B00000000003 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF137E +REG 0000000000000019 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFD1DCB5C2 +REG 000000002E234A3D +REG 0000000000000000 +REG 0000000000001A56 +REG 0000000050109905 diff --git a/tests/13.bin b/tests/13.bin new file mode 100644 index 0000000..1533d43 Binary files /dev/null and b/tests/13.bin differ diff --git a/tests/13.out b/tests/13.out new file mode 100644 index 0000000..631707d --- /dev/null +++ b/tests/13.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000FFFFC0 +REG 07FF861907CF8619 +REG 0000000000000000 +REG 07FFF6D2FFFFF6D2 +REG 0000000000000138 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFF6D2FFFFF6D2 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000001700000011 +REG 000000001C910000 +REG 0000000000000000 +REG FFFFFFFFE2300000 +REG 0000000000000022 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000138 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFF6D3 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000040100910 diff --git a/tests/130.bin b/tests/130.bin new file mode 100644 index 0000000..96d377d Binary files /dev/null and b/tests/130.bin differ diff --git a/tests/130.out b/tests/130.out new file mode 100644 index 0000000..e57ff12 --- /dev/null +++ b/tests/130.out @@ -0,0 +1,32 @@ +REG FFFFFFFFA80CFFFA +REG FF80000000000000 +REG 0000000000000020 +REG 0000000057F30000 +REG FFFFFFFFFFFFFFFF +REG 000015FCC0000000 +REG FFFFFFBFA80CFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFF5019FFFC0 +REG 00000000FFFF7FE6 +REG 0000000000000005 +REG FFFFFFFFA80CFFFE +REG 0000000000000000 +REG 0000000000000026 +REG 0000000000000000 +REG 0000000000000000 +REG C8397FDFFFFFFFFF +REG FF80004000000000 +REG 0000000057F30005 +REG 0000000057F30000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000002000000 +REG 5600000000000000 +REG 0000004000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000100004090 diff --git a/tests/131.bin b/tests/131.bin new file mode 100644 index 0000000..0f3e937 Binary files /dev/null and b/tests/131.bin differ diff --git a/tests/131.out b/tests/131.out new file mode 100644 index 0000000..c256856 --- /dev/null +++ b/tests/131.out @@ -0,0 +1,32 @@ +REG 176888886EEAD956 +REG 0000000000000000 +REG 0000000079AA995B +REG 000000000000003B +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF867DFEBD +REG 0000000000000000 +REG 0000000000000019 +REG 402421D7402421D7 +REG E8977777E8977777 +REG 865576A486A48664 +REG FFFFFFFF86F5E6E4 +REG FFFFFFFFF01FFFFF +REG 0000000000000002 +REG 146A6EC7FA7AA081 +REG 00000000000BDCE3 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000284F0841 +REG 00000000484B4841 +REG 0000000000000400 +REG E6AA257000000001 +REG FFFFFFFFFFFFFFFF +REG 0707070707070706 +REG F7F7F7F7F7F7F7FA +REG 146A6EC7FA7AA081 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000004000000 +REG 0000000000000000 +REG 0000000110969083 diff --git a/tests/132.bin b/tests/132.bin new file mode 100644 index 0000000..d096f7b Binary files /dev/null and b/tests/132.bin differ diff --git a/tests/132.out b/tests/132.out new file mode 100644 index 0000000..3195a2f --- /dev/null +++ b/tests/132.out @@ -0,0 +1,32 @@ +REG BC75179D68810865 +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000002 +REG 0000000100000001 +REG 0000000000000007 +REG FFFFFFFF3FFEFFFF +REG FFF53FFFFFFEFFFE +REG 001BD799001BD799 +REG 0000007B889AD280 +REG FFFFFFFFC001FFFF +REG 000000000000001E +REG 0000000000000019 +REG 000000000000001D +REG 0000000000000007 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFC44D6F +REG 0000000002000000 +REG 0000000000000304 +REG 00000000000064AA +REG 43913FFB8CDADFFC +REG 0000000000000000 +REG 0000000000000000 +REG 43913FFB889AE015 +REG BC6EC00477652003 +REG 0000000000000006 +REG 0000000000000000 +REG 0000000000000040 +REG 000000003FFF0000 +REG 000000003FFF0000 +REG 0000000044000888 diff --git a/tests/133.bin b/tests/133.bin new file mode 100644 index 0000000..d956439 Binary files /dev/null and b/tests/133.bin differ diff --git a/tests/133.out b/tests/133.out new file mode 100644 index 0000000..233ecf8 --- /dev/null +++ b/tests/133.out @@ -0,0 +1,32 @@ +REG 0000000000003C68 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000048 +REG 0000136180000000 +REG 1FFFE1249C620001 +REG 0000000000009B52 +REG 0000000000001B69 +REG 000000000000FB60 +REG FFFFFFFFFFFF9FF1 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000044088008 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF64AD +REG 0000000000000020 +REG 0000000000000001 +REG 00000000F7BE0020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 1FFFE1249C620001 +REG FFFFFFF600009B43 +REG 0000000080900000 diff --git a/tests/134.bin b/tests/134.bin new file mode 100644 index 0000000..a3177e9 Binary files /dev/null and b/tests/134.bin differ diff --git a/tests/134.out b/tests/134.out new file mode 100644 index 0000000..a46b60a --- /dev/null +++ b/tests/134.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000040004D3E +REG 0000003000000030 +REG 000000000000003E +REG 000000000000000A +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFBB80 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFB +REG 0000001000000010 +REG FFFFFFFFEC8D0000 +REG 0000000000000000 +REG F7F7F7F7F7F7F6E8 +REG 000000000000447F +REG 0000000040004D3E +REG FFFFFFFFFFFFFFFB +REG FFFFFFFFFFFFFFFF +REG 0000000000000010 +REG 0000000000000004 +REG FFFFFFFFC0001FFF +REG 0000000000000011 +REG 0000000000000000 +REG 000000000000001C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000200 +REG FFFFFFFFFFFFFFFF +REG 0000000000200000 +REG 0000000000000001 +REG 0000000051009E9F diff --git a/tests/135.bin b/tests/135.bin new file mode 100644 index 0000000..4b6f347 Binary files /dev/null and b/tests/135.bin differ diff --git a/tests/135.out b/tests/135.out new file mode 100644 index 0000000..21446b5 --- /dev/null +++ b/tests/135.out @@ -0,0 +1,32 @@ +REG FFFFFFFFF21B0000 +REG 00000000D663D815 +REG FFFFFFFFFFFFAEB7 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFC0 +REG 00000000FB920000 +REG 043AF000532FFFBE +REG 0000000041050000 +REG 0000000000000040 +REG 0000000000000000 +REG 07EBADFFFFFFFFFF +REG 00000000D9FE0000 +REG FBC50FFFBAB50000 +REG FFFFFFFFC02DFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FBC50FFFF803FFFF +REG 0000000000000006 +REG 0000006C00000020 +REG 0000000000000040 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 043AF000454AFFFF +REG 0000000000000000 +REG FFFFFFFF78A1FFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001F +REG FFFFFFFEFFFFFFFE +REG 0000000085040811 diff --git a/tests/136.bin b/tests/136.bin new file mode 100644 index 0000000..757a647 Binary files /dev/null and b/tests/136.bin differ diff --git a/tests/136.out b/tests/136.out new file mode 100644 index 0000000..46c09e7 --- /dev/null +++ b/tests/136.out @@ -0,0 +1,32 @@ +REG 000800008000040E +REG FF2501C97F257EC6 +REG FFFFFFFF8000000D +REG 6BC863686BC7F63E +REG FFFFB807FFFFFFC0 +REG 000000000000003F +REG 0000000000000000 +REG 94379C97943809C1 +REG 0207400000000040 +REG 0000000000000000 +REG 0008000011FF6BC8 +REG 0008000011FFDFC2 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFC219 +REG 00DAFE3680DA8139 +REG 000000008000040E +REG 0008000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000004 +REG FFFFFFFFFFFFFFC0 +REG FFFFFFFFFFFFB30D +REG FFFFF80000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFC218 +REG 0000000000000005 +REG 0000000000000000 +REG 0000007FFFF03FFF +REG 6BC863686BC7F600 +REG 0000000000000001 +REG 0000000051F40393 diff --git a/tests/137.bin b/tests/137.bin new file mode 100644 index 0000000..3ad9fa3 Binary files /dev/null and b/tests/137.bin differ diff --git a/tests/137.out b/tests/137.out new file mode 100644 index 0000000..72deba9 --- /dev/null +++ b/tests/137.out @@ -0,0 +1,32 @@ +REG FFFFFFFFF7FFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000002E95 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFC1 +REG 000000000000003E +REG 0000000000000000 +REG 0000000000002E95 +REG 0000000000210341 +REG 0000000000000000 +REG 000000000021037F +REG 0000000000200040 +REG 0000000000010300 +REG FFFFFBE80307BA24 +REG 0000000000210341 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000210341 +REG 0000000000000000 +REG FFFFFFFFFFDEFC80 +REG FFFFFFFFFFFEFCDF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFDEFCBE +REG 0000000000000001 +REG 0000000100001040 diff --git a/tests/138.bin b/tests/138.bin new file mode 100644 index 0000000..99df2cd Binary files /dev/null and b/tests/138.bin differ diff --git a/tests/138.out b/tests/138.out new file mode 100644 index 0000000..e787cd2 --- /dev/null +++ b/tests/138.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000001 +REG FFFFFFFFFFF364E0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000FE28 +REG FFFFFFFF0B3F0003 +REG 0000000000000003 +REG 0000010031ED0100 +REG FFFFFFFF0B3EFFFF +REG 00000000F4C117CD +REG 000000001FE00004 +REG 0000000048AC0000 +REG 000000000003BFDF +REG 00000000F4C10000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFF9F89 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000F4C10000 +REG 0000000000000000 +REG 0007FFFFFFF00000 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG 0000000100800080 diff --git a/tests/139.bin b/tests/139.bin new file mode 100644 index 0000000..eab6303 Binary files /dev/null and b/tests/139.bin differ diff --git a/tests/139.out b/tests/139.out new file mode 100644 index 0000000..dac22b0 --- /dev/null +++ b/tests/139.out @@ -0,0 +1,32 @@ +REG FFFFBFFF3326BA1C +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000400000000000 +REG 0000000000800000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000800000 +REG 0000000000000000 +REG 0000400000000000 +REG 0000400000000000 +REG 0000000000006490 +REG FFFFFFFFC0800000 +REG 0000000000000000 +REG 000000000000C3A3 +REG 000000000000064D +REG 0000000100000000 +REG 0000000000800000 +REG 0000000000000001 +REG 0000000000001E80 +REG FFFFFFFFFFFFFFFF +REG 0000000039110000 +REG 0000000000000001 +REG 0000000039110000 +REG 00000000CCD90000 +REG 0000000000001E80 +REG FFFFFFFFFFFFFFFF +REG FFFF8000007FFFFF +REG 0001FFFFFFFFFFFF +REG 0000000080000040 diff --git a/tests/14.bin b/tests/14.bin new file mode 100644 index 0000000..c686da3 Binary files /dev/null and b/tests/14.bin differ diff --git a/tests/14.out b/tests/14.out new file mode 100644 index 0000000..7fdbf7f --- /dev/null +++ b/tests/14.out @@ -0,0 +1,32 @@ +REG 000000007FFFFFFE +REG 0000000000000000 +REG 0000000020000000 +REG 0000007FE0000001 +REG 000000001193839D +REG 0000000008000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG F80000004000001F +REG 0000000000000000 +REG FFFFFFFF03FF0A25 +REG 0000000000000000 +REG 0000000011930001 +REG 00000000FDF3B5DA +REG FFFFFFFF03C10A25 +REG 0000000000000002 +REG 00000104FFFF959F +REG 1000000000000000 +REG 0000000000000000 +REG 0000010500000100 +REG 0000000000000100 +REG FFFFFFFF00FFFF00 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 003F7CED76800006 +REG 0000000000200000 +REG FFFFFFFFFFFFFFFF +REG 0000000001F34000 +REG 00000000880085E8 diff --git a/tests/140.bin b/tests/140.bin new file mode 100644 index 0000000..b8ac7d8 Binary files /dev/null and b/tests/140.bin differ diff --git a/tests/140.out b/tests/140.out new file mode 100644 index 0000000..968e26f --- /dev/null +++ b/tests/140.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFF00FFFD +REG E3E0000000000000 +REG FFFFFFFFFFFFBE9C +REG 0000000000FF0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000001CD20000 +REG 0000000180DB0000 +REG FFFFFFFD00000000 +REG 0000000000FF0000 +REG FFFFFFFFFFFFBE7D +REG 0000000300000000 +REG 0000000000000000 +REG 0503000000000000 +REG 0000080000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000001CD20000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000028000000 +REG 0000000028000000 +REG 0000000000000000 +REG 000000000000001F +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000110000000 diff --git a/tests/141.bin b/tests/141.bin new file mode 100644 index 0000000..811896e Binary files /dev/null and b/tests/141.bin differ diff --git a/tests/141.out b/tests/141.out new file mode 100644 index 0000000..a013dad --- /dev/null +++ b/tests/141.out @@ -0,0 +1,32 @@ +REG 000003FFFFFFFFD5 +REG FFFFFFFFFFFFFFFD +REG 000000000000001B +REG FFFFFC0034820033 +REG 00000000FFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000034820001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000000 +REG 000000000000001F +REG EFFFFFFFFF380000 +REG 00000000CC770000 +REG 000003FFFFFFFFCE +REG 0000000000000000 +REG FFFFFFFFFFFFFFCE +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000001B +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000020 +REG 000000000000001B +REG 000000007D9B0001 +REG 0000000090889110 diff --git a/tests/142.bin b/tests/142.bin new file mode 100644 index 0000000..894fe45 Binary files /dev/null and b/tests/142.bin differ diff --git a/tests/142.out b/tests/142.out new file mode 100644 index 0000000..242840e --- /dev/null +++ b/tests/142.out @@ -0,0 +1,32 @@ +REG 1DFFFFFFB0001B0B +REG 0000000000000200 +REG 0000000000000000 +REG FFFFFFFFFFFF5B8F +REG FFFFFFFFFFFFFFFB +REG 0000000000000000 +REG FFFFFFFFFFFFFBEF +REG FFFFFFFFFFFFFFFB +REG 000000006B8B0000 +REG FFFFFFFF58F6E3D4 +REG FFFFFFFFFFFFFFFF +REG 0000000001000000 +REG FFFFFFFC00000000 +REG FFFFFFFFFFFFFFFB +REG 000000000000A474 +REG 0000000007080202 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFB +REG 0000000000000001 +REG 00000003FFFFFFFD +REG 0000000000800000 +REG FFFFFFFFFEFF8844 +REG 0000000000000000 +REG 0000000040000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFC +REG FFFFFFFFBEFF8844 +REG 000000009F110909 diff --git a/tests/143.bin b/tests/143.bin new file mode 100644 index 0000000..a8a4211 Binary files /dev/null and b/tests/143.bin differ diff --git a/tests/143.out b/tests/143.out new file mode 100644 index 0000000..ec030eb --- /dev/null +++ b/tests/143.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFCD1A +REG 0000000026225606 +REG 000000000000C7C7 +REG 00000000000000FF +REG 000000000000C201 +REG 0000000002119D11 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000C202 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 000000000000000F +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000C202 +REG 000000000000C7C7 +REG FFFFFFFF000000FF +REG 0000000000000000 +REG 00000000000032E4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000F4E5 +REG 0000000000000000 +REG FFFFFFFFFFFF3DFF +REG 000000000000C202 +REG 0000000002116F74 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000881FE890 diff --git a/tests/144.bin b/tests/144.bin new file mode 100644 index 0000000..ddbc91f Binary files /dev/null and b/tests/144.bin differ diff --git a/tests/144.out b/tests/144.out new file mode 100644 index 0000000..3cc9592 --- /dev/null +++ b/tests/144.out @@ -0,0 +1,32 @@ +REG FFFFFC00FCFF000A +REG FFFFFFFFBFFFFFFF +REG 0000000028444284 +REG 000003FE4200FFF0 +REG FF1D9B1E28444288 +REG 0000000000000000 +REG 000000000000D081 +REG FFFBFBE78972F7DF +REG FFFFFFFDFFFFFEFE +REG 0000000000000000 +REG 000269EA40000000 +REG 0000000020000000 +REG 00040418FFFFFFFF +REG 00000000E0000000 +REG 0000000000000002 +REG 00000000302B0005 +REG FFFFFFFFFFE00065 +REG FFFFFFFDFFFFFEFE +REG 0000000000000000 +REG 000404181FFF9A14 +REG 0FFC000000000040 +REG 0000002040000000 +REG 0000002040000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFC4B97BEF +REG 34B6D4812343E0BF +REG 0000000000000005 +REG FFFBFBE78972F7DF +REG FFFFFFFFFFFFFFFF +REG 02E963B7651D0000 +REG 0000000110888908 diff --git a/tests/145.bin b/tests/145.bin new file mode 100644 index 0000000..978f1d9 Binary files /dev/null and b/tests/145.bin differ diff --git a/tests/145.out b/tests/145.out new file mode 100644 index 0000000..84935dd --- /dev/null +++ b/tests/145.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFF1001 +REG 0000000000007241 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF101B +REG 0000002000000020 +REG F8138E4D1F638D99 +REG 0000000000000000 +REG 000000003AF90001 +REG 0000000000000000 +REG FFFFFFFFFFFF101B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0003FFFFE0000000 +REG 00001E4C00002D71 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000002000000020 +REG FFFFFFFFFFFF101B +REG FFFFFFFFFFFFFFFF +REG 000000000000EC8D +REG 0808080808080104 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFC09C7267 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000089109F10 diff --git a/tests/146.bin b/tests/146.bin new file mode 100644 index 0000000..3c0dc8c Binary files /dev/null and b/tests/146.bin differ diff --git a/tests/146.out b/tests/146.out new file mode 100644 index 0000000..ff12753 --- /dev/null +++ b/tests/146.out @@ -0,0 +1,32 @@ +REG F000123FC5BFFBBF +REG 0003FFFFFFC00000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000001 +REG 000000000000001A +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 000000000000001A +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003F +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000001 +REG 0000000000000020 +REG 00BFFFFFFFF67C40 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000088080905 diff --git a/tests/147.bin b/tests/147.bin new file mode 100644 index 0000000..34a196e Binary files /dev/null and b/tests/147.bin differ diff --git a/tests/147.out b/tests/147.out new file mode 100644 index 0000000..1a04394 --- /dev/null +++ b/tests/147.out @@ -0,0 +1,32 @@ +REG 0000000020000028 +REG 00000000000004C6 +REG FFFFFFFFFFFF58C1 +REG 0000000000003AE4 +REG FFFFFFFFFFFFAFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000003E9B +REG 0000000000000000 +REG FFFFFF00FFFF0001 +REG 0000000000000000 +REG 000000009F907088 +REG 0FFFFFE000000000 +REG 0000000000000000 +REG 000000009F907088 +REG FFFF97C1CB900000 +REG 0000000000000001 +REG FFFFFFFFFFFF58C1 +REG 0000000000000000 +REG 0000000000005F67 +REG 000000040000A73E +REG 0000000000000000 +REG 0000000000000003 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0007FFFFFFFFAFFF +REG 0000000108691091 diff --git a/tests/148.bin b/tests/148.bin new file mode 100644 index 0000000..b258aae Binary files /dev/null and b/tests/148.bin differ diff --git a/tests/148.out b/tests/148.out new file mode 100644 index 0000000..3f9f29f --- /dev/null +++ b/tests/148.out @@ -0,0 +1,32 @@ +REG FFFFFFC099AEC949 +REG 0000000000000000 +REG 000000008E3CFFFF +REG 0000000000000000 +REG 0000000000000000 +REG B9A73047B9800047 +REG 0000000000000000 +REG 7FFE000000000000 +REG 0000000000000000 +REG 05357FFFAB2A0001 +REG 000000000000E000 +REG 0000000000000000 +REG 000000000000551D +REG 0000000000000000 +REG FFFE800054D5FFDE +REG 0000000069CC8609 +REG 0000000000000000 +REG FFFFFFFFFFFFD9E3 +REG 05357FFFABBA0001 +REG 0000000000000000 +REG 5FFFF14D5FFFE04D +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFC000000000 +REG 0000002000000000 +REG 0000000000000070 +REG 0000000002271821 +REG 0000002000000000 +REG 0000000000000000 +REG 00000000808A9080 diff --git a/tests/149.bin b/tests/149.bin new file mode 100644 index 0000000..7caa0f2 Binary files /dev/null and b/tests/149.bin differ diff --git a/tests/149.out b/tests/149.out new file mode 100644 index 0000000..dd09d06 --- /dev/null +++ b/tests/149.out @@ -0,0 +1,32 @@ +REG 0060000001000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000F000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG FFFFFFE0FFFFFFE0 +REG 0060000000000466 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 389FF9E0383FF3C0 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000C00000000000 +REG 0000000000000000 +REG FFFFFFFFFFFEFFFC +REG 0000000000001000 +REG 00000000AF900000 +REG C760061FC7C00C3F +REG 0060000000000000 +REG 000000008824FF21 +REG 389FF9E07E7FF3C0 +REG 0000000000000000 +REG 0000000000000020 +REG 000000001E481F01 diff --git a/tests/15.bin b/tests/15.bin new file mode 100644 index 0000000..7587838 Binary files /dev/null and b/tests/15.bin differ diff --git a/tests/15.out b/tests/15.out new file mode 100644 index 0000000..2c75613 --- /dev/null +++ b/tests/15.out @@ -0,0 +1,32 @@ +REG FFFFFFFFC0000001 +REG 000000000000003B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000008C54 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000008C00 +REG 0000000000000040 +REG 000000000000003B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000010000 +REG 0000000000000000 +REG 0000000000000008 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000008 +REG FFFFFFFFFFFFFFE4 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFE4 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFA16C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000001FFFE00 +REG 000000000000001B +REG 0000000089108DA8 diff --git a/tests/150.bin b/tests/150.bin new file mode 100644 index 0000000..20edb78 Binary files /dev/null and b/tests/150.bin differ diff --git a/tests/150.out b/tests/150.out new file mode 100644 index 0000000..eff9eda --- /dev/null +++ b/tests/150.out @@ -0,0 +1,32 @@ +REG 000000164A090BBD +REG 0000000000000007 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000080000000 +REG 0000000071D00000 +REG 00000000FF740000 +REG 0000000071CFFFFF +REG 0000000000000040 +REG A0D020025DF4DB12 +REG FE00000000000000 +REG FFFFFFFFFFFFFFFF +REG 5F2FDFE758005FE7 +REG 0000000000000020 +REG FFFFFFE97EFF3AFA +REG FFFFFFFFFFFFE1FB +REG 0000000029F43773 +REG 0000000000000221 +REG 5F2FDFF1120A9AE2 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFA +REG FFFFFFE97EFF3AF9 +REG 0000000029F43773 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000029F4BFF7 +REG 00000000FF741977 +REG 0000000110889FF0 diff --git a/tests/151.bin b/tests/151.bin new file mode 100644 index 0000000..2cfaa7a Binary files /dev/null and b/tests/151.bin differ diff --git a/tests/151.out b/tests/151.out new file mode 100644 index 0000000..a17271b --- /dev/null +++ b/tests/151.out @@ -0,0 +1,32 @@ +REG FFC00000D688A0B3 +REG 0000000000000000 +REG 0000000000000002 +REG 000000000000071C +REG 0000000000000000 +REG 0000000040000304 +REG 700000027D7F6732 +REG FFFFFFFFFFFFFCFB +REG 000000002F74071C +REG 0000000000000000 +REG FFFFFFEFFFFFFFEF +REG 00000000D16B071D +REG FFFFFFFFFFFFFCFB +REG 00000000BBD82480 +REG 000000002CAFF8F6 +REG FFFFFFFFFFFFFCFB +REG 000000002F740000 +REG 0000000000000000 +REG 00000000000051DF +REG 0000000000000020 +REG 0000000000000000 +REG 000000000000802E +REG 0000000000000000 +REG 0000000000000000 +REG 700000027D7FF7B2 +REG 0000000020C80FDF +REG 0000000000000040 +REG FFFFFFFF50040AFA +REG 0000000020C80FE0 +REG 000000006CAFFBF2 +REG 0000000000000000 +REG 0000000081901E81 diff --git a/tests/152.bin b/tests/152.bin new file mode 100644 index 0000000..fe6b3fd Binary files /dev/null and b/tests/152.bin differ diff --git a/tests/152.out b/tests/152.out new file mode 100644 index 0000000..eb9fafc --- /dev/null +++ b/tests/152.out @@ -0,0 +1,32 @@ +REG FFFFFFFFC3E40021 +REG FFFFFFFFFFFFFFFC +REG FFFFFFFF3FBC0000 +REG 400000001535E106 +REG 8573E62385600023 +REG 00BAF07200000000 +REG FFFFFFFF3C1BFFFF +REG 0000000000000000 +REG 00000007D1AD813B +REG FFFD496AE63731E9 +REG 0000000054D70019 +REG FFFFFFFF3C1BFFFF +REG 0000000000000001 +REG FFFFFFFFFFFFD55A +REG 0000000054D70019 +REG 00BAF07200000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 400000001535C006 +REG 0000000054D70019 +REG 000000001809D559 +REG FFFFFFFFF0AC0004 +REG 000FFF8000000000 +REG FED17EFF8F51833B +REG 000000007051813B +REG FFF0000000000000 +REG 000000001809FFFC +REG 0000100000000000 +REG 0000000000000040 +REG 00000000180A0000 +REG 0000000110111D01 diff --git a/tests/153.bin b/tests/153.bin new file mode 100644 index 0000000..2a549ca Binary files /dev/null and b/tests/153.bin differ diff --git a/tests/153.out b/tests/153.out new file mode 100644 index 0000000..0ff6ee6 --- /dev/null +++ b/tests/153.out @@ -0,0 +1,32 @@ +REG 0000000000010FFE +REG FFFFFFFFFFFF0000 +REG FFFFFFFFFFFFFFFF +REG 0000000000028000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0003FFFFFFFF8000 +REG 0000002000000014 +REG 0000000000000000 +REG 00000000011B289B +REG FFFFFFFFFFFFFFE6 +REG 0000000000000000 +REG FFFFFFDFFFFFFFEB +REG 0000000000000001 +REG 0000000000000000 +REG 0003FFFFFFFF8000 +REG 0000000000000000 +REG FFFFFFFFFEE4D765 +REG 0000000D6C00000D +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF00FFFF00 +REG 0000000000000040 +REG FFFFFFFFFFFFC6F8 +REG 0000007C00000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000101C88088 diff --git a/tests/154.bin b/tests/154.bin new file mode 100644 index 0000000..c019a46 Binary files /dev/null and b/tests/154.bin differ diff --git a/tests/154.out b/tests/154.out new file mode 100644 index 0000000..be249d9 --- /dev/null +++ b/tests/154.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000200000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000002000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0380000003000000 +REG 0000000000000001 +REG 80000000007E0000 +REG FFFFFFFFFFFFFFFF +REG 0000000000005973 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 80000000007E0000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000200000 +REG 00000100000072FD +REG 7FFFFFFFFC000000 +REG 0000000000000040 +REG 000000009F000081 diff --git a/tests/155.bin b/tests/155.bin new file mode 100644 index 0000000..a51e838 Binary files /dev/null and b/tests/155.bin differ diff --git a/tests/155.out b/tests/155.out new file mode 100644 index 0000000..baa57dd --- /dev/null +++ b/tests/155.out @@ -0,0 +1,32 @@ +REG FFFFFFFF00FFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFD5BFFF7F +REG FFFFFFFFFFFF522B +REG FFFF03FF800F037F +REG FFFFFFFFFFFFFF80 +REG 0000000000000000 +REG 000000000000001A +REG 0000000000000000 +REG 0000000003000000 +REG 0000000000007208 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFC0 +REG 00000000000038FE +REG FFFFFFFFFFFFC6CC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000080 +REG FFFFFFFFFFFFFF80 +REG 0000000000000000 +REG 080808086C090808 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFDD7 +REG 0000000000000000 +REG 0000000000000000 +REG 000038FACC003934 +REG 000000009E810905 diff --git a/tests/156.bin b/tests/156.bin new file mode 100644 index 0000000..1eaf628 Binary files /dev/null and b/tests/156.bin differ diff --git a/tests/156.out b/tests/156.out new file mode 100644 index 0000000..8a50864 --- /dev/null +++ b/tests/156.out @@ -0,0 +1,32 @@ +REG 000000004292FFE6 +REG 0000000010000000 +REG 0000000000001800 +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001C +REG FFFFFFFFFFFFC17D +REG 0000001B0000001B +REG FFFFFFFFFFFFFF00 +REG FFFFFFFFFFFFFFFB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000004 +REG 0000000010000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFB +REG 000040000000ECEB +REG 0000000000000000 +REG FFFFFFCAFFFFFFE7 +REG 0000000000000004 +REG FFFFFFFFF800002D +REG 0000000000000000 +REG FFFFFFE4FFFFFFE5 +REG 0000000000000001 +REG 000039C7B8003FFF +REG 0000000000000040 +REG FFFFFFFFF7FFFFFF +REG 007FE60000000042 +REG FFFFFFFFFFFFFFFB +REG 000000009110869A diff --git a/tests/157.bin b/tests/157.bin new file mode 100644 index 0000000..4b260b0 Binary files /dev/null and b/tests/157.bin differ diff --git a/tests/157.out b/tests/157.out new file mode 100644 index 0000000..c0cc483 --- /dev/null +++ b/tests/157.out @@ -0,0 +1,32 @@ +REG FFFFFFFFAFFFFFBB +REG 0000000000003D84 +REG 0000000000000004 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 885E5E7C0E4B2F16 +REG 0000000001207782 +REG C000000000000001 +REG 0000000000000000 +REG 07FFFFF800000000 +REG 0000000000000039 +REG 0000000000000016 +REG 00000000012077AB +REG FFD4BFE538420CE0 +REG FFDFFFFFADDD6477 +REG 0000000000000000 +REG 000000012077ABA0 +REG FFFFFFFFFFFFFFFE +REG 002B401AC7BDFA06 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000018F543 +REG 0000000000000020 +REG 00000000012077AF +REG 885E5E7C0E4B673F +REG 002B401AC7BDF33F +REG BFDFFFFFADDD6478 +REG 0000000000000000 +REG 0000000100000000 +REG 0000000000000000 +REG 000000000018F543 +REG 0000000088891130 diff --git a/tests/158.bin b/tests/158.bin new file mode 100644 index 0000000..22cc3bc Binary files /dev/null and b/tests/158.bin differ diff --git a/tests/158.out b/tests/158.out new file mode 100644 index 0000000..245b844 --- /dev/null +++ b/tests/158.out @@ -0,0 +1,32 @@ +REG 0FFFFFFFF6F6BD9D +REG 0000000000000001 +REG FFFFFFFFFFFFCE91 +REG 0000000000000000 +REG 0001FFE000003FFF +REG 0000000000000011 +REG 0000000026318F99 +REG 0000000000000000 +REG 0000000000000029 +REG 000000002631D3DD +REG 000007FFD2000000 +REG 000007FFD2004380 +REG 0000000000000000 +REG 0000000000000006 +REG 000000002631909D +REG 00000000240FFF88 +REG 0000000026318F99 +REG 0C5B964000000000 +REG 0000000000000012 +REG 0000000031800526 +REG EBDE000000000000 +REG FFFFFFFFFFFFFFFF +REG 0C5B9640FFF858A1 +REG 0000000000000000 +REG 00000000FFF8800C +REG F3A469C00000275E +REG 31D3DD2631800526 +REG 00000000AE56FFD2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 00000000881FFF11 diff --git a/tests/159.bin b/tests/159.bin new file mode 100644 index 0000000..ee6cc0e Binary files /dev/null and b/tests/159.bin differ diff --git a/tests/159.out b/tests/159.out new file mode 100644 index 0000000..6963488 --- /dev/null +++ b/tests/159.out @@ -0,0 +1,32 @@ +REG FFFFFFFFF0000F2C +REG 00000000000004A7 +REG 0000000000000000 +REG 000000000000437D +REG 0000000072CF0007 +REG 0000000007C766F9 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000F930000000000 +REG 000000000000FDFF +REG 00000000000050C0 +REG 0000000000000018 +REG 0000000000000006 +REG 0000000007C766F9 +REG 0000000000000000 +REG 0000000000000002 +REG 000000200FFFFF59 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000001FFF40 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001D +REG FFFFFFFFFFFFFFE4 +REG 0000000000000020 +REG 0000000000000000 +REG 00000000000050C0 +REG 0000000000000003 +REG 0000000000000000 +REG 0000000007C7C000 +REG 0000000089010008 diff --git a/tests/16.bin b/tests/16.bin new file mode 100644 index 0000000..f9d74f7 Binary files /dev/null and b/tests/16.bin differ diff --git a/tests/16.out b/tests/16.out new file mode 100644 index 0000000..3fa6d8d --- /dev/null +++ b/tests/16.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFBA +REG 0000541200005412 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 07FEA9FFFFFFB03F +REG DC0FFFFCDFFF9BFC +REG 0000000000000000 +REG DC0FFFFCC96089A0 +REG FFFFD7FFFFFFFC00 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF8D000024C1 +REG 00000000FA5D0000 +REG 0000AA1DE960E9A0 +REG 0000000000000000 +REG 0000000000000000 +REG 07FEAA0000000000 +REG 0000007300000073 +REG 0000000000000040 +REG 000000000000004D +REG 0000000000000000 +REG 000100002A090000 +REG 0000000000000000 +REG 000155E200000000 +REG 0000000000000000 +REG 0000000000001118 +REG 0000AA1D0120E9A0 +REG 07FEAA0000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF80 +REG 0000000041004886 diff --git a/tests/160.bin b/tests/160.bin new file mode 100644 index 0000000..3442dc1 Binary files /dev/null and b/tests/160.bin differ diff --git a/tests/160.out b/tests/160.out new file mode 100644 index 0000000..8cc652e --- /dev/null +++ b/tests/160.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFEDFC591 +REG FFFBAC6D77C3BE4D +REG 0000008007C00000 +REG 1EFDFDDD84080888 +REG 0000000000000002 +REG 0000000000000000 +REG FFFFFFFFDFFFFFFF +REG 7FFFFFFFF8000000 +REG 00000000200014B3 +REG 051411B58800385F +REG 0000000000000000 +REG FFFFFFFF583FC7A1 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000800000000000 +REG E000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFF83FFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFDEFE +REG 0000000000000000 +REG FFFFFFFF5FFFC7A2 +REG 0000007FFFFFFFFF +REG 00045392883C41B2 +REG 0000000000000000 +REG 00000000000069B2 +REG FFFFFFFE8526FFFF +REG FFFFFFFFFFFFE49A +REG FFFFFFFEE9299BDB +REG FFFFFFFFFFFFFFFF +REG 0000000020000000 +REG 0000000048101110 diff --git a/tests/161.bin b/tests/161.bin new file mode 100644 index 0000000..424cd40 Binary files /dev/null and b/tests/161.bin differ diff --git a/tests/161.out b/tests/161.out new file mode 100644 index 0000000..310eb69 --- /dev/null +++ b/tests/161.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFDF31F2 +REG FFFFFFFFFFFFFFFF +REG 00000FFFFE97F840 +REG FFFFFFFFFFFD2FF0 +REG F01BB1A4FEAF7800 +REG 0000000000000021 +REG 0000000000000000 +REG FFFFF00001680598 +REG FFFFF000016807C0 +REG FFFFFFFFFD6566DB +REG 0000000009500002 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 00000FFFFE97F840 +REG 0000000000000F47 +REG FFFFFFFFFFFFFFFF +REG 000000004D820B48 +REG 05BD55C7CB82D4DE +REG FFFFFFFFFFFFFFFF +REG 0020000000000000 +REG 0000000000000F48 +REG 05BD55C837A5D51E +REG 0000000000000000 +REG 0000000000000227 +REG 05BD55C837A5D51E +REG FFFFFFFFFFFDE2B8 +REG FA42AA37C85A2AE2 +REG 0000000000000002 +REG 0000000000000000 +REG 000000008001008B diff --git a/tests/162.bin b/tests/162.bin new file mode 100644 index 0000000..1fba618 Binary files /dev/null and b/tests/162.bin differ diff --git a/tests/162.out b/tests/162.out new file mode 100644 index 0000000..ec6c41e --- /dev/null +++ b/tests/162.out @@ -0,0 +1,32 @@ +REG 000000007FF8FFFF +REG FFFFFFFFFFFFFFFF +REG 0C7A4000000011DE +REG 0C7A4000000011DE +REG 0000000000000040 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000000000000 +REG 000000007FF8FFFF +REG 000000011CF00000 +REG 00000000000059BF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 000000007FF8FFFF +REG 00000000000032F2 +REG 0000000000000000 +REG 0000000000000000 +REG 000000001CF00000 +REG 00000002CDF80000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000027EE +REG FFFFFFFD3207FFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFCD0D +REG 0000000000000000 +REG 0000000000000020 +REG 000000004C881088 diff --git a/tests/163.bin b/tests/163.bin new file mode 100644 index 0000000..7631032 Binary files /dev/null and b/tests/163.bin differ diff --git a/tests/163.out b/tests/163.out new file mode 100644 index 0000000..4dedab2 --- /dev/null +++ b/tests/163.out @@ -0,0 +1,32 @@ +REG 007FFFFFF81FFFDE +REG 0000000000000000 +REG 0000000074800000 +REG 000000000000239A +REG 0000000000000000 +REG 0000000000000000 +REG 0000011CD0000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000005D3 +REG 0000000000000000 +REG 0000000048484F80 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000007B7C +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFF007FFFF +REG 0000000000007B7C +REG 0000000000000000 +REG 0000000000003479 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFE00007F +REG 0000011CD0000000 +REG 0000000000000000 +REG 0000000048909F11 diff --git a/tests/164.bin b/tests/164.bin new file mode 100644 index 0000000..75abc99 Binary files /dev/null and b/tests/164.bin differ diff --git a/tests/164.out b/tests/164.out new file mode 100644 index 0000000..d29dac4 --- /dev/null +++ b/tests/164.out @@ -0,0 +1,32 @@ +REG 000002A1B5EAD148 +REG 000000000000003E +REG BFFFFFFFFFFFFFFF +REG FFFFFFFAFFFFC000 +REG FFFFFFFFFFFFFFFD +REG 7000000078F9F2D5 +REG 0000000000000000 +REG 8FFFFFFF87060D2B +REG FFFFFFFFFFFF0880 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFE27A85802 +REG 0000000000000000 +REG 00000000000001F0 +REG FFFFFFFFFFFFFFF1 +REG FFFFFFFFFFFFFFFA +REG 00000000A0C1001B +REG 000000000000000F +REG 00000000000001FF +REG FFFFFFFFFFFFFFFD +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDC +REG 000000000000001B +REG 00000001D4A75032 +REG FFFFFFFF99FFFF80 +REG 7000000078F9F2D5 +REG FFFFFD5E4A15893E +REG FFFFFFFF99FFFF83 +REG FFFFFFFF99FFFF80 +REG 0000000109090011 diff --git a/tests/165.bin b/tests/165.bin new file mode 100644 index 0000000..750db84 Binary files /dev/null and b/tests/165.bin differ diff --git a/tests/165.out b/tests/165.out new file mode 100644 index 0000000..9743289 --- /dev/null +++ b/tests/165.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000E587 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040D18E57 +REG 0000000000000000 +REG 0000000000008E56 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFA3C2 +REG 0000000040D10001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFBF2EFFFE +REG 0000000000000000 +REG 000000000000D8E2 +REG 00000001001E1086 diff --git a/tests/166.bin b/tests/166.bin new file mode 100644 index 0000000..fc730a4 Binary files /dev/null and b/tests/166.bin differ diff --git a/tests/166.out b/tests/166.out new file mode 100644 index 0000000..87979c7 --- /dev/null +++ b/tests/166.out @@ -0,0 +1,32 @@ +REG 007FFFFFF7FFEFF7 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF9 +REG FFFFFFFFFFFFDFEF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFCC7B +REG 0000000004840000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000800 +REG FFFFFFFFC0A20000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000024 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0001020200010202 +REG FFFFFFFFC0A20000 +REG 0000000000000007 +REG FFFFFFFFFFFFFFD1 +REG FFFFFFFFFFFFB77F +REG 000000004A090088 diff --git a/tests/167.bin b/tests/167.bin new file mode 100644 index 0000000..bd275bf Binary files /dev/null and b/tests/167.bin differ diff --git a/tests/167.out b/tests/167.out new file mode 100644 index 0000000..a30ac26 --- /dev/null +++ b/tests/167.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFC420 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000093110000 +REG 000000000003FFFF +REG 0000000000000000 +REG 0000000000003BDF +REG FFFFFFFFFFFFC3FF +REG 0000000000000000 +REG 000000000003FFFC +REG 0000000000000000 +REG 0000000050990000 +REG 0000003FFEDDC3FF +REG 0000000000000000 +REG 0000000000003BDF +REG FFFFFFFFFFFFFFFF +REG 0000000000060000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001D00 +REG 0000003FFEDDC3FF +REG FFFFFFFFDFFFFFF8 +REG 0000000000003BDF +REG 0000000000000000 +REG 0000000000003BDF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000111EA1101 diff --git a/tests/168.bin b/tests/168.bin new file mode 100644 index 0000000..f34a1fc Binary files /dev/null and b/tests/168.bin differ diff --git a/tests/168.out b/tests/168.out new file mode 100644 index 0000000..555d38a --- /dev/null +++ b/tests/168.out @@ -0,0 +1,32 @@ +REG 0000000FFFFFAA09 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000167DB6BDF144 +REG FFFFFFFFFFFFFFFF +REG 0000000026F7CB54 +REG 0000000000000000 +REG FFFFE98249424366 +REG 0000000000000008 +REG 00000007FFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000034AB +REG 0000000000000000 +REG 00000017FEFF13EC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFDFE +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000000AC +REG 0000000000000016 +REG 0000000000000000 +REG 000000000000004D +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFF7FFFFAA09 +REG 000000005F080521 diff --git a/tests/169.bin b/tests/169.bin new file mode 100644 index 0000000..2c0916d Binary files /dev/null and b/tests/169.bin differ diff --git a/tests/169.out b/tests/169.out new file mode 100644 index 0000000..08fc32c --- /dev/null +++ b/tests/169.out @@ -0,0 +1,32 @@ +REG B7DDDBBDB7DDDBFD +REG 0007FFE7E0938000 +REG 0000000000000000 +REG FFFFFFFFFFFF85E7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFE7E09380 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF85E7 +REG 0000000000000000 +REG FFFFFFFFFFFF8807 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG 0000000800000008 +REG FFFFFFFFFFFE7E09 +REG 0000000000000000 +REG F7F7F7F7FFFFFFFF +REG 0000000000000020 +REG 0808080800000000 +REG 0000000000000000 +REG FFFFFFFFFFFF85E7 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000007FF8400 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000049091089 diff --git a/tests/17.bin b/tests/17.bin new file mode 100644 index 0000000..25aed02 Binary files /dev/null and b/tests/17.bin differ diff --git a/tests/17.out b/tests/17.out new file mode 100644 index 0000000..466f290 --- /dev/null +++ b/tests/17.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFF3AF0D +REG 0000000000000020 +REG FFFFDE78FFFFDE78 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF6B45 +REG 00000000000094BA +REG FFFFFFFFFFFFFFE3 +REG 0000000000000000 +REG 0000000000000000 +REG 003FFFFFFF000000 +REG 0000000000000000 +REG 000000000000611C +REG FFFFFFFFFFFFCC51 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 003FFFFFFEFFFFFF +REG 0000000000000000 +REG 0000000000000031 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000004A5D +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003D +REG 0000000000000000 +REG 000000005FFE0103 diff --git a/tests/170.bin b/tests/170.bin new file mode 100644 index 0000000..6fcff4f Binary files /dev/null and b/tests/170.bin differ diff --git a/tests/170.out b/tests/170.out new file mode 100644 index 0000000..65d3abe --- /dev/null +++ b/tests/170.out @@ -0,0 +1,32 @@ +REG 00FFFC00FFC00000 +REG 0000000000000000 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFCBCFC0EFFF +REG 0000000000000000 +REG 000000000000000C +REG 0000000000000004 +REG 000007FFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000000F000000 +REG 000000006C7F0000 +REG FFFFFFFFFFFFFFFF +REG 00000234303F1000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000004 +REG 00000234303F1000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FF000000FFFFFFFF +REG 0000000000000000 +REG 0000000000000040 +REG 0000000081101010 diff --git a/tests/171.bin b/tests/171.bin new file mode 100644 index 0000000..1c0db58 Binary files /dev/null and b/tests/171.bin differ diff --git a/tests/171.out b/tests/171.out new file mode 100644 index 0000000..85064a1 --- /dev/null +++ b/tests/171.out @@ -0,0 +1,32 @@ +REG FFFFF767D30CDDC2 +REG 0000000000000000 +REG 0000000000000000 +REG 0080000000800000 +REG FA0000000001FFFF +REG 00000000000022F4 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG 0000000000000000 +REG 000000001B2F0000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000022F4 +REG 0000000000000000 +REG 0000000000000000 +REG 002892B3002892B3 +REG 0000000000000000 +REG 00000001001F281C +REG 00000000000056A8 +REG 0000000000000000 +REG 00000000000022F4 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 000000000000000E +REG 0000000000000000 +REG 05FFB00027FE0000 +REG 0000000000000000 +REG FA004FFFD801FFFF +REG 0000000000000012 +REG 0000000000000000 +REG 000000009E104004 diff --git a/tests/172.bin b/tests/172.bin new file mode 100644 index 0000000..377ebb1 Binary files /dev/null and b/tests/172.bin differ diff --git a/tests/172.out b/tests/172.out new file mode 100644 index 0000000..020268b --- /dev/null +++ b/tests/172.out @@ -0,0 +1,32 @@ +REG C0000000E4A80400 +REG 0000000000000000 +REG 0000000000000000 +REG 4000000000000000 +REG 0000000040044C90 +REG 0000000000000000 +REG 000000002E05EF17 +REG 0000000000000000 +REG 0000000040048244 +REG 00000FFFFC200000 +REG 0000000028048244 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000065C70000 +REG 3FFFFFFFFFFFEC56 +REG 000000002E05EF17 +REG 002852CC4E05EF16 +REG C000000000000000 +REG 0000000000000000 +REG 0000000000102018 +REG 3FFFFFFFFFFFFFFF +REG FFFFFFFFBC200000 +REG 000000000013FFFF +REG 000000050A000000 +REG 0000000000000020 +REG FFD7AD33E0000000 +REG 0808080808070404 +REG 0000000000000000 +REG FFFFFFFFFFFC0000 +REG 0000000000000000 +REG 00000FFFFC200000 +REG 0000000050090489 diff --git a/tests/173.bin b/tests/173.bin new file mode 100644 index 0000000..ed5715d Binary files /dev/null and b/tests/173.bin differ diff --git a/tests/173.out b/tests/173.out new file mode 100644 index 0000000..5b0c9b0 --- /dev/null +++ b/tests/173.out @@ -0,0 +1,32 @@ +REG 00000000FEFF0E43 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000007267 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFE00 +REG 0000000000000000 +REG 000000009E6B0E43 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000001FF +REG 0000000000005AFB +REG FFFFFFFFFFFFFFD8 +REG FFFFFFFFFFFFD66D +REG 0000000000000000 +REG FFFFFFFFBFFFFE00 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000034 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFBFFFFE00 +REG 0000000080008001 diff --git a/tests/174.bin b/tests/174.bin new file mode 100644 index 0000000..6c7c4a2 Binary files /dev/null and b/tests/174.bin differ diff --git a/tests/174.out b/tests/174.out new file mode 100644 index 0000000..6905882 --- /dev/null +++ b/tests/174.out @@ -0,0 +1,32 @@ +REG 0000000000802981 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000035 +REG FFFFFFFFFFBFD67D +REG 0000000000002983 +REG 0000000000000023 +REG 0000000000000000 +REG 0000000000400000 +REG 0000000000000000 +REG FFFFFFFFE0000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF8430 +REG 0000000000000000 +REG 000011E1AD500000 +REG 0000000000000000 +REG 0000000000400000 +REG FFFFFFFFF08C0000 +REG 001FFFFC08807FFF +REG 0000006FDF9903F8 +REG 0000006FDF9903F8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFBFD67D +REG FFFFFFFFFFFFD67D +REG FFFFFFFFE0000000 +REG 0000006FDF992BFA +REG 0000000000000000 +REG 000000011089F7FF diff --git a/tests/175.bin b/tests/175.bin new file mode 100644 index 0000000..30d159f Binary files /dev/null and b/tests/175.bin differ diff --git a/tests/175.out b/tests/175.out new file mode 100644 index 0000000..0a680b9 --- /dev/null +++ b/tests/175.out @@ -0,0 +1,32 @@ +REG FFFFFFFFD836EF74 +REG FFFFFFFFFFFFEF72 +REG FFFFFFFFC00001FF +REG FFFFFFFFFFFFFE00 +REG 000000FFFFFFC340 +REG 0000000500000005 +REG 0000000000000000 +REG 0000000000000000 +REG 020000000000003F +REG FFFFFFFFFFFFEF72 +REG 000000000000B2F9 +REG 00000000000FFDF7 +REG 00001FFFFFFFF7B9 +REG FFFFFFFFFFFFBEEF +REG 000000000000108D +REG FFFFFFFFC00021F7 +REG 0000000000000000 +REG 0000000C00000006 +REG 0000000027C90001 +REG 00D1FF0000003CC0 +REG 0000000000001FF8 +REG 000000FFDF77FF80 +REG 0000000000000000 +REG 0000000000001FF9 +REG 0000000000000001 +REG 0000000000000000 +REG 00D2000000000000 +REG 000000000A1F2400 +REG 0000000000000D57 +REG 0000000000000001 +REG FFFF00FFFFFF0000 +REG 0000000080000108 diff --git a/tests/176.bin b/tests/176.bin new file mode 100644 index 0000000..5b8d1d1 Binary files /dev/null and b/tests/176.bin differ diff --git a/tests/176.out b/tests/176.out new file mode 100644 index 0000000..5ece762 --- /dev/null +++ b/tests/176.out @@ -0,0 +1,32 @@ +REG 7000000000000650 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000018 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 900000006C33C826 +REG FFFFFFFFFFE7FFC2 +REG 0000000000000000 +REG 7000000000000650 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000018 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000038 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000038 +REG 1C0000001C0071CF +REG FFFFFFFF7FFFDB96 +REG 0000000000000000 +REG 7000000000000650 +REG 0000000000000000 +REG 0000000000000000 +REG 000000004400001F diff --git a/tests/177.bin b/tests/177.bin new file mode 100644 index 0000000..103dcf3 Binary files /dev/null and b/tests/177.bin differ diff --git a/tests/177.out b/tests/177.out new file mode 100644 index 0000000..e69e3dd --- /dev/null +++ b/tests/177.out @@ -0,0 +1,32 @@ +REG FFFFFFFF0E66BB5D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000020 +REG FFFFFFFFFFFFFFF0 +REG 0000000000000000 +REG 0000000000000000 +REG 5F00A60000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFBFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000050190800 diff --git a/tests/178.bin b/tests/178.bin new file mode 100644 index 0000000..e2b0f3f Binary files /dev/null and b/tests/178.bin differ diff --git a/tests/178.out b/tests/178.out new file mode 100644 index 0000000..eef20b7 --- /dev/null +++ b/tests/178.out @@ -0,0 +1,32 @@ +REG DFFFFFFFBA000793 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF6AB10000 +REG 0000000002860003 +REG FFFBFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000BFD4 +REG 0000000000000020 +REG 0000000045FFF86C +REG 0000000000000003 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFE +REG 0000000045FFF86D +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000008A74 +REG 0000000000000000 +REG FFFBFFFFFFFFFFFF +REG 00000000FFFFFFFF +REG 0000000000000003 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFC2F4 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFBFFFFFFFFFFFE +REG 0000000100888880 diff --git a/tests/179.bin b/tests/179.bin new file mode 100644 index 0000000..8b6ab30 Binary files /dev/null and b/tests/179.bin differ diff --git a/tests/179.out b/tests/179.out new file mode 100644 index 0000000..f1fcaf1 --- /dev/null +++ b/tests/179.out @@ -0,0 +1,32 @@ +REG 0000000800400008 +REG FFFFFFFFFFC05E96 +REG 0000000000780000 +REG FFFFFFFFFFBFFFFC +REG 6334A587EFFF0000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000800 +REG FFFFFFFFFFFFC05E +REG 00000003CF880000 +REG FFFFFFFFFFFFFD85 +REG 00000003CF880007 +REG FFFFFFFFF20EFFFB +REG C3FFFCE7C3F1FCE7 +REG FFFFAC58C0D861FF +REG 0000000000000000 +REG 0000000000000004 +REG FFFFFB0AFFFFFB0A +REG FFFFFFFFFFBFFFFC +REG 000000000000003F +REG 0000000800000008 +REG FFFFFFFFFFFFFD85 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFC00000 +REG FFFFFFFF8915FFC0 +REG FFFFFFFFFFFFFFF9 +REG 0000000000000000 +REG 0000000080009001 diff --git a/tests/18.bin b/tests/18.bin new file mode 100644 index 0000000..ba85c62 Binary files /dev/null and b/tests/18.bin differ diff --git a/tests/18.out b/tests/18.out new file mode 100644 index 0000000..55dc6f0 --- /dev/null +++ b/tests/18.out @@ -0,0 +1,32 @@ +REG 000000007FDBB7B6 +REG 0000000000000001 +REG 0000000000000000 +REG 3FDBBD1B1FDBBCCE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000778 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFF887 +REG 0000000040000001 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000FFFE2180 +REG C0253E8DCF145E61 +REG 0000000000000020 +REG 00000000FFFFFFFF +REG 3FDBBCCE3FDBBCCE +REG FFFFFFFFFFFFFFFF +REG 0000000000000778 +REG FFFFFFFFFFFFFFFF +REG 0000000000000030 +REG 0000000000000000 +REG FFFFFFFFFFFF0000 +REG 0000000000000000 +REG 0000004CE0000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040000000 diff --git a/tests/180.bin b/tests/180.bin new file mode 100644 index 0000000..4a95fcc Binary files /dev/null and b/tests/180.bin differ diff --git a/tests/180.out b/tests/180.out new file mode 100644 index 0000000..62a5fdd --- /dev/null +++ b/tests/180.out @@ -0,0 +1,32 @@ +REG 002F701FFFFFF530 +REG 002F701FFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG FFEC02FFF3076A34 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000002000000019 +REG 0000000000000000 +REG 0000000000000000 +REG 0013FD000CF895CC +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFFFFD8F794 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF4FFFFFF0 +REG 00000000399CFFFE +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000888891E8 diff --git a/tests/181.bin b/tests/181.bin new file mode 100644 index 0000000..467f3d2 Binary files /dev/null and b/tests/181.bin differ diff --git a/tests/181.out b/tests/181.out new file mode 100644 index 0000000..b9abc5f --- /dev/null +++ b/tests/181.out @@ -0,0 +1,32 @@ +REG 000000000000112A +REG 0000000000000000 +REG 0000000000000000 +REG 0000001B0100092B +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFE4FF +REG FFFFFFFFFFFFE4FF +REG 0000001A0000001B +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFE5FFFFF900 +REG 00000000079C43AC +REG FFFFFFFEFF000D67 +REG 0000000000000000 +REG 0000000000000008 +REG 0000000000000000 +REG 000000003614E4FF +REG 0000000000000000 +REG 0000000036150000 +REG FFFFFFFFFFFFF900 +REG 0000000000000000 +REG FFFFFFFFFFFFE4FF +REG 000000000000F7C4 +REG 0000000000000000 +REG 00000000079C9B29 +REG 0000000000000000 +REG 000000FF000000FF +REG FFFFFFE5FFFFFFFF +REG FFE4FF000FFFFFFF +REG 7FE4FF000FFFFFFF +REG 0000000050008008 diff --git a/tests/182.bin b/tests/182.bin new file mode 100644 index 0000000..f5dc0fb Binary files /dev/null and b/tests/182.bin differ diff --git a/tests/182.out b/tests/182.out new file mode 100644 index 0000000..9a5046b --- /dev/null +++ b/tests/182.out @@ -0,0 +1,32 @@ +REG 058345577FB1777D +REG 0100000000000008 +REG 0080415765900084 +REG 0000000000000000 +REG 00FFFFFFFFFFE32C +REG 0100000018440000 +REG 0000000000000000 +REG F01AC2A4F01AC2A4 +REG 000000000000000A +REG 0000000000000000 +REG 0000000000000084 +REG 0000000000000800 +REG 3800000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG 0000000000000008 +REG 0000000000000000 +REG 0100000000000000 +REG 0100000000000000 +REG FFFFFFE013A22100 +REG 0000000000000000 +REG F01AC2A4F01AC2A4 +REG FF7FBEA89A6E9EA9 +REG FFFFFFFFFFFE9F2E +REG 052BA4881A69B764 +REG 058345577FB1777C +REG 0000000000000000 +REG FBD45B77E596489C +REG 0000000000007C2B +REG 0000000000000020 +REG EF1AC2A4F01AC2A4 +REG 000000005E906892 diff --git a/tests/183.bin b/tests/183.bin new file mode 100644 index 0000000..69d935b Binary files /dev/null and b/tests/183.bin differ diff --git a/tests/183.out b/tests/183.out new file mode 100644 index 0000000..b915a50 --- /dev/null +++ b/tests/183.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFF715 +REG 0000000000000000 +REG 0000000000000000 +REG 00007BC1FE10F800 +REG 0000000000003C1D +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 000000000000001B +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFF843E01EF07FF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE5 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000076F5 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000001 +REG 0000001000000010 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000041000004 diff --git a/tests/184.bin b/tests/184.bin new file mode 100644 index 0000000..cb9d9f4 Binary files /dev/null and b/tests/184.bin differ diff --git a/tests/184.out b/tests/184.out new file mode 100644 index 0000000..b9814de --- /dev/null +++ b/tests/184.out @@ -0,0 +1,32 @@ +REG 58F40FC6F99727FF +REG 0000000000000000 +REG 0000000000006C25 +REG 7FFBF0390668D972 +REG FFFFFFFFFFFFB37A +REG 0001C000000031DC +REG 0000000000006C25 +REG A70BF03906689637 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000006E000000 +REG 0000000000000040 +REG FFFFFFFFFFFFB37A +REG 0000000000000DAF +REG 7FFBF0390668D972 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000005 +REG 7FFBF0390668D977 +REG 0000000000000005 +REG 0000000000000000 +REG 0000000000000000 +REG A70BF0390668D800 +REG 0000000000000000 +REG 58F40FC6F9972800 +REG 0001C000000031DC +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000005 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000888E4001 diff --git a/tests/185.bin b/tests/185.bin new file mode 100644 index 0000000..b90e914 Binary files /dev/null and b/tests/185.bin differ diff --git a/tests/185.out b/tests/185.out new file mode 100644 index 0000000..c957558 --- /dev/null +++ b/tests/185.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFDE +REG 000000002084048E +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG EB963FFFAC06311E +REG 0000000000000000 +REG FE9FFFFFBFFFF120 +REG 1309C00013F9C000 +REG 0000000000000000 +REG 0000000000000000 +REG 8821012388000023 +REG 00000000000018A0 +REG 0000000000000000 +REG 1309C00013F9C001 +REG FE9FFFFFBFFFE94A +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFB3D9 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFB3D8 +REG 0000000000000000 +REG 0000000000000000 +REG FE9FFFFFBFFFF120 +REG FFFFFFFC00000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000005FFFE991 diff --git a/tests/186.bin b/tests/186.bin new file mode 100644 index 0000000..29a3895 Binary files /dev/null and b/tests/186.bin differ diff --git a/tests/186.out b/tests/186.out new file mode 100644 index 0000000..6b7b060 --- /dev/null +++ b/tests/186.out @@ -0,0 +1,32 @@ +REG FFFFFFFF8322FFFF +REG FFFFFFFFFFFFBDC7 +REG FFFFFFFFC1FFFFFF +REG 0000000000000000 +REG FF9FC69AFF9FC69A +REG 0000000000000001 +REG 0000000000000000 +REG 00000000000FFFE0 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000002000000 +REG 0000000000000000 +REG 0000000048030000 +REG 0000000000000000 +REG 000000000000000F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000002000000 +REG FFFFFFFFFFFF9820 +REG 0000000000002000 +REG FFFFFFFFFFFFFFFF +REG 0000001E0000001E +REG 0000000000000000 +REG 00000000000FFFE0 +REG 0F80000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0F80000000000000 +REG 0000000081001110 diff --git a/tests/187.bin b/tests/187.bin new file mode 100644 index 0000000..bb1b12b Binary files /dev/null and b/tests/187.bin differ diff --git a/tests/187.out b/tests/187.out new file mode 100644 index 0000000..8f95ee6 --- /dev/null +++ b/tests/187.out @@ -0,0 +1,32 @@ +REG 0005FFFE00000021 +REG FFFFFFFFFFFF8009 +REG 13FFFF0013F2FF00 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000100000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000006BFB +REG 0000000000000000 +REG 0000000061DA0000 +REG 0000000000000000 +REG FFFFFFFFCBE20000 +REG 0000002000000009 +REG 0000000000000000 +REG 0000000000000000 +REG BE20000FFFE00000 +REG 0000000000007EB1 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG BE20000FF9EF0004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000061DA0000 +REG 0000000028808842 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000091011084 diff --git a/tests/188.bin b/tests/188.bin new file mode 100644 index 0000000..a045d29 Binary files /dev/null and b/tests/188.bin differ diff --git a/tests/188.out b/tests/188.out new file mode 100644 index 0000000..ce4d795 --- /dev/null +++ b/tests/188.out @@ -0,0 +1,32 @@ +REG FFF0000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000001E +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFCC000000 +REG 0000000000000000 +REG 000000000000001E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000010000000 +REG FFFFFFFFBBFFFFFF +REG 0000000000000000 +REG 000000000000B960 +REG FFF17C5FFFFFFFC0 +REG 00000000000001A0 +REG FFF17C5FFFFFFFC0 +REG 3F943B453F943B45 +REG 0000000000000000 +REG 00000000B73FFFFC +REG 0000100000000000 +REG 0000000000000002 +REG 0000000000000000 +REG FFFFFFFF48C00003 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0FFFFFFF80000000 +REG 0000000089110911 diff --git a/tests/189.bin b/tests/189.bin new file mode 100644 index 0000000..71e6e5d Binary files /dev/null and b/tests/189.bin differ diff --git a/tests/189.out b/tests/189.out new file mode 100644 index 0000000..e270d66 --- /dev/null +++ b/tests/189.out @@ -0,0 +1,32 @@ +REG 000000000000005D +REG FFFFFFFFFFFFFFFF +REG 0000000055C84E75 +REG 0000000000004B1C +REG 0000000000000000 +REG 000000013FBF0141 +REG 000000000000000F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000004B0A0000 +REG 00000000FAE30000 +REG F7F7F7F7D7F7DF3E +REG 000000000000003B +REG 00000B4055C84E75 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000030000000000 +REG 0644B6220644B622 +REG 0000000000000000 +REG 000000000000055C +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFF140AFEBF +REG FFFFFFFFFFFFFEBF +REG 0000000000000000 +REG 0000000000000000 +REG 08080808280829D9 +REG 000000000000025B +REG 0000000101000200 diff --git a/tests/19.bin b/tests/19.bin new file mode 100644 index 0000000..208228b Binary files /dev/null and b/tests/19.bin differ diff --git a/tests/19.out b/tests/19.out new file mode 100644 index 0000000..a2ee31a --- /dev/null +++ b/tests/19.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFF3F +REG 0000000000000000 +REG 0000000000000001 +REG 00000000FFFFFFFC +REG 0000000000000001 +REG 0000000000000020 +REG FFFFFFFFFFFFFF01 +REG 0000000000040000 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 007FFFFFFE000000 +REG FFFFFFFFFFFFFFDE +REG FFFFFFFFFFFFBF0F +REG 0000000000000000 +REG 000000000000FFFF +REG 0000000000000000 +REG 00000000007BFFFF +REG FFFFFFFF00000000 +REG FFFFFFFFFFFFFFFF +REG 00000000000000FF +REG FFFFFFFFFFFFFFE3 +REG 000000002C4B3733 +REG 000000002CC79303 +REG 00000000000060DB +REG FFFFFFFFFFFFFFFD +REG 0000000000000022 +REG FFFFFFFFFFFF9F45 +REG 0000000000000000 +REG FFFFFFFFFFFFFFC0 +REG 0000000100910808 diff --git a/tests/190.bin b/tests/190.bin new file mode 100644 index 0000000..600e8bd Binary files /dev/null and b/tests/190.bin differ diff --git a/tests/190.out b/tests/190.out new file mode 100644 index 0000000..098360d --- /dev/null +++ b/tests/190.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF249F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000001FF4 +REG 0000000000000013 +REG 0000000000000000 +REG 000000000000BAEF +REG 0000000000000000 +REG FFFFFFFFD396003A +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFED +REG 0000000000000000 +REG 000000000000003A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 000000009E001A00 diff --git a/tests/191.bin b/tests/191.bin new file mode 100644 index 0000000..823212d Binary files /dev/null and b/tests/191.bin differ diff --git a/tests/191.out b/tests/191.out new file mode 100644 index 0000000..8ad60a7 --- /dev/null +++ b/tests/191.out @@ -0,0 +1,32 @@ +REG 000000002D467800 +REG 0000000000000000 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000BB98 +REG 0000000000000000 +REG FFFFFFFFFFFF8B08 +REG FFFFFFFFFFFFB303 +REG 0000000000000020 +REG 0078030000780300 +REG 0C000000000041E1 +REG FFFFFFFFFFFFFFE4 +REG 0000000000000000 +REG FFFFFFFE000001F4 +REG 000000000000003A +REG F3FFFFFFFFFFFE1F +REG 0387FCFFFF800000 +REG FFFFFFFFEB28D35E +REG 00000000CC800010 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000014D72CA1 +REG 0000000000000000 +REG 0C000000000041F9 +REG 0000000000007FDE +REG 00000000FFFFFFE4 +REG 0000000000000018 +REG 000000009F090801 diff --git a/tests/192.bin b/tests/192.bin new file mode 100644 index 0000000..a2469be Binary files /dev/null and b/tests/192.bin differ diff --git a/tests/192.out b/tests/192.out new file mode 100644 index 0000000..bfcae54 --- /dev/null +++ b/tests/192.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000002040E000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFCC55 +REG 00FFFFFF80000003 +REG 0000000000000000 +REG 000000008040F489 +REG 0000000000000000 +REG 0000000000006759 +REG 8003FFFFFFFFFFFE +REG FFFFFFFFECDE0000 +REG FFFFFFFFFFFFFF98 +REG 000000000000000F +REG 0000000000000000 +REG 0000000000000000 +REG F800000000000000 +REG F000000000000000 +REG 0000000000006942 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFDF7FFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 7FFC0000000064C9 +REG 0000000000000000 +REG 000000010081E913 diff --git a/tests/193.bin b/tests/193.bin new file mode 100644 index 0000000..22cf48f Binary files /dev/null and b/tests/193.bin differ diff --git a/tests/193.out b/tests/193.out new file mode 100644 index 0000000..4f74e73 --- /dev/null +++ b/tests/193.out @@ -0,0 +1,32 @@ +REG 000000009B131D00 +REG 0000000000000000 +REG 0000001E0000001C +REG FFFFFFFFFFFF3B88 +REG 000000000000BC1E +REG 0000000000000000 +REG 00000000233C001C +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000C478 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFF3B88 +REG FDB2980000000000 +REG 0000000000000000 +REG 000000100000001B +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000BC1E +REG 003FC90000000000 +REG FFC0000000000000 +REG 0000000000002FE7 +REG 000000FFFFFFFF00 +REG FFFFFFFF64ED39D3 +REG 000003009B126122 +REG FFFFFFFFFFFF3B88 +REG FFFFFFFFFFFFFFFA +REG 0072091600720916 +REG 0000000000002FE7 +REG 0000000000000006 +REG FFFFFFFFFFFFA781 +REG 000000005D11A9F8 diff --git a/tests/194.bin b/tests/194.bin new file mode 100644 index 0000000..d0a6f76 Binary files /dev/null and b/tests/194.bin differ diff --git a/tests/194.out b/tests/194.out new file mode 100644 index 0000000..9b2d53d --- /dev/null +++ b/tests/194.out @@ -0,0 +1,32 @@ +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0C00000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000002000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG DFFFFFFFE0000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG DFFFFFFFE3700000 +REG 0000000100000001 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000001626 +REG FFFFFFFFFFFFFFFF +REG 00000000FFFFFFFF +REG 0000000000000000 +REG FFFFFFFEFFFFFFFE +REG 00000000000045A3 +REG 0000000000000000 +REG 0000000001E9FE9E diff --git a/tests/195.bin b/tests/195.bin new file mode 100644 index 0000000..312428e Binary files /dev/null and b/tests/195.bin differ diff --git a/tests/195.out b/tests/195.out new file mode 100644 index 0000000..fac5adf --- /dev/null +++ b/tests/195.out @@ -0,0 +1,32 @@ +REG 00007FE4D04700F3 +REG 00000000400005F7 +REG 0000000000000013 +REG 032898E6032898E6 +REG 0000000000000004 +REG 000000000000D086 +REG 00000000077A0013 +REG FFFFED99D03FED9A +REG 0000001A00000013 +REG 0000000000000014 +REG 0000000000000000 +REG 00007FFEB03900E0 +REG 00000000011AEA7A +REG FFFFED99D03FB2CE +REG 000000000000FF00 +REG 0000000007F2FF90 +REG 00000000000007E1 +REG 00001267EF10ED9A +REG FFFFFFE5FFFFFFFE +REG 000000000000FF1A +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFC0D10000 +REG 00000FCA00000FC2 +REG 000007FFEEF6800E +REG FFFFED99D03FB2CE +REG 00000000011AEA7A +REG 000000000000003B +REG FFFFED99D03FB4EE +REG FFFFFFFFFFFFFFF3 +REG 0000000000000000 +REG FFFFFFFFFFFF2FF9 +REG 000000005E000D35 diff --git a/tests/196.bin b/tests/196.bin new file mode 100644 index 0000000..948112a Binary files /dev/null and b/tests/196.bin differ diff --git a/tests/196.out b/tests/196.out new file mode 100644 index 0000000..9242248 --- /dev/null +++ b/tests/196.out @@ -0,0 +1,32 @@ +REG 000000200000403E +REG 0000000000000002 +REG FFFFFFFFFFFFFFE5 +REG FFEFF7F7F1C0FFC0 +REG 0000000000000040 +REG 0000000000000000 +REG 001008080E3F0000 +REG 0000000000000000 +REG 0000000002000000 +REG 001008080E3F0040 +REG FFFFFFFFFFFFFFE4 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000001B +REG 0000000000000000 +REG 0000000000000040 +REG 001008080E3F0000 +REG FFEFF7F7F1C10002 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000071F +REG 001008080E3F0040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000050100910 diff --git a/tests/197.bin b/tests/197.bin new file mode 100644 index 0000000..c41fab4 Binary files /dev/null and b/tests/197.bin differ diff --git a/tests/197.out b/tests/197.out new file mode 100644 index 0000000..1bb1b74 --- /dev/null +++ b/tests/197.out @@ -0,0 +1,32 @@ +REG 00400000E0000039 +REG FFFFFFFFBFFE03E7 +REG 04E5601304E56013 +REG 0000000000000020 +REG FFFFFFFF1FFFFFFF +REG 00000000272B0000 +REG 000000002000A96D +REG FFFFFFFFFFFFFFFF +REG 00000000E07B62C3 +REG 00272B0000000000 +REG 0000000000004000 +REG 000000000000C000 +REG 0000000003000000 +REG FFFFFFFF1FFFFFFF +REG 00000000E0000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 003FFFFFFFFFFFFF +REG 0000000000000040 +REG 00000000017AC243 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000001D612180 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000006647 +REG FFFFFFFFFFFFFFFD +REG 0000000081F00100 diff --git a/tests/198.bin b/tests/198.bin new file mode 100644 index 0000000..dd1bfd0 Binary files /dev/null and b/tests/198.bin differ diff --git a/tests/198.out b/tests/198.out new file mode 100644 index 0000000..0a0a13b --- /dev/null +++ b/tests/198.out @@ -0,0 +1,32 @@ +REG 0000000034F8E0FF +REG 0000000000001F93 +REG 000000008F0F04F0 +REG 0000000000000000 +REG FFFFFFFFFFF91F93 +REG 2000000820000008 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000FFFF5AFA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000041 +REG 0556F13C21DD7C04 +REG 0000000000000020 +REG 0000000000000401 +REG FFFFFFFFFFF80000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 00000000FFFF5AFA +REG FFFFFFFFFFFFFFFF +REG 00000000FFFFD4D2 +REG 0000000024F8E0FE +REG FFFF000000000000 +REG 00000000FFFF5AFA +REG 0000000024F8E0FE +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF4000 +REG 0000000024F8E0FE +REG 000000005E1E09E0 diff --git a/tests/199.bin b/tests/199.bin new file mode 100644 index 0000000..7d0d86b Binary files /dev/null and b/tests/199.bin differ diff --git a/tests/199.out b/tests/199.out new file mode 100644 index 0000000..4046d33 --- /dev/null +++ b/tests/199.out @@ -0,0 +1,32 @@ +REG 0000000000000020 +REG 0000000000000000 +REG 7FFFFFFFF0000000 +REG 0000000000004DB7 +REG 0000000000000000 +REG 01025A4801004B88 +REG 0000000000007A45 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF85BB +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG D240080000000012 +REG 0000002000000000 +REG 0000000000000000 +REG 0000000000000023 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF91A74BC0 +REG 0000000000000000 +REG FFFFFFFFFBE7FFFF +REG 0007FFEE3E7FFFFF +REG 7FFFFFFFF0000000 +REG 0000000000000000 +REG 0000000003C00000 +REG 0000000011000008 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFEFFFFF7 +REG 0000000000000000 +REG 0000000091F09109 diff --git a/tests/2.bin b/tests/2.bin new file mode 100644 index 0000000..dc42752 Binary files /dev/null and b/tests/2.bin differ diff --git a/tests/2.out b/tests/2.out new file mode 100644 index 0000000..6640ba6 --- /dev/null +++ b/tests/2.out @@ -0,0 +1,32 @@ +REG 000000090000003F +REG FFFFFFFFFFFFFFFF +REG 0000000000000002 +REG FFFFFFFFFFFF0000 +REG FFFFFFFFE0000000 +REG 0000000000000000 +REG FFFFF40480000008 +REG 0000000000000000 +REG 0000000900000009 +REG 00FFFFFF000019BC +REG 000000003FFFFFBF +REG 0000000000180000 +REG FFFFFFF70017FFF6 +REG FFFFFFFFFFFFF9C0 +REG 0000000000000001 +REG 700000000092A0C6 +REG 0000000000000000 +REG 0000000000000038 +REG 000000000000003F +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF9ABA +REG 00FFFFFFE0CD19BC +REG 0000000000000000 +REG 8FFFFFFFFF6D5F38 +REG 0000000029530002 +REG FFFFFFFFE0000000 +REG 8FFFFFFFFF6D5FDE +REG 000000000000003F +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFE0000001 +REG 0000000089E05E00 diff --git a/tests/20.bin b/tests/20.bin new file mode 100644 index 0000000..29dad44 Binary files /dev/null and b/tests/20.bin differ diff --git a/tests/20.out b/tests/20.out new file mode 100644 index 0000000..4edbc08 --- /dev/null +++ b/tests/20.out @@ -0,0 +1,32 @@ +REG A915FFFFFFFF1139 +REG FFFFFFFFFFF52C4C +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 00000000481BEEC2 +REG 0000000000000240 +REG 0000000000000000 +REG FFFFFFFFFFFFC6F6 +REG 0000000000077615 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000087E8 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001A +REG FFFFFFFFFFFFAD36 +REG FFFFFFFFFFFFFFDF +REG FFFFFE9400003F43 +REG FFFFFE9400003F70 +REG 0000000000000021 +REG 000007EA58980000 +REG 0000000000000000 +REG FFFFFFFFFFE000C2 +REG 0000016C0000016C +REG 0000000000000001 +REG FFEFF20000000000 +REG FFFFFFFF77FF91F2 +REG 00000000000775E5 +REG FFFFFFFF77FFBFCC +REG 0000000000000000 +REG FFFFFFFFFFFFC6F6 +REG 0000000108009000 diff --git a/tests/200.bin b/tests/200.bin new file mode 100644 index 0000000..78812d4 Binary files /dev/null and b/tests/200.bin differ diff --git a/tests/200.out b/tests/200.out new file mode 100644 index 0000000..84095f5 --- /dev/null +++ b/tests/200.out @@ -0,0 +1,32 @@ +REG 0006000000006393 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000400 +REG FFFFFFFFDB00001E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFF9FFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFDF350000 +REG 0000000000000000 +REG 00000000000071E4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040082081 +REG 0000000040082081 +REG 0000000000000001 +REG 0000000000005227 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000100101 diff --git a/tests/201.bin b/tests/201.bin new file mode 100644 index 0000000..1aae84c Binary files /dev/null and b/tests/201.bin differ diff --git a/tests/201.out b/tests/201.out new file mode 100644 index 0000000..aadbde3 --- /dev/null +++ b/tests/201.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFDFEA0000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG AA00000000505BA7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000001296 +REG 0000000000000000 +REG FFFFFFFFE0505BA7 +REG 0000000000000000 +REG 0000000040090100 diff --git a/tests/202.bin b/tests/202.bin new file mode 100644 index 0000000..1380c60 Binary files /dev/null and b/tests/202.bin differ diff --git a/tests/202.out b/tests/202.out new file mode 100644 index 0000000..906d048 --- /dev/null +++ b/tests/202.out @@ -0,0 +1,32 @@ +REG FFFFFFC0000365AA +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFEFD05AA +REG 000000000001A000 +REG 0000000001000000 +REG 0000000040000000 +REG 0000000000000003 +REG 0000000000007FFF +REG 000000000000C7F6 +REG 01FFFFFFFFFFB490 +REG FFFFB393FFFFB393 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000020000000B +REG FE00000000004B6F +REG 0000000000000019 +REG 0000000000000043 +REG 0000000000000000 +REG 000000000000B489 +REG FFFFFFFFFFFFFE14 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000001000000 +REG 00000000FFFFFF80 +REG FFFFFFFFFFFFFFFF +REG FFFFFFC000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFC000000000 +REG 0000000000019FFF +REG 000000005FFFE81E diff --git a/tests/203.bin b/tests/203.bin new file mode 100644 index 0000000..7dd451b Binary files /dev/null and b/tests/203.bin differ diff --git a/tests/203.out b/tests/203.out new file mode 100644 index 0000000..15c8966 --- /dev/null +++ b/tests/203.out @@ -0,0 +1,32 @@ +REG 000000000000166C +REG FFFFFEF7F7F87650 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFE989 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFFF +REG A013FFFFA013FFFF +REG 0000000000186CF0 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFD9423A1B +REG 0000001500000015 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000001080807BE5A +REG 00000000F4360000 +REG 00000000F41D932F +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFFF +REG FFFFFFFDFFFFEFFF +REG 000001FFFFFFFE00 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000000001677 +REG FFFFFFFFD9428000 +REG FFFFFFFF0BCA3CF7 +REG 0000000048080800 diff --git a/tests/204.bin b/tests/204.bin new file mode 100644 index 0000000..e7f1e31 Binary files /dev/null and b/tests/204.bin differ diff --git a/tests/204.out b/tests/204.out new file mode 100644 index 0000000..20dd134 --- /dev/null +++ b/tests/204.out @@ -0,0 +1,32 @@ +REG 45803FF4B8C2B621 +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG FFFFFFF600003623 +REG 0000000000000000 +REG 8BFFF964CAC38E9C +REG 00000013FFFFE4DC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000140000000B +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFF5FFFFFFFE +REG 45803FFFFE409CA4 +REG 0000000000000009 +REG 0000000000000000 +REG 0000000A00000001 +REG 0000000000000000 +REG 0000000000000000 +REG 8BFFF95A8BFFF809 +REG 45803FFD473D7FF6 +REG 000000000000372E +REG 0000000A00000006 +REG 000000008089E081 diff --git a/tests/205.bin b/tests/205.bin new file mode 100644 index 0000000..267e756 Binary files /dev/null and b/tests/205.bin differ diff --git a/tests/205.out b/tests/205.out new file mode 100644 index 0000000..cc8943e --- /dev/null +++ b/tests/205.out @@ -0,0 +1,32 @@ +REG 43B8DA6F74621458 +REG 00000000AD400000 +REG 0000000000000040 +REG 000880000F960200 +REG 0000000000000013 +REG 0000000000000001 +REG ACB93010ACAF3010 +REG 000000000000000B +REG 0000000000000000 +REG 5207000000000000 +REG BC8725903B2A1458 +REG FFFFFFFFC9808565 +REG 4378DA6FC4D65650 +REG 06030004060304F8 +REG DA1C25625BF4D01E +REG 0000000000000001 +REG 0BCE87D00BCE87D0 +REG 051D7C8000000000 +REG FAE2838000000000 +REG 25E3DA9D85712FE1 +REG 22C60753B0000005 +REG EB8A00A3EB6A1306 +REG 14956DBB14006DBB +REG 001F2C0400000000 +REG 0603000406030503 +REG 000000000F000000 +REG 0000000000000000 +REG DA1C2562D5CED01E +REG 000000001DF80000 +REG DA1C2562D7CED01E +REG 000000008F24DF4E +REG 000000009E49BE89 diff --git a/tests/206.bin b/tests/206.bin new file mode 100644 index 0000000..14f85b7 Binary files /dev/null and b/tests/206.bin differ diff --git a/tests/206.out b/tests/206.out new file mode 100644 index 0000000..f16241f --- /dev/null +++ b/tests/206.out @@ -0,0 +1,32 @@ +REG 00000000149BAE96 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFCE2B47E93A0F2 +REG 0002010000000001 +REG 0C12FFD980000000 +REG AC12FFD9A526FF59 +REG FFFFFFFFD55AFFFF +REG 0000000000000000 +REG BFFFFFFF8FFF003F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000001B +REG 0000000000007B90 +REG 0000000000000020 +REG 0402080504030804 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFE8C8 +REG FFFFFFFFFFFFFFFF +REG 88A286E1A16C5F0E +REG 0002010000000001 +REG 0000000000000000 +REG 0000000000000003 +REG 00031D4B816BE49D +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFF8590 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000007B90 +REG 0000000096483105 diff --git a/tests/207.bin b/tests/207.bin new file mode 100644 index 0000000..d7ea9cd Binary files /dev/null and b/tests/207.bin differ diff --git a/tests/207.out b/tests/207.out new file mode 100644 index 0000000..1ef68fb --- /dev/null +++ b/tests/207.out @@ -0,0 +1,32 @@ +REG FBFFFFFFF36AA6FD +REG 3FFFFFFFFF63F3FF +REG 0000000000000000 +REG 0000000000000000 +REG 3FFFFFE23FE10827 +REG 0000000004000000 +REG FFFFFFFFFFFFD8FC +REG 0000000000000000 +REG 3FFFFFE23FE0FFE2 +REG 0000000000000000 +REG 0608080406030804 +REG FFFFFFFFFFFFFFFF +REG 3FFFFFE23FE0FFE2 +REG 0000000000000004 +REG FBFFFFFF79FFB279 +REG 0000000100000021 +REG FF000000FD0000FF +REG 000000000000001E +REG 0000000000006729 +REG 0000000000000000 +REG 0000000000000021 +REG 0000000000000000 +REG 0000000047A80000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFFFFFFFFE2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000047B9D8FC +REG 0000000000000000 +REG 0000000089089B08 diff --git a/tests/208.bin b/tests/208.bin new file mode 100644 index 0000000..97a1164 Binary files /dev/null and b/tests/208.bin differ diff --git a/tests/208.out b/tests/208.out new file mode 100644 index 0000000..461ff2c --- /dev/null +++ b/tests/208.out @@ -0,0 +1,32 @@ +REG 9C7FD40000023C7D +REG FFFFFFFFE411D27C +REG 0000000000000DED +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000010000 +REG 000000001BEEE334 +REG 0000000000021185 +REG 0000000000000001 +REG 0000000000000000 +REG 7FFEF73D7FFFF739 +REG 0000000000000000 +REG 0000000000000200 +REG 000000007FFFBCE2 +REG FFFFFFFFFFFF8081 +REG 0000000024008081 +REG 7FFEF73DFFFFF739 +REG 0000000000000000 +REG 800108C2800008C2 +REG FF800001FF800001 +REG FFFFFFFFFFFC823A +REG 0000000000000000 +REG 0707070507080704 +REG FFFFFFFFF000003F +REG 0000000000000003 +REG 000000001BEE2D83 +REG 0000000080000330 +REG 000000001BEE3D24 +REG 0000000080000000 +REG FFFFFFFFFFFFFF81 +REG 0000000048010102 diff --git a/tests/209.bin b/tests/209.bin new file mode 100644 index 0000000..dc80b8d Binary files /dev/null and b/tests/209.bin differ diff --git a/tests/209.out b/tests/209.out new file mode 100644 index 0000000..391f126 --- /dev/null +++ b/tests/209.out @@ -0,0 +1,32 @@ +REG FFFBFFFFFFFFFFFE +REG 0000000000000020 +REG FFFFFFFFBFFFFFCD +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG C0000027C0000027 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 2000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 2000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000009F00 +REG 0000000000000000 +REG 0000000000001ED1 +REG 0000000000000000 +REG 000000004BF50000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000007B0D +REG 0000000000000000 +REG 0000000000000000 +REG 0004000000000001 +REG 0000000085100809 diff --git a/tests/21.bin b/tests/21.bin new file mode 100644 index 0000000..9b1ccff Binary files /dev/null and b/tests/21.bin differ diff --git a/tests/21.out b/tests/21.out new file mode 100644 index 0000000..a5117bf --- /dev/null +++ b/tests/21.out @@ -0,0 +1,32 @@ +REG FFFFFFFE7FFEF357 +REG 0000000000000000 +REG 0000000000000006 +REG FFFFFFFFC01F7F80 +REG FFFFFFFFFC000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFC01F7F7F +REG 0000000000200000 +REG 0000000180010504 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000001F800000000 +REG 0000000000007FC0 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000080 +REG 1FFFBEBF1FF0001F +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG 000000000000976C +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000040080000 diff --git a/tests/210.bin b/tests/210.bin new file mode 100644 index 0000000..b6a464d Binary files /dev/null and b/tests/210.bin differ diff --git a/tests/210.out b/tests/210.out new file mode 100644 index 0000000..3153684 --- /dev/null +++ b/tests/210.out @@ -0,0 +1,32 @@ +REG 0000000061000180 +REG 000000001FFFFFFF +REG 000000003FFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000003F +REG 0000000780007CC0 +REG 0000000780007CC0 +REG 0000000000000000 +REG FFFFFFF9FFFFFFB9 +REG FFFFFFFFFFFFFFBF +REG 0000000006100018 +REG 0000000000000000 +REG 0000000000000000 +REG 8000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000000000020 +REG FFFFFFFFFFFFFFBF +REG 000000003FFFFFFF +REG 0000000780007CC0 +REG 0000000000000000 +REG FFFFFFFBFFFFFFFB +REG 0000000061000180 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFDFFC0003DFF +REG FFFFFFFFC0000040 +REG 0000000000000000 +REG 00000000BC860180 +REG 0000000091FFFFF0 diff --git a/tests/211.bin b/tests/211.bin new file mode 100644 index 0000000..ab77b82 Binary files /dev/null and b/tests/211.bin differ diff --git a/tests/211.out b/tests/211.out new file mode 100644 index 0000000..11ef078 --- /dev/null +++ b/tests/211.out @@ -0,0 +1,32 @@ +REG FFFFFFFF7EACC708 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000010000 +REG 01D77F7000000000 +REG 00001E04C6CBC827 +REG 00000000143C098D +REG BEC0000000000000 +REG 0000000000000008 +REG 01D77F7800000000 +REG 0000000000000001 +REG 0000000000000000 +REG 005A6800005A6800 +REG 000003FFFFFFE400 +REG 49F6616CF0FBEFEF +REG 0000000010101009 +REG FFFFE1FB393437D8 +REG 0000000000000000 +REG 000000000000001F +REG 0000000000000000 +REG FFFBE1FB39340000 +REG 0000000000000B4D +REG FFFFFFF5E1FB3900 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000A +REG 0000000000000A02 +REG FFFFFFFFFBD40019 +REG FFFFFBFFFFFFBB5E +REG FFFFFFFFFFFFF4B3 +REG 0000000000000002 +REG 0000000049108080 diff --git a/tests/212.bin b/tests/212.bin new file mode 100644 index 0000000..778a377 Binary files /dev/null and b/tests/212.bin differ diff --git a/tests/212.out b/tests/212.out new file mode 100644 index 0000000..d5518e0 --- /dev/null +++ b/tests/212.out @@ -0,0 +1,32 @@ +REG 9980000000007EED +REG 0000000100000000 +REG 867FFFFFF0FFFD1F +REG 000000000000003D +REG 000000004F940000 +REG FFFFFFFFF0409FFF +REG 0000000000000000 +REG 000000008F242828 +REG 0000000600000006 +REG FFFFFFFFFFFFFD5E +REG 000000000000000C +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFF0FFFD5D +REG 0000000000000000 +REG 00000000AF5E0000 +REG FFFFFFFFFFFFFFFF +REG 867FFFFFF0FFFD1F +REG 0000000000000000 +REG 867FFFFFF0FFFD1F +REG 0000000000000000 +REG 0000000000000000 +REG 000000008F448828 +REG 000000000F000000 +REG 220A23D100000001 +REG 0000000000000000 +REG 0000000000000007 +REG 0000000000000000 +REG 798000000000003F +REG E000000000007EF3 +REG 0000000000000000 +REG 000000005E505050 diff --git a/tests/213.bin b/tests/213.bin new file mode 100644 index 0000000..d0c2877 Binary files /dev/null and b/tests/213.bin differ diff --git a/tests/213.out b/tests/213.out new file mode 100644 index 0000000..d22aced --- /dev/null +++ b/tests/213.out @@ -0,0 +1,32 @@ +REG 0C7FFFFFFFFBDC4A +REG FFFF8270841479AA +REG 0000000000002184 +REG FFFFFFFFFFFFDE7C +REG 0000000000000000 +REG FFC1383FE0000000 +REG FFFFFFFFFFFFED31 +REG 0000000000000000 +REG 0000000084848F44 +REG FFFFFFFFFFFF992E +REG FFBF82707FCE5FA9 +REG 00007D8F803175DA +REG FFFFFFFFFFFFED31 +REG 0000000000000020 +REG FFFF8270841479AA +REG 0000000000000000 +REG FFBF82707FCE5FA9 +REG 0000000000000000 +REG FFFF82707FCE5FA9 +REG 0000000000000000 +REG 0000000080000000 +REG 0000000000000011 +REG FFFF81E17F9D7F86 +REG FFFFFFFF84848F44 +REG 0000000084848F44 +REG 0000000000000011 +REG 0000000084848F44 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFBDC5 +REG FFFFFFFF7FFFFFFF +REG 0000000000002184 +REG 0000000089091E88 diff --git a/tests/214.bin b/tests/214.bin new file mode 100644 index 0000000..716edd7 Binary files /dev/null and b/tests/214.bin differ diff --git a/tests/214.out b/tests/214.out new file mode 100644 index 0000000..62507d5 --- /dev/null +++ b/tests/214.out @@ -0,0 +1,32 @@ +REG 80012E0FDC420162 +REG 0000000044006580 +REG 000000002047FBF7 +REG 0000000000000000 +REG 000000008400657F +REG 00000000265F0000 +REG 000000002047FBF7 +REG 0000000000000000 +REG 0007C02A58000400 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000004040001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000053C30001 +REG 00000000000004B0 +REG 0000000000000008 +REG 0000000000000000 +REG FFFFFFFFFFFFFFB0 +REG 000000000A840000 +REG 000000000A840000 +REG 0000000000000000 +REG C73FB276FEC39FE9 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000004040002 +REG 0000000000000001 +REG 0000000000000048 +REG 0000000000000001 +REG 0000000000000001 +REG 0000000084006580 +REG 000000004800C900 diff --git a/tests/215.bin b/tests/215.bin new file mode 100644 index 0000000..e7546de Binary files /dev/null and b/tests/215.bin differ diff --git a/tests/215.out b/tests/215.out new file mode 100644 index 0000000..4782ff6 --- /dev/null +++ b/tests/215.out @@ -0,0 +1,32 @@ +REG F2EE00FFF2EE63B3 +REG F80000800000907F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 4B6EF40000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG 0000000001D2DBBD +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG FFFFFFFF8798FF00 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFBD +REG 00000000000000FF +REG 0000000000000000 +REG 00000000000000FF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 000000000000634C +REG FFFFFFFF7C07FFFE +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFFF +REG 0000000001D2DBBD +REG 0000000000000000 +REG 0000007870D4C900 +REG FFFFFFFF8798FF00 +REG 00000000DE9E0000 +REG 0000000000000000 +REG 000000011DFC0000 diff --git a/tests/216.bin b/tests/216.bin new file mode 100644 index 0000000..be9ca91 Binary files /dev/null and b/tests/216.bin differ diff --git a/tests/216.out b/tests/216.out new file mode 100644 index 0000000..b4acff8 --- /dev/null +++ b/tests/216.out @@ -0,0 +1,32 @@ +REG 0000006F80004061 +REG 0000000300078000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000002 +REG 0000000000000000 +REG FFFFFFFF77A6062A +REG FFFFFFFFFFB6062A +REG 0000002007FFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFE229 +REG 0000000000000000 +REG 0000000000000000 +REG 000042C402840040 +REG 0000000000000200 +REG 0000000000000004 +REG 0000221620142002 +REG 000000000000E229 +REG 0000000000000000 +REG 0000000000000000 +REG 6C0C540000000000 +REG 0000000000000000 +REG 0808080808070503 +REG F7F7F7F700205A3C +REG 0000000000000000 +REG 00000000F7D9A4C3 +REG 0000000000000000 +REG 0000000000004061 +REG FFFFFFFFFFFFBFA1 +REG 0000004000000000 +REG 0000000000000000 +REG 0000000040011011 diff --git a/tests/217.bin b/tests/217.bin new file mode 100644 index 0000000..201ee63 Binary files /dev/null and b/tests/217.bin differ diff --git a/tests/217.out b/tests/217.out new file mode 100644 index 0000000..37bd2dc --- /dev/null +++ b/tests/217.out @@ -0,0 +1,32 @@ +REG 0808083D92C70A09 +REG 0000000000000000 +REG 0000000000000040 +REG 0389D00003800000 +REG 0000000000000000 +REG F7F7F7F7F7F7DA18 +REG 0000000000000000 +REG 0000000008000000 +REG FFFFFFFFFFFFE218 +REG FFFFFFFFFFFFFFBF +REG FFFFFFFFFFFFF564 +REG 0000000000000020 +REG 0000000000000000 +REG 0808080808080800 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFEF080000 +REG 0000000008000000 +REG 0000000000000000 +REG 0404000000000000 +REG 000000000000000F +REG 0000000000000000 +REG 0404000000000000 +REG AC642000A8642000 +REG 000000006D330000 +REG FFFFFFFFFFFFE218 +REG FFFFFFFFFFFFFFFF +REG 0000000000000032 +REG 0000000000000000 +REG 000000000E276EB2 +REG 0000000050910891 diff --git a/tests/218.bin b/tests/218.bin new file mode 100644 index 0000000..0169012 Binary files /dev/null and b/tests/218.bin differ diff --git a/tests/218.out b/tests/218.out new file mode 100644 index 0000000..07c466a --- /dev/null +++ b/tests/218.out @@ -0,0 +1,32 @@ +REG FFFFFFFFE8080808 +REG 0000000000000000 +REG 00FFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 000000008DDC0000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG C7D83FFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000020000000 +REG 00003FFC24C0003F +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 000000001B6A0000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000000D +REG 000000007F800000 +REG 0007802000078000 +REG 0000000020000000 +REG 0007802000078000 +REG 0001FFFFC0000001 +REG 0000000000000000 +REG 000000008000005A diff --git a/tests/219.bin b/tests/219.bin new file mode 100644 index 0000000..93617bc Binary files /dev/null and b/tests/219.bin differ diff --git a/tests/219.out b/tests/219.out new file mode 100644 index 0000000..be2efcb --- /dev/null +++ b/tests/219.out @@ -0,0 +1,32 @@ +REG 000000000000AF28 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000001 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 000000000000005A +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 000000000000AF32 +REG 000000000000AF12 +REG FFFFFFFFFFFFFFBF +REG 0000000077C90000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000001FFFFFFF +REG 0000000020000000 +REG 00000000B8FE35E8 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 00015E6400015E60 +REG 0000000000000000 +REG 0000000000000000 +REG FF80000EC3A2FFF6 +REG 0000000004F70000 +REG 00000000900F8C6A diff --git a/tests/22.bin b/tests/22.bin new file mode 100644 index 0000000..b4fe984 Binary files /dev/null and b/tests/22.bin differ diff --git a/tests/22.out b/tests/22.out new file mode 100644 index 0000000..5b5c4c3 --- /dev/null +++ b/tests/22.out @@ -0,0 +1,32 @@ +REG FD641220FFFFFFE8 +REG 0000000000000000 +REG 0000000000000001 +REG 02A0000000000000 +REG 0000000000000000 +REG 0000000000006A04 +REG 0000000000000000 +REG 00000000000072B3 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000020000 +REG FFFFFFFFFFFFF111 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000020 +REG 0000000000000000 +REG 00000000000078E5 +REG 0000000000000000 +REG FFFFFFFFFFFFD87A +REG FFFFFFFFFFFFFFFF +REG 0000000000370000 +REG 0000000000004DEA +REG 111FFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 02A0000000000000 +REG 0000000081108108 diff --git a/tests/220.bin b/tests/220.bin new file mode 100644 index 0000000..dc0c038 Binary files /dev/null and b/tests/220.bin differ diff --git a/tests/220.out b/tests/220.out new file mode 100644 index 0000000..5938977 --- /dev/null +++ b/tests/220.out @@ -0,0 +1,32 @@ +REG FFFFDFDF571C8F0D +REG 0000000000000000 +REG 00002020A8E3CB24 +REG 0000000000000000 +REG 000000000000000E +REG FFFFDFDF7FFFBE30 +REG FFFFDFDF571C37DC +REG FFFFFFFFFFFFFFFF +REG 00000000000F9FFD +REG 00002020A8E3CB25 +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG 0000000002000000 +REG 0000000001000000 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 000000007BFF5DD7 +REG 0000000048E74408 +REG FFFFFFFFFFFFFFF1 +REG 0000001C9E000000 +REG 0000000000002E58 +REG FFFFDFDF571C34DC +REG FFFFDFDF3E78BE30 +REG FFFFFFFFD71BBBF8 +REG 000000000000007C +REG 0000000000000000 +REG F820FFFFFE79FFFF +REG FFFFFFFFFFF06001 +REG 0000000000000000 +REG 0E2BFEFC5EFC000E +REG 00000001110E8890 diff --git a/tests/221.bin b/tests/221.bin new file mode 100644 index 0000000..15a4825 Binary files /dev/null and b/tests/221.bin differ diff --git a/tests/221.out b/tests/221.out new file mode 100644 index 0000000..6bb4c7f --- /dev/null +++ b/tests/221.out @@ -0,0 +1,32 @@ +REG 0000000002065574 +REG FFFFFFFFFFFFAA8B +REG 0000000000000009 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000060001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFF00FF00 +REG 0000000000000000 +REG 0000000000000000 +REG FF93800000000000 +REG 000000000000000E +REG 0000000000000000 +REG FFFFFFFFFFFDFFFE +REG 0000000000000000 +REG 000000000002563C +REG FFFFFFFFFF00FF00 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFDA9CD +REG 0000000010ED0000 +REG 0000000011EC0100 +REG 0000000000FF0100 +REG 0000000000000000 +REG FFFFFFFFFF00FF00 +REG 0000000000000000 +REG 0000000000060000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000101101100 diff --git a/tests/222.bin b/tests/222.bin new file mode 100644 index 0000000..e0e6258 Binary files /dev/null and b/tests/222.bin differ diff --git a/tests/222.out b/tests/222.out new file mode 100644 index 0000000..fae7046 --- /dev/null +++ b/tests/222.out @@ -0,0 +1,32 @@ +REG 00013048E8F27500 +REG FFFFFFFF255B0020 +REG 0000000000000000 +REG 00000000001B0000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000080000 +REG 0000000000000000 +REG 00000000FFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFE5 +REG 0801000000000400 +REG 0000000000000000 +REG 0000002000000009 +REG FFFFFFFFFFFFFFC5 +REG FFFFFFFFFFFFFFC5 +REG FFFFFFFFFF3BDFFD +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFF4892 +REG 0000000000000621 +REG 0001304817168AFF +REG 000000000000000B +REG 00000000DAA4FFC5 +REG FFFFFFFFFFE4FFFF +REG 0000000000000000 +REG FFFFFFFF828EFFFF +REG 0000000000005000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000005FFFFF00 diff --git a/tests/223.bin b/tests/223.bin new file mode 100644 index 0000000..66630bb Binary files /dev/null and b/tests/223.bin differ diff --git a/tests/223.out b/tests/223.out new file mode 100644 index 0000000..6cb3e16 --- /dev/null +++ b/tests/223.out @@ -0,0 +1,32 @@ +REG 00000000A966007D +REG 000000000028FC7D +REG 00000000A966005A +REG FFFFFFFFFFFF5CFE +REG 000000000000A301 +REG FFFFFFFFFFFFFF81 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFC +REG FFFFFFFFFFFFFFF8 +REG 0000000000000003 +REG 0000000000000000 +REG 00000000000001FA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000002900000 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 000000000000007E +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000A9660000 +REG 0000000020000000 +REG 0000000000000002 +REG 0000000000000000 +REG FFFFFFFFD47B3FFF +REG 0000000100886088 diff --git a/tests/224.bin b/tests/224.bin new file mode 100644 index 0000000..64dd228 Binary files /dev/null and b/tests/224.bin differ diff --git a/tests/224.out b/tests/224.out new file mode 100644 index 0000000..38aecd9 --- /dev/null +++ b/tests/224.out @@ -0,0 +1,32 @@ +REG 0005002502BD5BF1 +REG 0000000000000001 +REG FFFFFFFAF800003A +REG 7FFFFFFF7FE03FFF +REG 0000002000000000 +REG FFFFFFFFFFFFFFFA +REG 0000000000028000 +REG 0000000000FFFFFF +REG FFFFFFEBFFFFFFFB +REG 0002800000000FF8 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFF7F +REG FFFFFFFFFFFFFFFA +REG 0000000000028000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000080 +REG 000000007ABFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFF79E +REG 80000000801FC080 +REG 0000000000000005 +REG FFFAFFFFC0000000 +REG 0000000000003FFF +REG FFFFFFFFFFFFFFFA +REG FFFFFFFFFFFFFF00 +REG FFFFFFFFFFFFFD7F +REG 0000000000003FFE +REG 00002D0800000000 +REG FFFFFFFFE14FFF53 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000090108808 diff --git a/tests/225.bin b/tests/225.bin new file mode 100644 index 0000000..5a4b686 Binary files /dev/null and b/tests/225.bin differ diff --git a/tests/225.out b/tests/225.out new file mode 100644 index 0000000..a141723 --- /dev/null +++ b/tests/225.out @@ -0,0 +1,32 @@ +REG A57FFFE4FFE2FFF2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000400024F8 +REG 0000000000000000 +REG 0000000000000018 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000040 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000DC0000000000 +REG 000000000000001B +REG 000000000000297E +REG A57FFFFFFFE32004 +REG FFFFFFFFFFFFC3FC +REG 0000000000000000 +REG FFFFFFFFFF880000 +REG 00000000400024F8 +REG 0000000000000000 +REG 00000000408049F1 diff --git a/tests/226.bin b/tests/226.bin new file mode 100644 index 0000000..ac6fd8a Binary files /dev/null and b/tests/226.bin differ diff --git a/tests/226.out b/tests/226.out new file mode 100644 index 0000000..bfb295a --- /dev/null +++ b/tests/226.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFF0FDFF8 +REG 0000000000000000 +REG FFFFFCF957FFB4F8 +REG FFFEFFC7FF000001 +REG 0000000000121831 +REG 0000000000000000 +REG 093D1F8000002007 +REG FFFFFCF957FF5D2F +REG FFFFFFFFFFFFFFFF +REG 5D2F57FF5D0017FF +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFEDE7CF +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000A800A2D0 +REG 0000000000000000 +REG 00000000FFFFFFFE +REG 0000000000000001 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000040 +REG 00000E0000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000005AFF +REG 00000306A800A2D0 +REG FFFFFFFFFFFFDFF8 +REG 00000000FFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000111E9F118 diff --git a/tests/227.bin b/tests/227.bin new file mode 100644 index 0000000..abe756f Binary files /dev/null and b/tests/227.bin differ diff --git a/tests/227.out b/tests/227.out new file mode 100644 index 0000000..1533a20 --- /dev/null +++ b/tests/227.out @@ -0,0 +1,32 @@ +REG 000000008080A7C3 +REG 0000000000000000 +REG 00002E1515159E9B +REG 0000000000000000 +REG 00400000C4C50DA3 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0040000000000DA3 +REG FFFFFBEFFF8006CB +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 020E0C0000000200 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFD1EAEAEA6164 +REG 0000041000000004 +REG 0000000101009101 diff --git a/tests/228.bin b/tests/228.bin new file mode 100644 index 0000000..34af810 Binary files /dev/null and b/tests/228.bin differ diff --git a/tests/228.out b/tests/228.out new file mode 100644 index 0000000..fb71840 --- /dev/null +++ b/tests/228.out @@ -0,0 +1,32 @@ +REG BFF000000955560A +REG 0000000000000000 +REG 00000000FFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 00000000B7EF0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFF940 +REG FFFFFFFFFFFFFFFF +REG BAD0000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000020084FEF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000001400000 +REG 0000000040909FDE diff --git a/tests/229.bin b/tests/229.bin new file mode 100644 index 0000000..4f48524 Binary files /dev/null and b/tests/229.bin differ diff --git a/tests/229.out b/tests/229.out new file mode 100644 index 0000000..74698c5 --- /dev/null +++ b/tests/229.out @@ -0,0 +1,32 @@ +REG 00FFFFFF4B5E9000 +REG 000000005EEB0000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000007CB1 +REG FFFFFFFFC0000000 +REG 0000000000007CB1 +REG 0000000000007CB1 +REG 0000000000007CB1 +REG 00FFFFFF4B5E9000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFC74E +REG 0000000000000007 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF9064 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040000000 +REG 0000000000000000 +REG 0000000000001000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000007FFFFFFF +REG 0000000000000000 +REG 00FFFFFF4B5EFCB1 +REG 0000000000007CB1 +REG FFFFFFFFA114FFFF +REG 0000000048009009 diff --git a/tests/23.bin b/tests/23.bin new file mode 100644 index 0000000..7ba8d1a Binary files /dev/null and b/tests/23.bin differ diff --git a/tests/23.out b/tests/23.out new file mode 100644 index 0000000..675ed87 --- /dev/null +++ b/tests/23.out @@ -0,0 +1,32 @@ +REG FFFEFFF3FFFEFFF3 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFD +REG FFFC00001A150000 +REG 0000000000000030 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000800000 +REG 0000002000000020 +REG FFFC000000010000 +REG 0000000000000000 +REG 0002FD1840F9A237 +REG 0002FD1840F8FFFF +REG FFFFFFFFFFFF14CC +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000028F8FFFF +REG 0003FFFFFFFF0000 +REG 000003FFFFFFFFFF +REG 0000000000020000 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000000 +REG FFFC000000010000 +REG 0000000000000000 +REG 000428F8FFFF0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000030 +REG 0000000000000000 +REG 0000000111100111 diff --git a/tests/230.bin b/tests/230.bin new file mode 100644 index 0000000..79813e6 Binary files /dev/null and b/tests/230.bin differ diff --git a/tests/230.out b/tests/230.out new file mode 100644 index 0000000..ff47505 --- /dev/null +++ b/tests/230.out @@ -0,0 +1,32 @@ +REG FFFFFFFF090CFFE4 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000002B +REG 000000002034BFFB +REG FFFFFFFFFFFFFFFF +REG 000000000000002B +REG 0000000000F6F300 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFC440FF42 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000DE2 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFBF6CBE3B +REG 00000000F6F30020 +REG FFFFFFFFFFFFFFD8 +REG 0000000015800000 +REG 0000000000000028 +REG 00000000007FFFFF +REG 0000000000000000 +REG 3BBF6CBE3BBF00BE +REG 000000002034BFFB +REG 0000000000000000 +REG 000000004034BFFB +REG 000000000000003A +REG FFFFFFFFFFFFFFE6 +REG 0000000000000061 +REG 0000000015800000 +REG 0000000000000000 +REG 0000000104697FF7 diff --git a/tests/231.bin b/tests/231.bin new file mode 100644 index 0000000..81a3046 Binary files /dev/null and b/tests/231.bin differ diff --git a/tests/231.out b/tests/231.out new file mode 100644 index 0000000..e2a55ae --- /dev/null +++ b/tests/231.out @@ -0,0 +1,32 @@ +REG 0000000004008061 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000000000003 +REG 0000000000000000 +REG FFFFFFFFFFFFFE85 +REG FFFFFFFFDBDFCE0C +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0A60619C0A60619C +REG FFFFFFFFFFFFFFFF +REG 00000000000000C0 +REG 0000000000000000 +REG 0000000000000000 +REG 000000005E8CFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000010042400 +REG FFFFFFFFFFFF00FF +REG 0000000000008000 +REG 0DD5D37C0DD5D77B +REG 1E3232201E323DD1 +REG 0000000000008000 +REG FFFFFA1734000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000040 +REG FC0FFFFFC0000000 +REG 0DD5D37C0DD5D77A +REG 0000000000000006 +REG 000000009E001002 diff --git a/tests/232.bin b/tests/232.bin new file mode 100644 index 0000000..e822c71 Binary files /dev/null and b/tests/232.bin differ diff --git a/tests/232.out b/tests/232.out new file mode 100644 index 0000000..4cb3a6b --- /dev/null +++ b/tests/232.out @@ -0,0 +1,32 @@ +REG 0002003FBE1DEE3F +REG FFFFFFFFE1E0001F +REG 0000000000000000 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFE1E0001F +REG 0000000000000000 +REG FFFFFFFFE1E0001F +REG 0000003FBFFFEE3F +REG FFFFFFFFE1E0001F +REG 0000000000000040 +REG FFFFFFFFEE1FFFE1 +REG 0470100000000000 +REG FFFFFFDFFFFFFFDF +REG 0000000000000000 +REG FFFFFFBF00000000 +REG 0000000000000000 +REG 0000000000000004 +REG FFFDFFFFFE1DDD26 +REG FFFFFFBF000085E5 +REG FFFFFFFFFFFFC0BE +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000003F81 +REG 0000000000003F81 +REG 0000000000000000 +REG 0000000000000040 +REG 000000001E204701 +REG FFFFFFFFE1F6001F +REG 0000000000000000 +REG 000000005E89E05E diff --git a/tests/233.bin b/tests/233.bin new file mode 100644 index 0000000..18b085e Binary files /dev/null and b/tests/233.bin differ diff --git a/tests/233.out b/tests/233.out new file mode 100644 index 0000000..21bc160 --- /dev/null +++ b/tests/233.out @@ -0,0 +1,32 @@ +REG 0000000080027F3C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000008 +REG 000000000000003C +REG 0000000000000000 +REG FFFFFFFFFFFFE3F3 +REG 0000000000000000 +REG FFFFFFFCFFFFFFFC +REG 0000000080020000 +REG FFDE00007FE1FFFF +REG FFFFFFFFFFFFE3F3 +REG FFFFFFFFE0003FFF +REG FFFFFFF8FFFFFFE9 +REG 0000000000000008 +REG EBFC000000000000 +REG 0000000000000000 +REG 000000001FFFC000 +REG 0000000044000000 +REG 0000000000000008 +REG 0000000000000011 +REG 0000000080020000 +REG 000000008001BF3C +REG 000000000000001C +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003B +REG 0000000000000000 +REG EBFC000000000000 +REG 0000000000000000 +REG FFFFFFFF7EFEFFFF +REG 0000000040448011 diff --git a/tests/234.bin b/tests/234.bin new file mode 100644 index 0000000..9135db0 Binary files /dev/null and b/tests/234.bin differ diff --git a/tests/234.out b/tests/234.out new file mode 100644 index 0000000..bab4532 --- /dev/null +++ b/tests/234.out @@ -0,0 +1,32 @@ +REG 001FCA124D64411E +REG FFFFF723FFFEAF73 +REG FFE04001DD891B5A +REG 0000000000000000 +REG 0000000000000068 +REG 0000000000000000 +REG 000000FC000000FC +REG FFFFFF03FFFFDA9B +REG 0000000000000000 +REG FFE0400000001B65 +REG FFE0400062785CFC +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG 000000FC00002DC5 +REG FFFFFFFFC0000008 +REG 0000000000000000 +REG FFFFFF03FFFFFF03 +REG 0000000000000000 +REG 000000003FFFFFF8 +REG 000000003FFFFFF8 +REG FFE0400100001B5A +REG 0000000000000068 +REG FFFFF723FFFEAF73 +REG 03F00000B7175800 +REG FFE0400062780B68 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFC0FF000000 +REG 0000003F00FFFFFF +REG 000000062785CFC0 +REG 0000000100011E99 diff --git a/tests/235.bin b/tests/235.bin new file mode 100644 index 0000000..8abf26c Binary files /dev/null and b/tests/235.bin differ diff --git a/tests/235.out b/tests/235.out new file mode 100644 index 0000000..895aaaa --- /dev/null +++ b/tests/235.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFF9 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000001EC9 +REG 0000000000000AD1 +REG 0000000000000040 +REG 000000000000174F +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000001EC8 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000001749 +REG 0008000000000000 +REG E357FFFFEBF778A4 +REG 0000000000000000 +REG FFFFFFFFFFFFFFC1 +REG 0000000000000000 +REG E357FFFFEBF79D43 +REG 00000000F0AB0000 +REG 1CA80000140862BD +REG 0000000000000000 +REG FFFFFFFFFC000862 +REG 0000000100000001 +REG 0000000000000000 +REG 0000000000000005 +REG FFFFFFFFFFFFFFFF +REG 000000000007FFFF +REG 0000000000000000 +REG FFFFFFFFFC000862 +REG 000000009F1F109E diff --git a/tests/236.bin b/tests/236.bin new file mode 100644 index 0000000..ed1ad5b Binary files /dev/null and b/tests/236.bin differ diff --git a/tests/236.out b/tests/236.out new file mode 100644 index 0000000..93295d5 --- /dev/null +++ b/tests/236.out @@ -0,0 +1,32 @@ +REG 800000000000C634 +REG FFFFFFFFFFFFFFE0 +REG FFFFFFFFFFFFFFFF +REG 000000000000FFF5 +REG 0000000000000000 +REG 0000000000000806 +REG 7FFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG FFFFFFFFFFFFF93C +REG 0000000000000000 +REG 80000000000039C0 +REG 0000000000000000 +REG 0000000000001200 +REG 000000000000FFF4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000463E +REG 0000000000000000 +REG FFFFFFFFFFFFC63E +REG 000000000000FFF5 +REG FFFFFFFFFFFF7729 +REG 8000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000040900901 diff --git a/tests/237.bin b/tests/237.bin new file mode 100644 index 0000000..66bd30e Binary files /dev/null and b/tests/237.bin differ diff --git a/tests/237.out b/tests/237.out new file mode 100644 index 0000000..91ef17a --- /dev/null +++ b/tests/237.out @@ -0,0 +1,32 @@ +REG 01000000000082C4 +REG FFFFFFFFFFFFE139 +REG 000000000000E3F1 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFDFD +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000045B0E139 +REG 01000000000005B8 +REG 0000000000000031 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFDD4B2400 +REG 0000000020000000 +REG 010000000000D5CC +REG 0000000000000000 +REG 0000000022BAE284 +REG 0000000000000302 +REG FFFFFFFFE6E4534E +REG 0526B7B92CCAC000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 004F75259A5DF720 +REG 0100000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000100081000 diff --git a/tests/238.bin b/tests/238.bin new file mode 100644 index 0000000..1dd9288 Binary files /dev/null and b/tests/238.bin differ diff --git a/tests/238.out b/tests/238.out new file mode 100644 index 0000000..a8abaf5 --- /dev/null +++ b/tests/238.out @@ -0,0 +1,32 @@ +REG F4C7D7F7DC05649B +REG FFFFFFDFFFFFFFD3 +REG FFFFFFFFFFFFFFA7 +REG 0000000000006312 +REG 03FCB00003FCB000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000FFFFFFA3 +REG 3FFFF41000006E10 +REG 7FFFFFFFFFFFFFF4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 000000033000003A +REG F7F7F7F7F9FA9B7B +REG 0000000000000000 +REG 0000FF00FC000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFF83 +REG FFFFFFFFFFFFFFA3 +REG 0000000100000000 +REG C00000EF03FF91EF +REG 0000000000000000 +REG F2C0000000000000 +REG 0000FF00FC000000 +REG 000000013FCB0000 +REG 0000000000000020 +REG 000000000000000C +REG 0000000100108804 diff --git a/tests/239.bin b/tests/239.bin new file mode 100644 index 0000000..31d9e72 Binary files /dev/null and b/tests/239.bin differ diff --git a/tests/239.out b/tests/239.out new file mode 100644 index 0000000..13632e7 --- /dev/null +++ b/tests/239.out @@ -0,0 +1,32 @@ +REG 0000000000000840 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFF800000103A5 +REG 5000052C5105852C +REG 0000000000000000 +REG 0000000068FA574D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000840 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000840 +REG 0000000000000840 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000007FFFFFEFC5B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF9705A8B2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000041FE891F diff --git a/tests/24.bin b/tests/24.bin new file mode 100644 index 0000000..1649ce2 Binary files /dev/null and b/tests/24.bin differ diff --git a/tests/24.out b/tests/24.out new file mode 100644 index 0000000..10a6763 --- /dev/null +++ b/tests/24.out @@ -0,0 +1,32 @@ +REG 0000000001FB926B +REG FFFFFFFFE2F80006 +REG 001389901D17FFFB +REG 00000000007FC000 +REG FFFFFFFFFFFFFFE3 +REG FFFFFFFFA9740000 +REG 0000000000000000 +REG 000000000000009C +REG 001389901D180009 +REG 0000000000000001 +REG 0000000000000000 +REG 07FE445007FC0010 +REG 0000000100000001 +REG 0000000008000000 +REG 000000001D003E30 +REG 00000000007FC000 +REG 0000000000000000 +REG 0000000100000001 +REG 4200000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 000000000000000E +REG 0000000000000000 +REG FFFFFFFFE2F80006 +REG 0000000000000000 +REG FFFFFFC7FFFC7FC7 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000041000001 diff --git a/tests/240.bin b/tests/240.bin new file mode 100644 index 0000000..1715a48 Binary files /dev/null and b/tests/240.bin differ diff --git a/tests/240.out b/tests/240.out new file mode 100644 index 0000000..b9a4466 --- /dev/null +++ b/tests/240.out @@ -0,0 +1,32 @@ +REG EFFFFFFFF9417ABD +REG EFFFFFFFF3516AFD +REG 0000001C0000001C +REG 0000007FFFCD45AB +REG 0003800000000007 +REG FFFFFFFFFFFFFE5F +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000011E3 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000044408884 +REG 0000000000001A7E +REG 000000000000000C +REG 00000000000001A4 +REG FFFFFFFFFFFFEE28 +REG 0000000003000000 +REG FFFFFFFFFFFFFFEB +REG FFFFFFFFFFD8F871 +REG FFFE0D7519F05FD3 +REG 0000000000000000 +REG 0001F28AE60FB47C +REG FFFFFFFFFFFFFFFF +REG 00000000FFF56C1F +REG FFFFFFFFAB60FAEF +REG 0000007FFFCD45AB +REG 00000000000E6657 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFE3FFFFFFE4 +REG 000000000000000F +REG 0000000088811108 diff --git a/tests/241.bin b/tests/241.bin new file mode 100644 index 0000000..4d64c5b Binary files /dev/null and b/tests/241.bin differ diff --git a/tests/241.out b/tests/241.out new file mode 100644 index 0000000..bd48976 --- /dev/null +++ b/tests/241.out @@ -0,0 +1,32 @@ +REG FFFFFFEB023FFFE0 +REG 0000000000000000 +REG 000000001FC00000 +REG 0000000000000000 +REG FF0000000000511A +REG 00FFFFFFFFFFAEE6 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG C0000000000FFFF0 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000002 +REG 0000000000000000 +REG 000000000BFDFFFF +REG FFFFFFFFFFFFFFFF +REG 00000000000FFFF0 +REG 0000000000008008 +REG 0000000000000000 +REG 000000000007FFE0 +REG FF0000000000511A +REG FFC000008000003F +REG 0000000000008007 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000FFFF0 +REG FFFFFFFFFFFFFFFF +REG 0000000040110900 diff --git a/tests/242.bin b/tests/242.bin new file mode 100644 index 0000000..561fc68 Binary files /dev/null and b/tests/242.bin differ diff --git a/tests/242.out b/tests/242.out new file mode 100644 index 0000000..c0710e4 --- /dev/null +++ b/tests/242.out @@ -0,0 +1,32 @@ +REG 0000000000001BDE +REG 0000000000000000 +REG 0000000000009122 +REG 0000000001A65668 +REG 0000000000000000 +REG 01FFFFFFFFFF4F95 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 000000000000003A +REG 0200000000006990 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFC6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000002B90 +REG 01FFFFFFFFFFFD13 +REG 01A64C0001A65668 +REG FFFFFFFFFFFFFFF8 +REG 01A64C0001A65668 +REG FFFFFFFFFFFFFFC0 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 01A64C0001A65668 +REG 0000000000000039 +REG 0000000000002BB9 +REG 0000000000000000 +REG 0000000040480101 diff --git a/tests/243.bin b/tests/243.bin new file mode 100644 index 0000000..475375c Binary files /dev/null and b/tests/243.bin differ diff --git a/tests/243.out b/tests/243.out new file mode 100644 index 0000000..76202df --- /dev/null +++ b/tests/243.out @@ -0,0 +1,32 @@ +REG 0000000024F426A1 +REG 000000000000003B +REG FFFFFFFFFFFFFFFF +REG 0000000046E10000 +REG 0000000000000000 +REG 000000200000001B +REG 00000000000006D6 +REG FFFFFFFFE9B64800 +REG 0000000000001600 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000006D7 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000657 +REG 0000000000000657 +REG 0000000000000015 +REG 9200007FFFFFFFF3 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000006D7 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000007 +REG 0000000000000000 +REG 00000000AED50000 +REG 0000000000000020 +REG 00000000000006D7 +REG 0000000049E84110 diff --git a/tests/244.bin b/tests/244.bin new file mode 100644 index 0000000..0743c07 Binary files /dev/null and b/tests/244.bin differ diff --git a/tests/244.out b/tests/244.out new file mode 100644 index 0000000..2fc70ee --- /dev/null +++ b/tests/244.out @@ -0,0 +1,32 @@ +REG 0000000097FFA7CA +REG 0000000000000008 +REG 0000000024002448 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFDBFFDBA0 +REG 0000000000004800 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000E1D00000 +REG FFFFFFFFFFFFFFFF +REG 0000000000240000 +REG 0000000024002447 +REG 0000000000000000 +REG 0000000000000041 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000041 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000041 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG EE00003FFFF6FFF6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000048008910 diff --git a/tests/245.bin b/tests/245.bin new file mode 100644 index 0000000..4da69c6 Binary files /dev/null and b/tests/245.bin differ diff --git a/tests/245.out b/tests/245.out new file mode 100644 index 0000000..edcd8ae --- /dev/null +++ b/tests/245.out @@ -0,0 +1,32 @@ +REG FFFF80003FFFFFE1 +REG 7800000040000000 +REG 0000000001E40000 +REG FFFFFFFFC0000001 +REG 0000000000000000 +REG 3C0039103C003910 +REG 0000000000050000 +REG 000005001CF1AA12 +REG 1508000715080007 +REG 0000000000000001 +REG 15080006F6260006 +REG FFFFFFFFC0000000 +REG 0000000040003CDE +REG FFFFFFFFFFFFC000 +REG FFFFFFFFF0000000 +REG FFFFFFFFC0000000 +REG 00003CDE00003CDE +REG FFFFFFFFFFFF8322 +REG FFFFFFFFC0000000 +REG 0000000000000000 +REG 0000000040000000 +REG 000000000000001E +REG 0000000000000000 +REG B5BB888002000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000003CDE +REG 0000000040000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF0 +REG 0000000080090041 diff --git a/tests/246.bin b/tests/246.bin new file mode 100644 index 0000000..85fe5b2 Binary files /dev/null and b/tests/246.bin differ diff --git a/tests/246.out b/tests/246.out new file mode 100644 index 0000000..91df28f --- /dev/null +++ b/tests/246.out @@ -0,0 +1,32 @@ +REG 9EBD37DA88A23C86 +REG 0000000000000C06 +REG 0000000000000000 +REG FFFFFFFFFFFFF3FA +REG FFFFFFFFFFFFFFFF +REG 00000B0900000B09 +REG FFFFFFFFFFFFF77F +REG FFFFFFDFFFEF6A88 +REG FFFFFEE03EFFFD78 +REG FFFFFFFFEB7F0000 +REG FFF7A900EFDE3EC0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000B0800000B08 +REG 0000001FFFFF8000 +REG 88088000000005DD +REG FFFFFFFFCAED0000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFEE02AF96160 +REG 721E9578727AA2A8 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000C06 +REG 0000000000000000 +REG 00000020721E9578 +REG FFEFD041EB6F2FBE +REG 00000017E4236D87 +REG F3FA00000002D168 +REG 0000000109110888 diff --git a/tests/247.bin b/tests/247.bin new file mode 100644 index 0000000..a369277 Binary files /dev/null and b/tests/247.bin differ diff --git a/tests/247.out b/tests/247.out new file mode 100644 index 0000000..4708183 --- /dev/null +++ b/tests/247.out @@ -0,0 +1,32 @@ +REG FFFFFA90DE37FA90 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG BFFFFFFFFFFF96BC +REG 00000000000100C1 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFF00000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000040 +REG 0000056F0000056F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000068AB +REG 005AF00000000040 +REG 0000000000000000 +REG FFFFFFFFFFFF8F8E +REG FFFFFA90FFFFFA90 +REG 0000000000000000 +REG 000000011FE08804 diff --git a/tests/248.bin b/tests/248.bin new file mode 100644 index 0000000..66c3672 Binary files /dev/null and b/tests/248.bin differ diff --git a/tests/248.out b/tests/248.out new file mode 100644 index 0000000..202d873 --- /dev/null +++ b/tests/248.out @@ -0,0 +1,32 @@ +REG FFFFEFFFFFFF6716 +REG 000011F7000011F7 +REG 0000007FFFFC55BF +REG 003FFFFFFFF3A380 +REG FFFFFFFF00FFFFFF +REG 00000000000000C8 +REG 0000000000000038 +REG FFFFF651322D5C7E +REG 00000000000018F0 +REG 000000005D3D18F0 +REG FFFFF651777D5C7E +REG FFFFFFFFFFFFFF65 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFF6052A21 +REG FFFFFFF900000006 +REG FFFFFFFFF6052A21 +REG 000000008FC00000 +REG FFFFFFFFFFFFE747 +REG 00000006FFFFE729 +REG 000C5C8000000C80 +REG 0000000000006740 +REG 0000000000000000 +REG FFFFFFFFFFFF9910 +REG 00FFFFDA00FFFFDA +REG 0000000000000000 +REG 0000000000F50000 +REG FFC00000000C5C7F +REG FFFFFFFFFFFFFE33 +REG 0000000000000001 +REG 03FFFFE000000000 +REG 0000000000000000 +REG 000000009F1E89B0 diff --git a/tests/249.bin b/tests/249.bin new file mode 100644 index 0000000..d5c39b9 Binary files /dev/null and b/tests/249.bin differ diff --git a/tests/249.out b/tests/249.out new file mode 100644 index 0000000..f0ec75b --- /dev/null +++ b/tests/249.out @@ -0,0 +1,32 @@ +REG 8C98FF0180800021 +REG 0000000000001C96 +REG 000000001067FFF7 +REG 0000000000000000 +REG FFFFFFFF00000000 +REG 7490775574907755 +REG 0000000100000000 +REG 0000000000000000 +REG 0000000000000001 +REG D724002AA3A3AF0D +REG 0000000000000000 +REG 3FFFFFFF19300003 +REG 0000000040004428 +REG 0000000000000001 +REG 0000000000001964 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000013 +REG FFFEFFFFFFFF8915 +REG 0000000000200000 +REG FFFF000000000000 +REG FFFF010000000000 +REG 0000000000001C95 +REG F3DB437DB75A77C2 +REG 0000000074907756 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF8C980040 +REG 0000000074907756 +REG 00000000890009E0 diff --git a/tests/25.bin b/tests/25.bin new file mode 100644 index 0000000..ce838cf Binary files /dev/null and b/tests/25.bin differ diff --git a/tests/25.out b/tests/25.out new file mode 100644 index 0000000..fea384d --- /dev/null +++ b/tests/25.out @@ -0,0 +1,32 @@ +REG FFFFFFFF0E487002 +REG 000233A378A08F89 +REG FFFFFFFFFFFFFFFF +REG E000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFE7DA +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF78AF8F88 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000BE486827 +REG 0000000000000001 +REG 0000000000006E99 +REG 08FE800000000000 +REG 0000000002000000 +REG FFFFFFFFFFFF1060 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFCFB4000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000005317 +REG FFFFFFF6FFFFFFF6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000002000000 +REG FFFFFFFFFFFFE7DA +REG 000000008FE82F08 +REG 000000010FDEE0F0 diff --git a/tests/250.bin b/tests/250.bin new file mode 100644 index 0000000..5dd74c8 Binary files /dev/null and b/tests/250.bin differ diff --git a/tests/250.out b/tests/250.out new file mode 100644 index 0000000..b721422 --- /dev/null +++ b/tests/250.out @@ -0,0 +1,32 @@ +REG BFFFDFFFFFFFFDE1 +REG FFFF800000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFDDE +REG 0000002000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFA108 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000004000000 +REG FFFFFFFFFFFF9D7F +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000049FFE890 diff --git a/tests/251.bin b/tests/251.bin new file mode 100644 index 0000000..20ff16c Binary files /dev/null and b/tests/251.bin differ diff --git a/tests/251.out b/tests/251.out new file mode 100644 index 0000000..e183aad --- /dev/null +++ b/tests/251.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFF000801 +REG FFFFFFFFFFFFFFFF +REG 0000000004028384 +REG FFFFFFFFFFFF897E +REG 0000000000000000 +REG 00000000FFFFFFFF +REG FFFFFFFFFFFFB1D4 +REG 0000000000000001 +REG 0000000000005900 +REG FFFFFFFFFFFF86F0 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF86EF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040080000 +REG FFFFFFFFFFFFFFFF +REG 0000000000002A00 +REG 0004000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000800 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000080100000 +REG 0000000000000000 +REG 0808080808050708 +REG FFFFFFFFFFFFFFFF +REG 0000000100109091 diff --git a/tests/252.bin b/tests/252.bin new file mode 100644 index 0000000..a447e64 Binary files /dev/null and b/tests/252.bin differ diff --git a/tests/252.out b/tests/252.out new file mode 100644 index 0000000..eae111b --- /dev/null +++ b/tests/252.out @@ -0,0 +1,32 @@ +REG 800001FF27FD3F3E +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000003F3E +REG FFFFFFFFFFFFFF8C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000100000 +REG FFFFFFFFFC0007FF +REG 0000000000003F3E +REG 0000000000000000 +REG 0000000000003062 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080800008 +REG FFFFFFFFFFEFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF03C03FFF03 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000FFFFF +REG FFFFFE00D802FFFF +REG 0000000000003F3E +REG 0000000064420000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000080800008 +REG 0000000101008011 diff --git a/tests/253.bin b/tests/253.bin new file mode 100644 index 0000000..fb771db Binary files /dev/null and b/tests/253.bin differ diff --git a/tests/253.out b/tests/253.out new file mode 100644 index 0000000..ed80dda --- /dev/null +++ b/tests/253.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFCF +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 028F00070A3C005C +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 010180C0000000C0 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000040 +REG FFFFFFFFFFFFC000 +REG 0000000000000000 +REG 0000000000800002 +REG 0000000000000040 +REG 0000000000000001 +REG FFFFFFFFDFFFFF7F +REG 0000000000000000 +REG 010180C00000D8D9 +REG 0000000020000080 +REG 0000000000000000 +REG FFFFFFFFFFFFC000 +REG 0000000000000040 +REG 0000000000000000 +REG 028F00070A3A48D4 +REG 0000000020000080 +REG 0000000000004000 +REG 0000000000000000 +REG 0000000020000080 +REG 0000000040000100 diff --git a/tests/254.bin b/tests/254.bin new file mode 100644 index 0000000..fa31594 Binary files /dev/null and b/tests/254.bin differ diff --git a/tests/254.out b/tests/254.out new file mode 100644 index 0000000..41a7a14 --- /dev/null +++ b/tests/254.out @@ -0,0 +1,32 @@ +REG FFFFFFFBFFFE587F +REG 0000000000001ADF +REG 0000000000000000 +REG 0000000000000002 +REG 0000000003FE27BF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG F7AD85A9FFD5ADE5 +REG 00000000404424D2 +REG 0000000003FF8000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000002 +REG 000000005006FFFF +REG 000000000000D6F8 +REG 0000000000000000 +REG 0000000040000000 +REG 000000005006FFFF +REG 0000000000000000 +REG 000000005006FFBF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000003FE27BF +REG 0000000000000000 +REG 0000000000000000 +REG 00000000800909A4 diff --git a/tests/255.bin b/tests/255.bin new file mode 100644 index 0000000..c1fd938 Binary files /dev/null and b/tests/255.bin differ diff --git a/tests/255.out b/tests/255.out new file mode 100644 index 0000000..2cf0de9 --- /dev/null +++ b/tests/255.out @@ -0,0 +1,32 @@ +REG 7FFFCB357DFFD5D8 +REG FFFFFFFFFFFF9669 +REG 0000000000000004 +REG 0000000000000000 +REG 000000000000000D +REG 000000000000EFB1 +REG 0000000046180004 +REG 000000000000EFB0 +REG 0000000000000000 +REG 38000000504BFFFF +REG FFFFFFFFFFFF76DF +REG 0000000000000000 +REG C7FFFFFFAFB40000 +REG FFFFFFFFFFFFFFFB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000008021 +REG FFFFFFFF6B0CFFFF +REG 0000000000000000 +REG 0000004000000000 +REG 0000000000000004 +REG 000000001FFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000002000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000020000802 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080000805 diff --git a/tests/256.bin b/tests/256.bin new file mode 100644 index 0000000..bbe661a Binary files /dev/null and b/tests/256.bin differ diff --git a/tests/256.out b/tests/256.out new file mode 100644 index 0000000..27b30d5 --- /dev/null +++ b/tests/256.out @@ -0,0 +1,32 @@ +REG 8000001422E00000 +REG 0000000000000800 +REG 000000000001C614 +REG 005CA3FFF1EE9CE6 +REG 0000000000011EE8 +REG FFFFFF00000007F7 +REG 0000000000000000 +REG 000000007FFEE117 +REG FFFFE5A580000000 +REG 0000000000010000 +REG 0000000000000000 +REG 80000000FFE00000 +REG 2783000008000319 +REG 000000000083EA7D +REG 0000000000000000 +REG FFA35C18DF117FBF +REG D97DFFFFF7FFFFFF +REG 0000000000000000 +REG 000000000001FF7E +REG 0000000000000005 +REG 0000000100000001 +REG D82CFFFFFFFFFC00 +REG 0000000000000000 +REG 00BB7CC0C2C7EDED +REG 0000000000000004 +REG 7FFFFFFFDD1FFFFF +REG 00000000000000A0 +REG A1917FFFA1918000 +REG E6EEC3E7FFFFC000 +REG 0000E0000000E000 +REG FFFFFFFFFFFFFFFC +REG 000000008011C080 diff --git a/tests/257.bin b/tests/257.bin new file mode 100644 index 0000000..d8a87c4 Binary files /dev/null and b/tests/257.bin differ diff --git a/tests/257.out b/tests/257.out new file mode 100644 index 0000000..54a0e4e --- /dev/null +++ b/tests/257.out @@ -0,0 +1,32 @@ +REG 08080DFFF061FF08 +REG FFFFFFFFFFDF0DC3 +REG 0000000000000000 +REG 0000000000000001 +REG 00000000FFDC0000 +REG F7F7FDFF0020FEFF +REG 800000000007FFFC +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG F81DFFFFF800FFFF +REG 0808080808070303 +REG F81DFFFF7800FFFF +REG 0000000000000000 +REG 00000000000000FF +REG FFFFFFFFFFFFFFFF +REG FFFFF00000000000 +REG 0000000000000000 +REG 00000000FFDC0000 +REG FFFFFFFFFFDF2935 +REG FFFFFFFFFFDF2934 +REG 0000000040000000 +REG F7F7FDFFF061FF37 +REG 800000003FF80004 +REG 0000000000000001 +REG FDFF0020FEFF0003 +REG 0000000000000000 +REG 000000005644AD33 +REG 0000000000000100 +REG FFFFFFFFFFFFFFFF +REG 101004001F3B5BE6 +REG 080802000F9DADF3 +REG 0000000100008110 diff --git a/tests/258.bin b/tests/258.bin new file mode 100644 index 0000000..868417f Binary files /dev/null and b/tests/258.bin differ diff --git a/tests/258.out b/tests/258.out new file mode 100644 index 0000000..5659fde --- /dev/null +++ b/tests/258.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000010 +REG 0000000000000000 +REG 0000200027130000 +REG 001FDF0000000000 +REG FFFFFFFFFFFFFFFF +REG 8000000080000038 +REG 47FFF1BA4600003A +REG FFFFFFA551FFFFA5 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000010 +REG 8000002D8000002D +REG 0000000000000000 +REG 8000002500000025 +REG 0000000000000001 +REG 0000000000000000 +REG FFFF4AA3FFFF4A03 +REG FFFFFFFFFFFFFFFF +REG 000000000000000E +REG 0000000000000005 +REG 000000000001C003 +REG 0000000000000000 +REG 8000000080000038 +REG 00000000000C3749 +REG 0000000000400000 +REG 0000000000012800 +REG 0000000080000000 +REG FFFFFFFFFFFE3748 +REG 0000000100800010 diff --git a/tests/259.bin b/tests/259.bin new file mode 100644 index 0000000..afa8867 Binary files /dev/null and b/tests/259.bin differ diff --git a/tests/259.out b/tests/259.out new file mode 100644 index 0000000..42bfb7f --- /dev/null +++ b/tests/259.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFF7 +REG 0000000000001000 +REG 00000000007FEA1D +REG 0000000000000020 +REG 00000000007FFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00FFDFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000095C +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF00FFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFDFFFFFDF +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000023F9 +REG 00000000512E0010 diff --git a/tests/26.bin b/tests/26.bin new file mode 100644 index 0000000..f5e71fe Binary files /dev/null and b/tests/26.bin differ diff --git a/tests/26.out b/tests/26.out new file mode 100644 index 0000000..64a93e8 --- /dev/null +++ b/tests/26.out @@ -0,0 +1,32 @@ +REG 00000000000076BA +REG 001AE800003EEBB2 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFEE34 +REG 00000000000076BA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 6BA00000FBAEC85C +REG FFFFFFFFFFFFFFFF +REG 00000000000007E0 +REG 00000000AEBF97DF +REG 00000000000076BA +REG 0000000000000000 +REG 000000000000001A +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFC25F820 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000003DA07E0 +REG FFEFFFFFFFFFFFFA +REG FFFFFFFFFFFF8946 +REG 001AE800003EEBB2 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 00000000000001B1 +REG 000000000000001B +REG 00000000AEBF97DF +REG 0000000000000000 +REG FFF945FFFFF04510 +REG 0000000080889091 diff --git a/tests/260.bin b/tests/260.bin new file mode 100644 index 0000000..6162457 Binary files /dev/null and b/tests/260.bin differ diff --git a/tests/260.out b/tests/260.out new file mode 100644 index 0000000..ca95e25 --- /dev/null +++ b/tests/260.out @@ -0,0 +1,32 @@ +REG 047C480808084BAD +REG 0000000000000040 +REG FFFFFFFFFFE134E4 +REG 000000001F791BAA +REG FFFC000000000000 +REG 0071B80000005BAA +REG FFFFFFFFFFFFFFE4 +REG 10DC8ABC10D08AB0 +REG FFFFFFFFFFFFE450 +REG 0000000000000012 +REG 0000000000000000 +REG 000000001F791BAA +REG 0000000000001000 +REG FFFFFFFFFFFFFFFB +REG 0000000000000000 +REG 000000000B42C550 +REG 000000000000001B +REG FFFFFFFFE09EEF5F +REG 0000000000000000 +REG FFFFFFFFE09EEF5F +REG 10DC8ABC10D08AB0 +REG 0C74400000006018 +REG 0000000000000000 +REG 0000000000000000 +REG 00000016EA800000 +REG FFFFFFFFE086E456 +REG 000000000000000C +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000001F791BAA +REG 0000000000000000 +REG 0000000100810001 diff --git a/tests/261.bin b/tests/261.bin new file mode 100644 index 0000000..22e242c Binary files /dev/null and b/tests/261.bin differ diff --git a/tests/261.out b/tests/261.out new file mode 100644 index 0000000..e66f318 --- /dev/null +++ b/tests/261.out @@ -0,0 +1,32 @@ +REG FC37FFFFFF87FFFF +REG 0000000000000000 +REG 0000000000000A10 +REG 000000000000000A +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000441 +REG 00000000269E0000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000400 +REG FC37FFFFFFFF9E8F +REG FFFFFFFFBFFBBC00 +REG 0000000040044400 +REG 0000000000000000 +REG 03FFF0FFFF87FFFF +REG 0000000000000A00 +REG 0000000000000000 +REG 0000000000000000 +REG FC000F0000780000 +REG 00000000007FFF00 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 000000001FFFC001 +REG 0000000000000010 +REG 00361B5900000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000006 +REG 0000000088080800 diff --git a/tests/262.bin b/tests/262.bin new file mode 100644 index 0000000..5ef2d9e Binary files /dev/null and b/tests/262.bin differ diff --git a/tests/262.out b/tests/262.out new file mode 100644 index 0000000..6d31449 --- /dev/null +++ b/tests/262.out @@ -0,0 +1,32 @@ +REG 00007A6C00007A68 +REG FFFFFFFFFFFFFBFD +REG 000000000000002D +REG 0000000000000000 +REG 07FFFFFF93DCFFBE +REG 00000000E303002D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000004000002C +REG 0000000000000000 +REG 000000006C230040 +REG 0000010000000000 +REG FFFFFFFFFFFFFFF6 +REG 0000000040C54040 +REG 00001F8000000000 +REG 000000000000003B +REG 000000000000002D +REG 00007A6C00007A6C +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003B +REG FFFFFFFFFFFFFFFD +REG F800000000000001 +REG 0000000000000040 +REG FFFFFFFFBFFFFFD3 +REG F800000000000000 +REG 0000000000000000 +REG 0000000000004040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000049E1E1F9 diff --git a/tests/263.bin b/tests/263.bin new file mode 100644 index 0000000..832b905 Binary files /dev/null and b/tests/263.bin differ diff --git a/tests/263.out b/tests/263.out new file mode 100644 index 0000000..bef97cc --- /dev/null +++ b/tests/263.out @@ -0,0 +1,32 @@ +REG FFFAE30EB533EEC8 +REG FFFFFFFCC040001F +REG 080808089E7E50A9 +REG 0000000046B3000F +REG 080808089E7E50AB +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000075C40 +REG 00000000967650A8 +REG 0000000000000000 +REG FFFFFFFFFFFF9639 +REG 0000000000000000 +REG 0808080808080807 +REG 0000000000000000 +REG 000000002226FBD9 +REG 9FDFFFF0006E075F +REG 0000000000000000 +REG 000000000000000F +REG 080808089E7E50A9 +REG FE000001FE000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFF9D8C0 +REG 0000000000006022 +REG FFFFFFFFFF0FFDF9 +REG FFFEBF3F9FFFE000 +REG 9FE00EDB5431A445 +REG 00000000DCC575C4 +REG 00000000998AFF1D diff --git a/tests/264.bin b/tests/264.bin new file mode 100644 index 0000000..478d086 Binary files /dev/null and b/tests/264.bin differ diff --git a/tests/264.out b/tests/264.out new file mode 100644 index 0000000..ce0ce58 --- /dev/null +++ b/tests/264.out @@ -0,0 +1,32 @@ +REG FFFFFE80A36EFFFF +REG 0000000000000000 +REG FFFFFFFFA36EFFFF +REG 0000000000000000 +REG FFFE000000000000 +REG 000A599983FFA7FF +REG 0000000000000040 +REG 000A599983FFB2DB +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000011BB8000003F +REG 000A599983FFB2DB +REG 0000000029A1FFFF +REG 0000000000000000 +REG 0000000000003805 +REG 0000000000000020 +REG 0000000000000040 +REG 000011BB7FFF2C9A +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFF87FBE +REG FFFFFFFFFFFFFFFF +REG 0000000100044801 diff --git a/tests/265.bin b/tests/265.bin new file mode 100644 index 0000000..245144c Binary files /dev/null and b/tests/265.bin differ diff --git a/tests/265.out b/tests/265.out new file mode 100644 index 0000000..918714f --- /dev/null +++ b/tests/265.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFEC +REG 0000000000000000 +REG 000D800000000036 +REG 000D800000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFF27FFFFFFFC99F +REG 00000000083F0000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000003F +REG 000D800000000036 +REG 000000000000003A +REG 0000000000000001 +REG FFFFFFFFFFFFFFC9 +REG 000D800000000036 +REG 000D8000083F0036 +REG 0000000044040800 +REG 000D800000000036 +REG 000D800000000000 +REG 00000000083F0000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000000031AA +REG 7FFFFFFFFFFFFFC9 +REG 0000000000000000 +REG 0000000000000000 +REG 0007FFFFFFFFE000 +REG 01B8000001A00000 +REG 0000000000000603 +REG 0000000088081001 diff --git a/tests/266.bin b/tests/266.bin new file mode 100644 index 0000000..d615421 Binary files /dev/null and b/tests/266.bin differ diff --git a/tests/266.out b/tests/266.out new file mode 100644 index 0000000..809b219 --- /dev/null +++ b/tests/266.out @@ -0,0 +1,32 @@ +REG FFFFFFE9BFFFFFFF +REG 0000000000000040 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000001600000016 +REG FFFFFFFFFFFFB870 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000118 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000BAA40 +REG 0000000000000000 +REG 000000000000D746 +REG 0000000000000017 +REG 000000000000003F +REG 0000000000000040 +REG 0000000000003514 +REG 0000000000000000 +REG 000000000000003F +REG 0000000041041104 diff --git a/tests/267.bin b/tests/267.bin new file mode 100644 index 0000000..64b9009 Binary files /dev/null and b/tests/267.bin differ diff --git a/tests/267.out b/tests/267.out new file mode 100644 index 0000000..57dc4da --- /dev/null +++ b/tests/267.out @@ -0,0 +1,32 @@ +REG FEFFDEAAEFFFA981 +REG 3FF7F1003EF787B6 +REG 3FF7F1003EF7D055 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000003DDF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFE32B +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG 0000000000000000 +REG 003C000000000000 +REG 0000002000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000018D4ABAA4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000050800800 diff --git a/tests/268.bin b/tests/268.bin new file mode 100644 index 0000000..9d08096 Binary files /dev/null and b/tests/268.bin differ diff --git a/tests/268.out b/tests/268.out new file mode 100644 index 0000000..e29888b --- /dev/null +++ b/tests/268.out @@ -0,0 +1,32 @@ +REG 002095FFFE3BFFFF +REG 0000000020000020 +REG 0000000000000000 +REG 0000000000000028 +REG 000000000000003B +REG FFFFFFFFFFFFFFF8 +REG 0000000000000000 +REG 0000000048C60010 +REG 0000000008000000 +REG 0000000000000000 +REG 000000000BE4F1F0 +REG 0000000000000010 +REG 0000000000000000 +REG FFFFFFFFFFFFF1EF +REG 0000000000000000 +REG 0000000000001E41 +REG 0000000000000020 +REG FFFFFFFFFFFFFFF0 +REG FFFFFFFFF4400000 +REG 00000000507C0010 +REG 0000000000000020 +REG FFFFFFFFFFFFA69E +REG 00000000507BA6AE +REG 00001FFFFFFFD34F +REG 0000000000000000 +REG 0000000000000001 +REG 00001FFFFF7FD74F +REG 0000000038440000 +REG FFFFFFFF86970000 +REG FFFFFC001FFFFFF9 +REG 00001FFFC7BBD34E +REG 0000000090091489 diff --git a/tests/269.bin b/tests/269.bin new file mode 100644 index 0000000..566e66d Binary files /dev/null and b/tests/269.bin differ diff --git a/tests/269.out b/tests/269.out new file mode 100644 index 0000000..dad5e22 --- /dev/null +++ b/tests/269.out @@ -0,0 +1,32 @@ +REG FFFFFC0DA7307FFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF9D71 +REG 0000000000000000 +REG FFFFFFFFFFFFA8F4 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000024 +REG 0000000000000020 +REG 000003F2C0008000 +REG 0000000000000480 +REG 0000000000000000 +REG 0000000000000000 +REG 000003F2C0008000 +REG 00000000408F4F04 +REG 0000000000000000 +REG 0000000000000024 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFF51E9FF80000 +REG 00000000401E0000 diff --git a/tests/27.bin b/tests/27.bin new file mode 100644 index 0000000..ef0efcd Binary files /dev/null and b/tests/27.bin differ diff --git a/tests/27.out b/tests/27.out new file mode 100644 index 0000000..d9b47f7 --- /dev/null +++ b/tests/27.out @@ -0,0 +1,32 @@ +REG FFFFFFFFC5950122 +REG 0000000000000000 +REG 0000000000004AFB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000020 +REG 0000000000000000 +REG 000000AE50BFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000028050000 +REG 0000000061DF0020 +REG FFFFFFFFFFFFFFE7 +REG FFFFFFFF7ABEFEDF +REG FFFFFFFFDC9DFEFF +REG FFFFFFFFFC12B942 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF9E20FFE0 +REG 0000000000000000 +REG FFFFFFFFE6F74AFB +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFD498FEFF +REG 0000000000000020 +REG 0000000000007000 +REG FFFFFFFFDC9DFEFF +REG 0000000000000042 +REG 000000001908FFFF +REG FFFFFFFE8ECFF042 +REG 000000010087E01F diff --git a/tests/270.bin b/tests/270.bin new file mode 100644 index 0000000..75cf14f Binary files /dev/null and b/tests/270.bin differ diff --git a/tests/270.out b/tests/270.out new file mode 100644 index 0000000..5ab9ac6 --- /dev/null +++ b/tests/270.out @@ -0,0 +1,32 @@ +REG 0000FF20FFFA681F +REG 00000001001BFFFE +REG 000000000003FFFF +REG FFFFFFFEFFE40001 +REG FFFFFFFFFFFFFFFD +REG 000000000001DB00 +REG 0000002000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080000000 +REG 0000000000033C91 +REG 0000000000000003 +REG 00000000FFFFFFFE +REG 0000000000000000 +REG 00000000FFFFFFFE +REG 00000000001C0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0002000000003B61 +REG 0000000000000001 +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 00000000FFFFFFFE +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFE24FF +REG 0000000000000000 +REG 0000000000000000 +REG 00000001011F0028 diff --git a/tests/271.bin b/tests/271.bin new file mode 100644 index 0000000..d836117 Binary files /dev/null and b/tests/271.bin differ diff --git a/tests/271.out b/tests/271.out new file mode 100644 index 0000000..dc2071b --- /dev/null +++ b/tests/271.out @@ -0,0 +1,32 @@ +REG 03F7E01A51FBAD10 +REG 000029C237CD0000 +REG D814F6E1FF24001E +REG 0000000000000001 +REG 27EB091E24000000 +REG 0000000000000000 +REG FFFFFFFEFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000001FFD60C +REG 000000000000003A +REG 080808080808BE67 +REG 27EB091E23FFFFC5 +REG 0000000000000002 +REG 000000000000003A +REG D814F6E1DC000000 +REG 0000000000000000 +REG FFFFFFFF000003DE +REG 0808080808080807 +REG 0000000F8100A500 +REG 0808080808080804 +REG FFFFFFFFFFFFFFE2 +REG 0000137400001374 +REG 27EB091E24000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000003E040 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFBF +REG 0000000F8100A4FF +REG 0000000005050000 +REG 0000000044100001 diff --git a/tests/272.bin b/tests/272.bin new file mode 100644 index 0000000..139dad8 Binary files /dev/null and b/tests/272.bin differ diff --git a/tests/272.out b/tests/272.out new file mode 100644 index 0000000..1a09169 --- /dev/null +++ b/tests/272.out @@ -0,0 +1,32 @@ +REG FFFFFFFF7FFFFF8F +REG 0000000000000000 +REG FFFFFFFF834EFF91 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFE03FE00000 +REG 0000000001FFFFFF +REG 0000000000000000 +REG 00000000007C0000 +REG 0000000000000000 +REG 0000001FFFFFFFFF +REG 0000000000000000 +REG 0000000001FFFFFF +REG FFFFFFFFFF83FFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000007D2D0070 +REG 00000000007C0000 +REG FFFFFFFFFFFFFFFF +REG 000000007FFFEA54 +REG 0000000000000000 +REG 000000007D2D006F +REG FFFFFFFF80000000 +REG 00000000007C0000 +REG 0000000000000000 +REG FFFFFFFFFF83FFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000051FE9111 diff --git a/tests/273.bin b/tests/273.bin new file mode 100644 index 0000000..201c7bf Binary files /dev/null and b/tests/273.bin differ diff --git a/tests/273.out b/tests/273.out new file mode 100644 index 0000000..17e765a --- /dev/null +++ b/tests/273.out @@ -0,0 +1,32 @@ +REG F9F8F7C5FB176B10 +REG BFFFFFFF37FFBE29 +REG FFFFFFFFFFE584D2 +REG FFFFFFFFFFE584D2 +REG 0000000000000000 +REG 0000000100000001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFD8000000 +REG 000000002FCD0000 +REG 0000000000000000 +REG BFFFFFFF35EFBE7D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001228 +REG 00000045386F267D +REG BFFFFFFFFFFFBE29 +REG 12FB800812FB8008 +REG 40000000120E4182 +REG 000000008C4D0000 +REG 0000003F30A2267D +REG 10000000000020EB +REG 000000008C4D0000 +REG F9F8F7FBFAFCFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000003F30A2267D +REG BFFFFFFFC23CBE7D +REG 0000003F606F267D +REG 0000003F30A2267D +REG 0000003E0000003D +REG 00000005D8000000 +REG 0000000080100870 diff --git a/tests/274.bin b/tests/274.bin new file mode 100644 index 0000000..8154c46 Binary files /dev/null and b/tests/274.bin differ diff --git a/tests/274.out b/tests/274.out new file mode 100644 index 0000000..ea0f735 --- /dev/null +++ b/tests/274.out @@ -0,0 +1,32 @@ +REG FFEFFFFFFFF00001 +REG FFFFF0FF400001FF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000201F000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000040000000 +REG FFFFFFFFFFFFFE00 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF00FFFFFDFF +REG 0000000040000000 +REG 3C01F0FF000001FF +REG FFFFFFFFFFFFFFEF +REG 0000000000000000 +REG FFFFFF00FFFFFE00 +REG 3C00F0FF00000000 +REG 0000000000000000 +REG 000000001F000020 +REG 0000000000000000 +REG FFFFFF00FFFFFE00 +REG 0000000000000001 +REG 0000000040000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000020 +REG 000000009E5FF11E diff --git a/tests/275.bin b/tests/275.bin new file mode 100644 index 0000000..875d418 Binary files /dev/null and b/tests/275.bin differ diff --git a/tests/275.out b/tests/275.out new file mode 100644 index 0000000..1bab07f --- /dev/null +++ b/tests/275.out @@ -0,0 +1,32 @@ +REG 0000000000000705 +REG 0000000000000001 +REG 0038000001FE50B3 +REG C8F000000000779C +REG 0000000000000000 +REG 00000000038CA001 +REG 00001EFFF82CFEFF +REG 0000000000000000 +REG 0000000000000765 +REG 0000000000000005 +REG FFFFFFFFFFFFFFFF +REG 0000000000000021 +REG 0000000000000040 +REG 0000000000000011 +REG 000000007194003A +REG FFFFFFFFFFFFFFFF +REG 0000000000000011 +REG 0000000071940039 +REG 0000000000000002 +REG 0000034700000347 +REG FFFFFFFFFFFFFFFF +REG 000000000000000F +REG 2300000000000000 +REG 0000000000000020 +REG 00000000D83C000F +REG 000000000000FE16 +REG 0000000000000001 +REG 0000000000000000 +REG 2300000000000000 +REG FFFFFFFFFFFFFFEC +REG 0000000000000000 +REG 0000000082411088 diff --git a/tests/276.bin b/tests/276.bin new file mode 100644 index 0000000..5eb65d7 Binary files /dev/null and b/tests/276.bin differ diff --git a/tests/276.out b/tests/276.out new file mode 100644 index 0000000..b71cf19 --- /dev/null +++ b/tests/276.out @@ -0,0 +1,32 @@ +REG 8005784000000053 +REG 0000000000000505 +REG 000001C9FFFC3A74 +REG 0000000004000000 +REG 000000000000FCF7 +REG 0000000000000000 +REG FFFFFFFFFFFC3A74 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF807FFFFF +REG 0000000000005448 +REG 0000000000000000 +REG 000000000000C73B +REG 000000004D3E0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000017 +REG FFFFFDE38E03FFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFC3A74 +REG 0000000000000729 +REG 0000000000000000 +REG 0000000000000000 +REG 000001CA000001CA +REG 0000000041F10000 diff --git a/tests/277.bin b/tests/277.bin new file mode 100644 index 0000000..0312f04 Binary files /dev/null and b/tests/277.bin differ diff --git a/tests/277.out b/tests/277.out new file mode 100644 index 0000000..66493c1 --- /dev/null +++ b/tests/277.out @@ -0,0 +1,32 @@ +REG 0000000062EA32E6 +REG 0000000000000000 +REG FFFFFFBE1FF7B6E8 +REG FFFFFFFFFE400000 +REG FFFFFFFFB77FFBF7 +REG 0000000000000000 +REG 000000000000001B +REG 0000000000000000 +REG 8000000000000000 +REG 0000000000005AFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG FFFFFFFFFE400000 +REG FFFFFFFFFE3FFFE0 +REG 0000000000000000 +REG 0000000000000031 +REG 0000000000000000 +REG FFFFFFFF9D15CD19 +REG 0000000000000020 +REG 0000000067A10000 +REG 0000000000000000 +REG 000000000C000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG E3FFFFFFF4000000 +REG 0000000000000000 +REG FFFFFFFFFFCF0000 +REG 0000000000000003 +REG 0000000000000004 +REG 0000000091000810 diff --git a/tests/278.bin b/tests/278.bin new file mode 100644 index 0000000..86c298b Binary files /dev/null and b/tests/278.bin differ diff --git a/tests/278.out b/tests/278.out new file mode 100644 index 0000000..0dc264d --- /dev/null +++ b/tests/278.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF8DC1 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFE0001F +REG 0000000000000000 +REG FFFFFFFF99880000 +REG 0000000000000000 +REG 0000000000000040 +REG 000000401FFFFFF8 +REG 00000000033FFFFC +REG 00000000003FFFFC +REG FFFFFFFFFFFFEEB3 +REG 0000000000000020 +REG FFFFFFFF9987C06F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000EC564000 +REG 0000000000000000 +REG FFFFFFFF99880001 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000410808C0 diff --git a/tests/279.bin b/tests/279.bin new file mode 100644 index 0000000..751308d Binary files /dev/null and b/tests/279.bin differ diff --git a/tests/279.out b/tests/279.out new file mode 100644 index 0000000..204e552 --- /dev/null +++ b/tests/279.out @@ -0,0 +1,32 @@ +REG 1D9B8990724D003B +REG 1D9B899000000006 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000002000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000766E264 +REG 0000000000000000 +REG 0000000002000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFBB0BAC2D9D1 +REG 000000000766F3BC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000766E264 +REG 000000000766E264 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 000000000766E265 +REG 000000000766E264 +REG 0000000038991D9C +REG FFFFFFFFFFFFFFFF +REG 0000000044088511 diff --git a/tests/28.bin b/tests/28.bin new file mode 100644 index 0000000..23c369a Binary files /dev/null and b/tests/28.bin differ diff --git a/tests/28.out b/tests/28.out new file mode 100644 index 0000000..7fa438e --- /dev/null +++ b/tests/28.out @@ -0,0 +1,32 @@ +REG 01A8940420AA8000 +REG 0227E8000227E800 +REG 0000000000000000 +REG 0000000000000000 +REG 0040000000000000 +REG 0000000008000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000AA54B4 +REG 0000000000000002 +REG 0000000020428003 +REG 0000000000000000 +REG 0000000000005621 +REG 00AA800000AA54B4 +REG FFFFFFFFFFFFFFDB +REG 0000000008000000 +REG 01AC940020AA8000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020428004 +REG 0000000044FD0000 +REG 0102140020000000 +REG 0000000010000000 +REG 0000000000000000 +REG 0000000008000000 +REG 255C24D482470000 +REG 0000000000470000 +REG 0000000000000000 +REG 0000000000200000 +REG 0000000008000000 +REG 0000000040850009 diff --git a/tests/280.bin b/tests/280.bin new file mode 100644 index 0000000..4be8f21 Binary files /dev/null and b/tests/280.bin differ diff --git a/tests/280.out b/tests/280.out new file mode 100644 index 0000000..508148b --- /dev/null +++ b/tests/280.out @@ -0,0 +1,32 @@ +REG 0000000000000017 +REG 0000000000005997 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000291F0011 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000C0C0000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000001ED6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000428D0000 +REG 0000000000000000 +REG FFFFFFFFF3F3FFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFBB520000000 +REG 0000000000000000 +REG FFFFFFFFFFFFDDA9 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFE000000000000 +REG 0000000000000000 +REG 0000000119800100 diff --git a/tests/281.bin b/tests/281.bin new file mode 100644 index 0000000..1efe268 Binary files /dev/null and b/tests/281.bin differ diff --git a/tests/281.out b/tests/281.out new file mode 100644 index 0000000..8ba57e5 --- /dev/null +++ b/tests/281.out @@ -0,0 +1,32 @@ +REG 4D5BFFFF4D5B8043 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0180000000003BBA +REG 0000000000000040 +REG 000000002CCAFFC0 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG EEE8060000000000 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFC0 +REG 3FFFFFFFFF000006 +REG 0000000000000000 +REG 0000000000000000 +REG 4D5BFFFF4D5B8006 +REG 0000000000000040 +REG 0000000000002ADF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0180000000000000 +REG 3FFFFFFFFF000006 +REG FFFFFFFFFFFFFFFF +REG 000000010050810D diff --git a/tests/282.bin b/tests/282.bin new file mode 100644 index 0000000..c537b86 Binary files /dev/null and b/tests/282.bin differ diff --git a/tests/282.out b/tests/282.out new file mode 100644 index 0000000..18e7768 --- /dev/null +++ b/tests/282.out @@ -0,0 +1,32 @@ +REG 0007FB1D9007FB1D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0007FB1D0007FB1D +REG 0000002000E2D000 +REG 0000000000000000 +REG 0001000000000000 +REG E7803F81FD9D0001 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000021B00008 +REG 0000000000000008 +REG 000000003BA1B743 +REG 000D16C0280D16BF +REG 0000000000000000 +REG 0000000000000000 +REG 000D16C0000D16BF +REG FFF804E2FFF804E3 +REG 0000000000000000 +REG 0000000000000008 +REG 0000000000000000 +REG 000000EF7563E90C +REG FFFFFFFFFFFFB743 +REG 0000000000000000 +REG 0000000080000000 +REG 0000000000000000 +REG 0000000040001000 diff --git a/tests/283.bin b/tests/283.bin new file mode 100644 index 0000000..c2220cd Binary files /dev/null and b/tests/283.bin differ diff --git a/tests/283.out b/tests/283.out new file mode 100644 index 0000000..5c7522a --- /dev/null +++ b/tests/283.out @@ -0,0 +1,32 @@ +REG 0000D7DB362715E8 +REG 0000000000000019 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000001607E00 +REG 0000282400000000 +REG 00000000B03F0001 +REG 0000002000000020 +REG 0000000000000000 +REG 000007E000200000 +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFDE8C0271 +REG FFFF0000FFFFFFFF +REG FFFFFFFFFFFFA101 +REG 00000000B00D0000 +REG 0000000000000012 +REG 0200000000000000 +REG 000000000000003F +REG 0000000000000001 +REG 0000000000000001 +REG 0000000000000001 +REG 0000000000000000 +REG 00002823FFFFB2B1 +REG 0001000000000000 +REG 00000000B03F0000 +REG BD0000000000000A +REG 0000000000000000 +REG 00000000000055E8 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF4FC0FFBF +REG 0000000048088051 diff --git a/tests/284.bin b/tests/284.bin new file mode 100644 index 0000000..bba074e Binary files /dev/null and b/tests/284.bin differ diff --git a/tests/284.out b/tests/284.out new file mode 100644 index 0000000..293e2ed --- /dev/null +++ b/tests/284.out @@ -0,0 +1,32 @@ +REG 5000428DCC47C000 +REG 0000000020048840 +REG 0000000000000000 +REG 0000000000000000 +REG 00000001E1640001 +REG 8FFFFC3213B80000 +REG 200041401FFFC623 +REG 700003CDFC477FFF +REG 0000000000000033 +REG 0708000000000000 +REG 700003CDEC480000 +REG 000000000000009E +REG FFFFFFFFFFFFFFFF +REG 8FFFFC3213B80000 +REG 700003CDEC47FFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFEC480 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000040008840 +REG 0000000000000000 +REG 000000001FFFC623 +REG B8000013B0000013 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000040008840 +REG 0000000100091080 diff --git a/tests/285.bin b/tests/285.bin new file mode 100644 index 0000000..50cc793 Binary files /dev/null and b/tests/285.bin differ diff --git a/tests/285.out b/tests/285.out new file mode 100644 index 0000000..a8c6406 --- /dev/null +++ b/tests/285.out @@ -0,0 +1,32 @@ +REG 0000000067BD897C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000007C +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003C +REG 0000000000007683 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000007C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000006000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000006000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 00000000409E89FE diff --git a/tests/286.bin b/tests/286.bin new file mode 100644 index 0000000..8ca78e6 Binary files /dev/null and b/tests/286.bin differ diff --git a/tests/286.out b/tests/286.out new file mode 100644 index 0000000..92a9ae2 --- /dev/null +++ b/tests/286.out @@ -0,0 +1,32 @@ +REG 0000C7C7EC039748 +REG 000000A7ED031387 +REG 0000000000000000 +REG 0000182800000000 +REG FFFFFFFFFFEC749F +REG 0000000024041383 +REG 00000000E7A2FFFF +REG FFFFFFFFD0000000 +REG 0000000000000000 +REG FF89C2FFFFECF7FF +REG 000000A4EC031385 +REG FFFF58000FFFFFFF +REG 000000000000001C +REG 0000000000000000 +REG 00763DA4EC101B85 +REG 0000000000000000 +REG 000000A4EC031385 +REG 0000C7630000C762 +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001C +REG 0000000000000000 +REG 0000000040000000 +REG FFFFFFFFFFECE8D0 +REG 0000000000000000 +REG FFFFFFFF185D0000 +REG 0000000000000000 +REG FFFFFF5812FCEC78 +REG 0000000088082707 diff --git a/tests/287.bin b/tests/287.bin new file mode 100644 index 0000000..278e31b Binary files /dev/null and b/tests/287.bin differ diff --git a/tests/287.out b/tests/287.out new file mode 100644 index 0000000..262e54c --- /dev/null +++ b/tests/287.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFE7 +REG 8ACC00008ACBFFFF +REG 0000000081780000 +REG 000000007F007415 +REG FFFFFFFFFFFFFFFF +REG 00000000F7AA0000 +REG 0000000081000000 +REG 0000000000000000 +REG 00000000B2AD0000 +REG 0000000081000000 +REG FFFFFFFFFFFFFEFD +REG 0000000017FFBBFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF81000000 +REG 0000000000000000 +REG 0000000000000102 +REG 0000217BC47C0000 +REG FFFFFFFF7EFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0003820000000000 +REG 0000205E0000205E +REG 0000000000000000 +REG FFFFFFFF0855FFFF +REG 0000000000000000 +REG 00000000000010BE +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000F000029C1 +REG 00000000000010C0 +REG 0000000000000608 +REG 0000000109FE8871 diff --git a/tests/288.bin b/tests/288.bin new file mode 100644 index 0000000..21d8564 Binary files /dev/null and b/tests/288.bin differ diff --git a/tests/288.out b/tests/288.out new file mode 100644 index 0000000..3e6ab9b --- /dev/null +++ b/tests/288.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 0000000020044824 +REG 0000000000000000 +REG 0000000000000000 +REG FFB0D87DE196572A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000004BA +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000005B46 +REG FFFFFFFFCFA00000 +REG FFFFFFFF1E69A8D5 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF1E69A8D5 +REG 0000000000000000 +REG EBED4A4000000000 +REG FFFFFFFFFFFFA8D5 +REG FFFFFFFFCFA00000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000004BA +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 00001FFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFE00001 +REG 0000000040089049 diff --git a/tests/289.bin b/tests/289.bin new file mode 100644 index 0000000..cf8ecd9 Binary files /dev/null and b/tests/289.bin differ diff --git a/tests/289.out b/tests/289.out new file mode 100644 index 0000000..e1d0ae4 --- /dev/null +++ b/tests/289.out @@ -0,0 +1,32 @@ +REG 10AD861000004385 +REG 0000000000000009 +REG FFFFFFFFFFFFEF52 +REG 0000000000000001 +REG FFFFFFFFFFE00000 +REG 0000000000002BCD +REG 000000000000000B +REG 000000004800B684 +REG 0000000000000000 +REG 000000001AA2B684 +REG 09103FFFFFFF8F0B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000B +REG 0000000000000000 +REG 000000000010F796 +REG 000000000000000A +REG 001FF00000200000 +REG 000000001AA2B684 +REG 0000000000000000 +REG 0000000000000000 +REG 01DD000001DEA4FC +REG FFFFFFFFFFFF1B10 +REG FFE00FFFFFDFFFFF +REG FFFFFFFF865FD433 +REG 0000000000000000 +REG 0000000000000025 +REG FFFFEB30FFFFEB30 +REG FFFFFFFA865FD433 +REG 000000000000000A +REG 0000000082016C88 diff --git a/tests/29.bin b/tests/29.bin new file mode 100644 index 0000000..8544615 Binary files /dev/null and b/tests/29.bin differ diff --git a/tests/29.out b/tests/29.out new file mode 100644 index 0000000..1fe3d45 --- /dev/null +++ b/tests/29.out @@ -0,0 +1,32 @@ +REG FFFFFFFFDFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000008AEF +REG 0000000000008247 +REG 0000000000008246 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFEE960000 +REG FFFFFFFFFFFFFFFF +REG FFFFFE000000001F +REG FFFFFFFFFFFFFFDF +REG 0002090000000900 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF2B71 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFF7E0 +REG 0000000000000000 +REG 000000001169FFFF +REG FFFFFFFFFFFFF7E0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000020000000 +REG 0000000000000020 +REG 0000000000000020 +REG 0000000000000040 +REG FFFFFFFFFFFFFFBF +REG 0000000000008246 +REG FFFFFFFFEE960000 +REG 0000000040009000 diff --git a/tests/290.bin b/tests/290.bin new file mode 100644 index 0000000..dd82f1b Binary files /dev/null and b/tests/290.bin differ diff --git a/tests/290.out b/tests/290.out new file mode 100644 index 0000000..290d078 --- /dev/null +++ b/tests/290.out @@ -0,0 +1,32 @@ +REG 0004000000003FC5 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0004000000003FFF +REG 0000000000000040 +REG FFFFFFFFFFFFC800 +REG FFFFFFFFFFFF9F2F +REG FFFFFFFEFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 7FFFFFFFFFFFC800 +REG 0000000000000000 +REG FFFC000000003FFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFF00 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FF000000000FFFFF +REG 000001FFFFFFFFFE +REG 0000000000000000 +REG 0000000000006E2F +REG 0000000000000000 +REG F7F1FFFFF7E000FF +REG 0000001B00000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFE +REG FFFF9596FFFF9596 +REG 0000000000000000 +REG FFFFFFFEFFFFFFFF +REG 0000000041008101 diff --git a/tests/291.bin b/tests/291.bin new file mode 100644 index 0000000..84c362f Binary files /dev/null and b/tests/291.bin differ diff --git a/tests/291.out b/tests/291.out new file mode 100644 index 0000000..f80f37a --- /dev/null +++ b/tests/291.out @@ -0,0 +1,32 @@ +REG 00000D20F1B70BFA +REG 0000000000000040 +REG 00000000FF39D046 +REG 00000000FF3A0000 +REG 0000000000000008 +REG 00000000000053C4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001A +REG 0000002002B50BE2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040000840 +REG 0000000000000000 +REG 0000000000002ABE +REG 00000D0000000000 +REG 00000000BB420000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000BB420000 +REG FFFFFFDFFD4AF405 +REG 0000002002B5001A +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001F +REG 0000000000000000 +REG 0000000000000008 +REG 00000001BA7BD046 +REG 000000000000FFF9 +REG 0000000080001080 diff --git a/tests/292.bin b/tests/292.bin new file mode 100644 index 0000000..1fa0670 Binary files /dev/null and b/tests/292.bin differ diff --git a/tests/292.out b/tests/292.out new file mode 100644 index 0000000..bbf4548 --- /dev/null +++ b/tests/292.out @@ -0,0 +1,32 @@ +REG 23053DDD256AA73B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000020 +REG 6000BD804000BD80 +REG 9FFF427FBFFF427F +REG 0000000000000000 +REG 00000000E8FFF91F +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFD16C2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFF0041 +REG FFFFFFFFFFFFFFFE +REG 0000000000000001 +REG 00000000FFBF9DC8 +REG 0000000000000001 +REG 00000000FFBF9E6F +REG 0000000000000000 +REG 00000000FFBF9DC8 +REG 0000000000000000 +REG 0000000112716A96 +REG 0000000000000000 +REG FFFFFFFFFFFD4DA7 +REG 00000000E8FF6A96 +REG 0000000000000020 +REG 0000000048451044 diff --git a/tests/293.bin b/tests/293.bin new file mode 100644 index 0000000..2b2f003 Binary files /dev/null and b/tests/293.bin differ diff --git a/tests/293.out b/tests/293.out new file mode 100644 index 0000000..ea7a243 --- /dev/null +++ b/tests/293.out @@ -0,0 +1,32 @@ +REG FFFFFFFF9412786B +REG 000000000000001A +REG 0000000020800428 +REG 0000000000000139 +REG 0000000000000000 +REG 000000000002EB1C +REG 0000000000000002 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFE5E +REG FFFFFFFFFFFFFFC1 +REG 000077A5CB609CB0 +REG FFFFFFFFFFFFFFC1 +REG 0000000000000028 +REG FFFFFFFF27E7FE5E +REG 0000000037430000 +REG FFFFFFFFFFFFFFF2 +REG 0000000000020000 +REG 000000000BFFFFFF +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 00000000FFCA7200 +REG 000000000C002B04 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFD8000 +REG 0000000000000010 +REG 000000000BFFFFFF +REG 0000000000000000 +REG 0000000101010851 diff --git a/tests/294.bin b/tests/294.bin new file mode 100644 index 0000000..356c223 Binary files /dev/null and b/tests/294.bin differ diff --git a/tests/294.out b/tests/294.out new file mode 100644 index 0000000..2e2b35b --- /dev/null +++ b/tests/294.out @@ -0,0 +1,32 @@ +REG 0000000000000010 +REG 0000000000000000 +REG FFFFFFFFFFFFC000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 000000005F1B0000 +REG 000000002000325A +REG 0000000000000001 +REG 9E1168909E11F8C4 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF92B9 +REG 0000000000000002 +REG 0000000000000000 +REG FFFF864BC0000001 +REG 0000000000000001 +REG FFFFFFFFC928FFFF +REG FFFFFFFFFFFFFFFF +REG 000FFFFFFFFFFFFE +REG 0000000000000011 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG FFFFFFFFFFFFC325 +REG 9E1168909E116890 +REG 0000000080080000 diff --git a/tests/295.bin b/tests/295.bin new file mode 100644 index 0000000..253ae22 Binary files /dev/null and b/tests/295.bin differ diff --git a/tests/295.out b/tests/295.out new file mode 100644 index 0000000..e59396a --- /dev/null +++ b/tests/295.out @@ -0,0 +1,32 @@ +REG FFFC3FFDFFFC2E16 +REG 0000000000000655 +REG 0000000000000000 +REG 00000000000036E2 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000047DD09AC +REG 0000000000001000 +REG 0000000000000000 +REG 00000000000009AB +REG 00000000000009AB +REG 0000000000000000 +REG FFFFFFFFFFFFF654 +REG 0000000000040000 +REG FFFFFFDFFFC3FFDF +REG 00000000000009AB +REG 0000000047DD0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000009EE1 +REG 0000000000000040 +REG 0000000000000000 +REG 000000000000FF8F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000004F090889 diff --git a/tests/296.bin b/tests/296.bin new file mode 100644 index 0000000..0e3be54 Binary files /dev/null and b/tests/296.bin differ diff --git a/tests/296.out b/tests/296.out new file mode 100644 index 0000000..df23cf0 --- /dev/null +++ b/tests/296.out @@ -0,0 +1,32 @@ +REG FFE003FFFFF82A16 +REG 0000000000000000 +REG 000000002E478F07 +REG 00000000121D0020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000031 +REG 0000000000000000 +REG 0000000000000000 +REG 000003FFFFF870F9 +REG FFFFFFFFFFFFFFCF +REG 000078F07F00FFC0 +REG 0000000000000000 +REG 0000000000000000 +REG C000000000000000 +REG FFFFFFFFFAEB3620 +REG 0000000000000000 +REG FFFFFC012E478F07 +REG 0000000000000000 +REG FFFFFC0000078F07 +REG 0000000000000000 +REG 000003FFFFF870F9 +REG FFFFFFFFFFFFFFFF +REG 00000000121D0020 +REG 0000000000000034 +REG 0000000005000401 +REG 0000000000000000 +REG 000000002F00F040 +REG FFFFFFFFFFFFFFFF +REG 000000004CC80000 +REG 000000004801E110 diff --git a/tests/297.bin b/tests/297.bin new file mode 100644 index 0000000..24b1765 Binary files /dev/null and b/tests/297.bin differ diff --git a/tests/297.out b/tests/297.out new file mode 100644 index 0000000..b6c3192 --- /dev/null +++ b/tests/297.out @@ -0,0 +1,32 @@ +REG FFFFF80EFFFFF803 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFF80EFFFFF80E +REG FFFFFFFFB77D1C08 +REG 0000000000000000 +REG 007FFFE0007FFFE0 +REG 0000000002802080 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000063E00000 +REG 0000000000000000 +REG 0000000028020808 +REG FFFFF80EFFFFF80E +REG 0000000000000B1F +REG 0000000000000041 +REG 0000000000000014 +REG FFFE000000000000 +REG FFFFFFFFB3FDF7FF +REG 008007D1008007D1 +REG 0000000000000000 +REG 000000007FFFFFFF +REG 000000005A0E7B88 +REG 0000000000000000 +REG FFFE00007FFFFFFF +REG FFFFFFFFFFFFF4EC +REG 0000000000000001 +REG FFFFFFFFFFFF1B8F +REG 0000000000000020 +REG FFFFFFFFFFFFFFF4 +REG FFFFFFFFFFFFFFFE +REG 0000000050041010 diff --git a/tests/298.bin b/tests/298.bin new file mode 100644 index 0000000..df97a9b Binary files /dev/null and b/tests/298.bin differ diff --git a/tests/298.out b/tests/298.out new file mode 100644 index 0000000..ba95459 --- /dev/null +++ b/tests/298.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000200000 +REG 0000000000000000 +REG 000014D0DBFD14C0 +REG FFFFEB2F260EEB3F +REG 000014D0D9F0DEF7 +REG 000C86A0000C0000 +REG 0000009CE5CBE52C +REG 0000000000000000 +REG 000015FB000015C3 +REG 00001FFB00001FCB +REG 000015FB000015C3 +REG 00000000000054EB +REG FFFFEA04FFFFEA3C +REG 000C86A0000C23B5 +REG FFFFEA04FFFFF938 +REG FFFFEB2F260EEB3F +REG 0000000000000000 +REG 0000000000000000 +REG 000015FB000015C3 +REG 000014D0D9F10731 +REG 0000000000000015 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000041 +REG FFFFFFFFFFFFFF10 +REG 0000000080000000 +REG 0000000000000000 +REG 000014D0000014C0 +REG 0000000000000000 +REG FFFFEB2F260F5813 +REG 000000008008A881 diff --git a/tests/299.bin b/tests/299.bin new file mode 100644 index 0000000..5767638 Binary files /dev/null and b/tests/299.bin differ diff --git a/tests/299.out b/tests/299.out new file mode 100644 index 0000000..919b52e --- /dev/null +++ b/tests/299.out @@ -0,0 +1,32 @@ +REG C2B56E92B70B53B7 +REG 0000000000000000 +REG FD4A916DF0000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000001300 +REG 0000000004000000 +REG FFFFFFFFFFFFFB00 +REG 0000000000015AB7 +REG 5EC8B35C01C00000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF32855318 +REG 0000000000000000 +REG FFFFFFFF32855AB7 +REG 0000000000000001 +REG 000000000000007F +REG C58B8894C5880080 +REG FFFFFFFFFFFFFB00 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000180002B878000 +REG FFFFFFFFFFFFDA10 +REG FFFFFFFFFFFE2C40 +REG FFFFFFFFFFFFFFF9 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFC0000 +REG 0000000088110891 diff --git a/tests/3.bin b/tests/3.bin new file mode 100644 index 0000000..8d1dc0f Binary files /dev/null and b/tests/3.bin differ diff --git a/tests/3.out b/tests/3.out new file mode 100644 index 0000000..a5d61bd --- /dev/null +++ b/tests/3.out @@ -0,0 +1,32 @@ +REG 0000000025A6FFDE +REG FFFFFFFFFFFFFFEB +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 001B20C00CC400C0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFF14E +REG 0000000000000EA0 +REG 0000000000000000 +REG 0000000000005CFE +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000001FA06C83 +REG 000000000000017B +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFFFFFFFFA1 +REG 0000000000000000 +REG C02000000000005E +REG 0000000000000005 +REG FFFFFFFF3F19FF83 +REG 0000000000000004 +REG FFFFFFFFFFFFFA4E +REG 000000000000425B +REG 001B20C0001B00C0 +REG 0000001AC00037CB +REG 0000000051F09E11 diff --git a/tests/30.bin b/tests/30.bin new file mode 100644 index 0000000..c9bd9c9 Binary files /dev/null and b/tests/30.bin differ diff --git a/tests/30.out b/tests/30.out new file mode 100644 index 0000000..652249d --- /dev/null +++ b/tests/30.out @@ -0,0 +1,32 @@ +REG 643FC059643FC059 +REG 0000000000000040 +REG 0000000125EB0001 +REG FFFFFFFFFFFFFFFF +REG 0000000007FFA375 +REG 0000000100000001 +REG 0000000100000001 +REG 0000000000000000 +REG 643FC059643FC059 +REG 00000000029C0002 +REG 0000000000000000 +REG 0000000080000000 +REG 0000000000000005 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFAF9FFFF +REG FFFFFFFF7BBB3FD7 +REG 0000000072920062 +REG 0000000072920065 +REG FFFFFFFFDFFF9C25 +REG 00000000ADE40062 +REG 0000000000000000 +REG 00000000080013E4 +REG 00000000029C0002 +REG 643FC059643FC059 +REG 0000000000000000 +REG 0000000100003F3A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000002F9FFFF +REG 0000000008000000 +REG 0000000080880009 diff --git a/tests/300.bin b/tests/300.bin new file mode 100644 index 0000000..b3f2773 Binary files /dev/null and b/tests/300.bin differ diff --git a/tests/300.out b/tests/300.out new file mode 100644 index 0000000..035cdbf --- /dev/null +++ b/tests/300.out @@ -0,0 +1,32 @@ +REG 0000000000001F8F +REG 0000000040000001 +REG FFFFFFFFBFFFFFFE +REG FFFFFFFFC0000000 +REG 000000000000001F +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000040000001 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000040204828 +REG 0000000000000020 +REG 0000000040000000 +REG 0000000000000000 +REG 0000000000001F8E +REG 0000000040000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000100409051 diff --git a/tests/301.bin b/tests/301.bin new file mode 100644 index 0000000..37bda27 Binary files /dev/null and b/tests/301.bin differ diff --git a/tests/301.out b/tests/301.out new file mode 100644 index 0000000..e2c1a79 --- /dev/null +++ b/tests/301.out @@ -0,0 +1,32 @@ +REG FFFFFFFFA98D4424 +REG 0000000020004444 +REG 0000000000000040 +REG 3401022634010006 +REG 0000000100000001 +REG 0000000000000040 +REG 0000000000000006 +REG FFFFFFFFDFFFBBBC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000010000000100 +REG 000000000000330A +REG 0000000000680200 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020004444 +REG 0000001400000014 +REG 0000000000000000 +REG 00000000898D0040 +REG 0000000000000000 +REG FFFFF7DAFFFFF7DA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000020004444 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000048100000 diff --git a/tests/302.bin b/tests/302.bin new file mode 100644 index 0000000..679731b Binary files /dev/null and b/tests/302.bin differ diff --git a/tests/302.out b/tests/302.out new file mode 100644 index 0000000..e19104b --- /dev/null +++ b/tests/302.out @@ -0,0 +1,32 @@ +REG 000000000040647D +REG FFFFFFFFFFFFFFFF +REG 000000000000643D +REG 0000000000000000 +REG 0000000292940000 +REG 0000185FC000A4A5 +REG 0000000000040000 +REG 0000004000000000 +REG 0000000000040000 +REG 000000400000643D +REG 000000000000002E +REG 0000000000000040 +REG 0000000000000001 +REG 0000000800000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000040000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000643E +REG FFFFFFFFFFFF5B5B +REG 98000000001FFF51 +REG 000000000000B1CC +REG 0000000000000020 +REG 0000000000000019 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000039 +REG FFFFFFFFFFFFFFFF +REG 0000000050890110 diff --git a/tests/303.bin b/tests/303.bin new file mode 100644 index 0000000..9430358 Binary files /dev/null and b/tests/303.bin differ diff --git a/tests/303.out b/tests/303.out new file mode 100644 index 0000000..1be9980 --- /dev/null +++ b/tests/303.out @@ -0,0 +1,32 @@ +REG 003DA96EFA1E7636 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFDFB7B800 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 4000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000001058 +REG FFFFFFFFFFFFFFFF +REG 3FFFFFFFFA1DACA0 +REG FFFFFFFFFA1DACA0 +REG 00000000000074B5 +REG 4000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000010 +REG 3FFFFFFFFFFFDBE9 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000689F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020484800 +REG FFFFFFFFFFFFFF4A +REG FFFC000000000000 +REG FFFFFFFFFFFBFFFF +REG FFFFFFFFFFFFFF4A +REG 0000000080909000 diff --git a/tests/304.bin b/tests/304.bin new file mode 100644 index 0000000..5546cd6 Binary files /dev/null and b/tests/304.bin differ diff --git a/tests/304.out b/tests/304.out new file mode 100644 index 0000000..be11971 --- /dev/null +++ b/tests/304.out @@ -0,0 +1,32 @@ +REG FFFFFE3EFC0C9C02 +REG 0000000000000000 +REG 000000007F7D0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000003F3640D +REG 0000000003F3A806 +REG 00080000404F8000 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0007E00000000000 +REG 0000000000000010 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000001FFFFFFFFFF +REG FFFFFFC103F363FD +REG FFFFFFFFFFFFFFC4 +REG 0000000000000040 +REG 000000000000003B +REG FFFFFFFF00000000 +REG 000000000000003B +REG FFFFFE0000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000080000000 +REG 0000003EFC0C9C32 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000100885080 diff --git a/tests/305.bin b/tests/305.bin new file mode 100644 index 0000000..f05aaab Binary files /dev/null and b/tests/305.bin differ diff --git a/tests/305.out b/tests/305.out new file mode 100644 index 0000000..ecefbe0 --- /dev/null +++ b/tests/305.out @@ -0,0 +1,32 @@ +REG 7925FBDEB67A5DCE +REG 0000000000000000 +REG 0000000020000000 +REG 000000000000003E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000800000 +REG 0000000000020001 +REG 0000000000000001 +REG FFFFFFFFFB338101 +REG FFFFFFFFFFFF7FFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000002 +REG 0000000000000000 +REG 000000008A580001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 00000000007FFF00 +REG 0000000000005232 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000020000 +REG FFFC6E0CDF9C7AF8 +REG 0000000000000000 +REG 0000000000000002 +REG 000000000018F5F0 +REG 0000000000010000 +REG 0000000000000000 +REG 0000000000000020 +REG 000000009F20FFF0 diff --git a/tests/306.bin b/tests/306.bin new file mode 100644 index 0000000..258fac9 Binary files /dev/null and b/tests/306.bin differ diff --git a/tests/306.out b/tests/306.out new file mode 100644 index 0000000..b29b605 --- /dev/null +++ b/tests/306.out @@ -0,0 +1,32 @@ +REG 00004B6B03804B2B +REG FFFFFFFFFFFFFFFF +REG 0000000000007A64 +REG 01FFFFFFFFFFF000 +REG 0000000000000000 +REG 0808080803040305 +REG FFFFFFFFFFFFFFE1 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF0 +REG FFFFFFFFFFFFD395 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFF7FFFFFFFFFF +REG 0000000000000004 +REG 0000000000000000 +REG 00FFFFFFFC000000 +REG FFFFFFFFC03FFFFF +REG 0000000000000000 +REG 0000000020008840 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 000007FFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFE0B2C2BC +REG 000000000007F000 +REG FFFFFFFFFFFFFFE1 +REG FFFFFFFFFFFFBE87 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000040011081 diff --git a/tests/307.bin b/tests/307.bin new file mode 100644 index 0000000..bc992f7 Binary files /dev/null and b/tests/307.bin differ diff --git a/tests/307.out b/tests/307.out new file mode 100644 index 0000000..4bc6a1e --- /dev/null +++ b/tests/307.out @@ -0,0 +1,32 @@ +REG 000000004FFFFB94 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFF03FFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000F00000 +REG 0000001000000000 +REG 0000000000000040 +REG 00000FFFFFFF8000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000033EC0040 +REG 0000000000000040 +REG FFFFF80000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFF81F +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000057A5 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000FF0 +REG 000000011FFFE8C8 diff --git a/tests/308.bin b/tests/308.bin new file mode 100644 index 0000000..ed23ed5 Binary files /dev/null and b/tests/308.bin differ diff --git a/tests/308.out b/tests/308.out new file mode 100644 index 0000000..d9e67db --- /dev/null +++ b/tests/308.out @@ -0,0 +1,32 @@ +REG FF1EFFFFFFFFFFFB +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 89F20F8B89F20F90 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000FFFE5E77 +REG FFFFFFFFFFFE5E77 +REG 0000000000004BD5 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000020000000 +REG FFFFFFFFFFFFF576 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FF1EFFFFFFFFFFFB +REG 0000000000000000 +REG 0000000000000028 +REG 0000000000000020 +REG 00000000DF074760 +REG FFFFFFFF000000FF +REG 0000000000000000 +REG 0000000000000000 +REG 000000000F000000 +REG 0000000052891FA0 diff --git a/tests/309.bin b/tests/309.bin new file mode 100644 index 0000000..fdb8854 Binary files /dev/null and b/tests/309.bin differ diff --git a/tests/309.out b/tests/309.out new file mode 100644 index 0000000..50c2181 --- /dev/null +++ b/tests/309.out @@ -0,0 +1,32 @@ +REG 00046E6000046E00 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000040 +REG 0000000000000001 +REG 000000003E3E002F +REG 00000000FFFFFD80 +REG 0000000000000000 +REG 00046E5F00046E5F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFD80 +REG 0000000000000040 +REG 0000000000000000 +REG 00046E6000046E5F +REG 000000000000FF80 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000006E5F +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 00046E6000046E5F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 00046E6000046E5F +REG 0000000000006C20 +REG 00046E6000046E5F +REG 000000009E81FB08 diff --git a/tests/31.bin b/tests/31.bin new file mode 100644 index 0000000..fd6e6eb Binary files /dev/null and b/tests/31.bin differ diff --git a/tests/31.out b/tests/31.out new file mode 100644 index 0000000..03fb117 --- /dev/null +++ b/tests/31.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFF8BA80 +REG 0000000000000000 +REG 00000000C95D0000 +REG 0000000000000000 +REG 1060000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000001000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 000000000DFFFFF8 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000C95D5E6D +REG 000000000000A5D9 +REG 00000000000096FC +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000006E680 +REG FFFFB9A7FFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000050010000 diff --git a/tests/310.bin b/tests/310.bin new file mode 100644 index 0000000..de00a29 Binary files /dev/null and b/tests/310.bin differ diff --git a/tests/310.out b/tests/310.out new file mode 100644 index 0000000..372253a --- /dev/null +++ b/tests/310.out @@ -0,0 +1,32 @@ +REG F800000007000E7F +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF58 +REG 0000000000010000 +REG 0000000000002F0D +REG 0000000000000040 +REG 000000000000FFFF +REG 00000000CC280000 +REG 0000000000000005 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF58 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFF8C +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFB7 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFF58 +REG FFFFFFFFFFFFFFFD +REG 0000000000000008 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000E9DAFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040105100 diff --git a/tests/311.bin b/tests/311.bin new file mode 100644 index 0000000..bfe258d Binary files /dev/null and b/tests/311.bin differ diff --git a/tests/311.out b/tests/311.out new file mode 100644 index 0000000..dba1bd8 --- /dev/null +++ b/tests/311.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 67FFFFFFFFFFFFBD +REG 000000000040FFE0 +REG 6800000007DFFFBC +REG FFFFFFF00000883B +REG 0000000300000017 +REG 000000000000FB09 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 01107600003FE000 +REG 0000000000007D84 +REG 0000000000000010 +REG 0000000FFFFF77C4 +REG FFFFFFFFFFFF827B +REG 0000000000001EF1 +REG 0000000000000000 +REG 0000380000000000 +REG 000000000000000C +REG 0000000000000001 +REG 9800000000000043 +REG 0000000000000000 +REG 000000000000EB4F +REG 0000000000000000 +REG FFFFFFF000005491 +REG FFFFFFF000008839 +REG FFFFFFF000007FFF +REG 0000000000002180 +REG 980000000000003F +REG FFFFFFFFFFFFFFFE +REG 0000000081FE81FE diff --git a/tests/312.bin b/tests/312.bin new file mode 100644 index 0000000..7d27d0d Binary files /dev/null and b/tests/312.bin differ diff --git a/tests/312.out b/tests/312.out new file mode 100644 index 0000000..01cfc6d --- /dev/null +++ b/tests/312.out @@ -0,0 +1,32 @@ +REG F1CE65F847387536 +REG 0E319A07FFFFFFFF +REG FFFFFFFFDFFFFFDE +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFF000000FF +REG 7671027EE9806E04 +REG 0000010007FFFD00 +REG 0000000000000000 +REG FFFFFFFFDFFFFFDD +REG 0000000000000000 +REG 0000000000000000 +REG 0000076000000060 +REG FFFFFFFFFFFFF17F +REG 0E319A07B8C7FFFF +REG 70274C0370274C23 +REG F7FFF0B77FEFCC00 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFF131DF9F +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000001111F001E diff --git a/tests/313.bin b/tests/313.bin new file mode 100644 index 0000000..16f5c14 Binary files /dev/null and b/tests/313.bin differ diff --git a/tests/313.out b/tests/313.out new file mode 100644 index 0000000..ce5d0e7 --- /dev/null +++ b/tests/313.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFEFAEFB7 +REG 0000000003BE9C3E +REG 020A2000020A2000 +REG FFFFFFFFFFFFFFF8 +REG 0000000001051000 +REG 0000000000000000 +REG 400000FFFFAA5E00 +REG 0000000000000000 +REG 0000000000051000 +REG 000A2000020A3BB9 +REG FFFFFFFFFFFFFFFF +REG 0000000001051008 +REG 01400000FFFFAA5E +REG 0000000000000013 +REG FEBFFFFEFFFF9D7E +REG 0002146100021461 +REG 000000000105177D +REG 0000000000000000 +REG 020A2000020A0000 +REG FFFFFFFFFFFFFFE1 +REG 000000000000001E +REG 0000000000000008 +REG 0000000020000000 +REG 0000000000000000 +REG 000A2000020A2000 +REG FFFFFFFFFFFFFFF3 +REG FFFFFFFFFEFB1D70 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFE0 +REG FFFFFFFFFFFFF882 +REG 0000000080800104 diff --git a/tests/314.bin b/tests/314.bin new file mode 100644 index 0000000..f89e2dc Binary files /dev/null and b/tests/314.bin differ diff --git a/tests/314.out b/tests/314.out new file mode 100644 index 0000000..7ba4874 --- /dev/null +++ b/tests/314.out @@ -0,0 +1,32 @@ +REG FFFFFFFF0000FEBF +REG 0000000000000000 +REG FFFFFFFFFFFFE001 +REG FFFFFFFFFFFFE000 +REG 0000000000000001 +REG 0000000000000018 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000008000BB2 +REG 0000000000001FFF +REG FFFFF301747935D0 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG FFFFFFFFFFFFE001 +REG 0000000010F5FFFF +REG 0000000000000000 +REG 00000000200F8818 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000000035D0 +REG 0000000000000080 +REG FFFFFFFFFFFFE000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000017 +REG 0000000000000211 +REG 0000000000000001 +REG 000000002EE00001 +REG 0000000000000001 +REG 0000000050051031 diff --git a/tests/315.bin b/tests/315.bin new file mode 100644 index 0000000..c4c3641 Binary files /dev/null and b/tests/315.bin differ diff --git a/tests/315.out b/tests/315.out new file mode 100644 index 0000000..a45f155 --- /dev/null +++ b/tests/315.out @@ -0,0 +1,32 @@ +REG 23E0000082330017 +REG 0000000024404840 +REG FD989E8523E95B80 +REG 0000000080000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000002 +REG 00000000000000FF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000009 +REG 0000000000000000 +REG FD989E0000000000 +REG FFFFFFFFFFFFFFFF +REG 00FFFFFF0000FF00 +REG FFFFFFFE3D43AF66 +REG 0000000000004BA4 +REG 00000000FFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FF00000000000000 +REG 336AAAAAAAA996E2 +REG 0000000000000000 +REG 0000000000000009 +REG 000000000000001F +REG 0000000000000000 +REG FFFFFFFFFFFFFFF6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080809101 diff --git a/tests/316.bin b/tests/316.bin new file mode 100644 index 0000000..063fcb0 Binary files /dev/null and b/tests/316.bin differ diff --git a/tests/316.out b/tests/316.out new file mode 100644 index 0000000..e85b3c9 --- /dev/null +++ b/tests/316.out @@ -0,0 +1,32 @@ +REG 58F7F788A7F7F980 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000007DBE +REG 000004C0000000C0 +REG BFFFFFFFFFFF4B10 +REG 0000000000098000 +REG 000004C00EF600C0 +REG 0000000000000000 +REG FFC0000039A80000 +REG 0000000000000011 +REG 00000000000003FF +REG 0000000000000000 +REG 00000000003600C0 +REG 0808080808080808 +REG 003FFFFFFFFFA045 +REG 0000000000000000 +REG 0000000000007476 +REG 0000000000800001 +REG 7FFFFFFC7FFE007C +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFC0000039A7FFFF +REG 00000000000000C0 +REG FFBFFB402AB1FF40 +REG FFFFFFFFFFFFA045 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBE +REG 0000000000000000 +REG 0000000081E8E911 diff --git a/tests/317.bin b/tests/317.bin new file mode 100644 index 0000000..7f4cd62 Binary files /dev/null and b/tests/317.bin differ diff --git a/tests/317.out b/tests/317.out new file mode 100644 index 0000000..f19c34e --- /dev/null +++ b/tests/317.out @@ -0,0 +1,32 @@ +REG 5C7800FDB1BA0066 +REG FFFFFFFFFFFF8104 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000024190000 +REG 0000000000000003 +REG 0000000000000000 +REG 9FC0000000000000 +REG 2F4A4800000001E0 +REG E00000017CB7548D +REG 0000000000000001 +REG 000000003C05E949 +REG 0000000000000000 +REG 0000000000000004 +REG 00000000000001E0 +REG 20000800000000E4 +REG D0B5B7FFFFFFFE1F +REG 0000000000000000 +REG FFFFFE0000000000 +REG 0000000000000C01 +REG 0000000000000002 +REG 0000000000000004 +REG E00000017CB70001 +REG 0000000000000000 +REG 000000000000003D +REG 0000000000000000 +REG 000000000F000000 +REG FFFFFFFFFFFFF94B +REG DFFFF7FFFFFFFF1F +REG 0000000000000001 +REG 0000000000000000 +REG 000000011FFE1FE8 diff --git a/tests/318.bin b/tests/318.bin new file mode 100644 index 0000000..10e71c2 Binary files /dev/null and b/tests/318.bin differ diff --git a/tests/318.out b/tests/318.out new file mode 100644 index 0000000..337fa46 --- /dev/null +++ b/tests/318.out @@ -0,0 +1,32 @@ +REG FFFDFD8F8C1BFDCF +REG FFFFFFFF65C10791 +REG FFFFFFFFFFFFFFFF +REG 00EDDE8C00EDDE8C +REG 0000000016E9255F +REG FFFFFFFFFFFFEEE6 +REG FFFFFFFFAE19FF64 +REG 0000000000000000 +REG 0000000081E6080F +REG 0000000051E6009C +REG FFFFFFFFFFFFFFFE +REG FFFFF8A946196650 +REG FFFFFFFFAE19FF64 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000065C10791 +REG FFFFF8A946196650 +REG AC811967AC811968 +REG 00000000041E4400 +REG FFFFFFFFFFFFFA6A +REG 0000075639C299A0 +REG 0000000000001120 +REG 0000000065C107B1 +REG FFFFFA87FFFFFA87 +REG FFFFFFFFFFFFFA6A +REG 000000000000003D +REG 00000000BE7C0000 +REG AC811967AC811968 +REG 0000000000001FFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000103CC101F diff --git a/tests/319.bin b/tests/319.bin new file mode 100644 index 0000000..d742853 Binary files /dev/null and b/tests/319.bin differ diff --git a/tests/319.out b/tests/319.out new file mode 100644 index 0000000..460dd17 --- /dev/null +++ b/tests/319.out @@ -0,0 +1,32 @@ +REG 17FFCF36DFE3A00D +REG 000030C9781453F2 +REG 000000000FD7581A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000058079B1E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000240400F7 +REG 0000000000000000 +REG 000000000000000D +REG FFFFFFFFFFFFFE80 +REG E800000000000001 +REG 0000000058080803 +REG 000030C9781453F2 +REG 0000003F0000003F +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000030C9201C5BF1 +REG 0000000058080803 +REG 17FF9E6D0FD7581A +REG 0000000000000040 +REG 0000000000000000 +REG E800000000000001 +REG 0000000000000000 +REG E800000000000000 +REG 0000003F0000003F +REG 0000000000000000 +REG FFFFFFC158079ADF +REG 00000000480801EE diff --git a/tests/32.bin b/tests/32.bin new file mode 100644 index 0000000..b4703ca Binary files /dev/null and b/tests/32.bin differ diff --git a/tests/32.out b/tests/32.out new file mode 100644 index 0000000..a740e62 --- /dev/null +++ b/tests/32.out @@ -0,0 +1,32 @@ +REG FFFFFFFE85C4FED3 +REG 0000000000000000 +REG 0000000000000001 +REG 000000007A3B0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000004050000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFEF +REG 0000000000000000 +REG 0000000000000030 +REG 00000000005BABE0 +REG 0000000000000000 +REG 0000000000000838 +REG 0000000010AAD55A +REG FFFFFFFFFFFF0443 +REG 0000000000000000 +REG 000000000000FBBD +REG 0000002000000018 +REG 0000000000000000 +REG 0000000000000030 +REG 0000000000000000 +REG FFFFFFFFFFFFA325 +REG 0000000100000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 000000000000FBBE +REG FFFFF03FFFFFF000 +REG 0000000100104010 diff --git a/tests/320.bin b/tests/320.bin new file mode 100644 index 0000000..963e807 Binary files /dev/null and b/tests/320.bin differ diff --git a/tests/320.out b/tests/320.out new file mode 100644 index 0000000..4fec9ab --- /dev/null +++ b/tests/320.out @@ -0,0 +1,32 @@ +REG C3A54D50C3A00D51 +REG 0000000000000000 +REG 0000000000000040 +REG 00000000000F0000 +REG DC99E92E9535430E +REG 0000000083AF0001 +REG 00000000000000E2 +REG 0000000043B10040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000100000000 +REG 0000000000000000 +REG 8000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG DC99E92E9535438E +REG 0000000043B10040 +REG 6E0A00012E0A61DE +REG 000000002E0A61DE +REG 0000000500000000 +REG 0000000000000000 +REG 000000008AE00000 +REG 0000000000000000 +REG 91F5FFFED1F59E22 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000001 +REG 000000010EF37050 +REG 000000009FFE9D1F diff --git a/tests/321.bin b/tests/321.bin new file mode 100644 index 0000000..43f96c4 Binary files /dev/null and b/tests/321.bin differ diff --git a/tests/321.out b/tests/321.out new file mode 100644 index 0000000..68b7a78 --- /dev/null +++ b/tests/321.out @@ -0,0 +1,32 @@ +REG 000000002BCDFFED +REG FFFFFFFFFFFFFFF8 +REG 0000000000000000 +REG 0000000052355D5C +REG 0000000000000000 +REG FFFFFFFFFFFF88A8 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG FFFFFFFFFFFFFFFD +REG 0000000000000000 +REG FFFFFFFFFFFFD423 +REG FFFFFFFFFFFF88A8 +REG 0000000000000000 +REG 000000007A7E0000 +REG FFFFFFFFFFFFD242 +REG 000000002BCE0000 +REG 7A7DEA1C7A7DEA1C +REG 000074E20000001C +REG 000000000005FFF0 +REG FFFFFFFF85820000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFF8B1DFFFFFFE4 +REG 0000000000000000 +REG 000BFFFFFFFFFD7F +REG FFFF8B1DFFFFFFE5 +REG FFFFFFF70229C9F0 +REG 0000000000001FA5 +REG 0000000000000000 +REG 0000000000000000 +REG 000000010FEE80A8 diff --git a/tests/322.bin b/tests/322.bin new file mode 100644 index 0000000..e0548f0 Binary files /dev/null and b/tests/322.bin differ diff --git a/tests/322.out b/tests/322.out new file mode 100644 index 0000000..e775be2 --- /dev/null +++ b/tests/322.out @@ -0,0 +1,32 @@ +REG FFFFFFF7F7FFFFBF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000284D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000808000000 +REG FFFFFFFFFFFFFFFD +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000808000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF82C4 +REG 0000000000000400 +REG 0000000808000000 +REG 0000000000000006 +REG 000000000000EAF6 +REG 0000000050050000 diff --git a/tests/323.bin b/tests/323.bin new file mode 100644 index 0000000..af816c1 Binary files /dev/null and b/tests/323.bin differ diff --git a/tests/323.out b/tests/323.out new file mode 100644 index 0000000..4082c87 --- /dev/null +++ b/tests/323.out @@ -0,0 +1,32 @@ +REG 20520000E608F591 +REG FFFFFD93FFFFFD74 +REG 0000000000000000 +REG 000000001FFF0000 +REG FFFFFFFFFFFFFFF6 +REG 00000000000017A7 +REG 000000000000479C +REG 000000000000DFD3 +REG FFFFFFFFFFFFFFFF +REG 000000000000F080 +REG 0000000000001781 +REG 00000000880FF40F +REG 0000026C00000784 +REG 0000000000008F38 +REG FFFFFFFFFFFFDFD3 +REG 00000000000060F8 +REG 000000001FFFFFFF +REG FFFFFFFFFFFFB38A +REG 0000000000001300 +REG 0000000000000000 +REG FFFFFFFFFFFFEFD6 +REG 000000001FFF0000 +REG 00000000000060F8 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040000000 +REG 0000479C0000001C +REG 0000000000001781 +REG 00000001101FE81E diff --git a/tests/324.bin b/tests/324.bin new file mode 100644 index 0000000..ab22357 Binary files /dev/null and b/tests/324.bin differ diff --git a/tests/324.out b/tests/324.out new file mode 100644 index 0000000..ffc1a87 --- /dev/null +++ b/tests/324.out @@ -0,0 +1,32 @@ +REG E00001FFFFFFF1B7 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000008000000 +REG 0000000000000000 +REG 00FFFE0000000000 +REG 0000000000000000 +REG 0000000000000003 +REG A0000003FFFE0000 +REG 0000000000000000 +REG 002EDC8F47700001 +REG 0000000000000000 +REG 00FFFE0000002CD8 +REG FFFFFFFFFFFFBD7F +REG 002EDC8F47700000 +REG 00000000737E0000 +REG FFFFFF7E00020003 +REG 0000C00000000000 +REG FFFFFFFFFFFFD30D +REG 0000000000000000 +REG 0000000085288448 +REG 01FFFFFFFFFFFF80 +REG 0000000000000000 +REG A0000003FFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FE00000000214080 +REG 0000000000000000 +REG 0000000000000040 +REG 000000008A510890 diff --git a/tests/325.bin b/tests/325.bin new file mode 100644 index 0000000..67e0f54 Binary files /dev/null and b/tests/325.bin differ diff --git a/tests/325.out b/tests/325.out new file mode 100644 index 0000000..fb09ad0 --- /dev/null +++ b/tests/325.out @@ -0,0 +1,32 @@ +REG 0000000010000059 +REG FFFFFFFDFFFF697F +REG 00000000021D0000 +REG 0000000000000000 +REG 0000000010000058 +REG 00000000E7AD0000 +REG 00000000021D3D98 +REG 0000000000000000 +REG FFFFFF4000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF4AF8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFF000000 +REG FFFFFFFDFFFF697F +REG 0000000000F05445 +REG 0000000000001E00 +REG 000000000000000B +REG 00000000000040EC +REG 00000000021D0000 +REG 00000000E7AD0000 +REG 0000000000000020 +REG 000000BFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000048000001 diff --git a/tests/326.bin b/tests/326.bin new file mode 100644 index 0000000..cabd1f8 Binary files /dev/null and b/tests/326.bin differ diff --git a/tests/326.out b/tests/326.out new file mode 100644 index 0000000..1ce2e93 --- /dev/null +++ b/tests/326.out @@ -0,0 +1,32 @@ +REG FFFC451005083033 +REG 000000000000DDB6 +REG 0000000000000000 +REG FFFFFFDFFFDE8C18 +REG 0000000000000000 +REG 0003BAEFFAF7C000 +REG FFFFFFFFFFFF804D +REG FFFFFFFFFFDE8C38 +REG FFFFFFFFFFFFFFFF +REG 0000002000000020 +REG FFFFFFFFFFFFFFED +REG 0000000000000000 +REG 0000010000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFDE8C38 +REG 0000000000000013 +REG 019D5BFF019D5BFF +REG 0000000000000001 +REG 0000000000000020 +REG 0000000000000017 +REG 0000000000000000 +REG 0000000000000000 +REG 000000200000001F +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFBFFFBD1 +REG 0003BAEFFAF7C000 +REG F07FFFFFF07FFFFF +REG 0000000000000017 +REG FFFFFFFFFE480000 +REG 0000000089108091 diff --git a/tests/327.bin b/tests/327.bin new file mode 100644 index 0000000..a66abd1 Binary files /dev/null and b/tests/327.bin differ diff --git a/tests/327.out b/tests/327.out new file mode 100644 index 0000000..a1ed908 --- /dev/null +++ b/tests/327.out @@ -0,0 +1,32 @@ +REG 07FE00203F8A87D4 +REG 00000000412D5F90 +REG 0000000000000000 +REG FFEF058000005DE4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000008F000234 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFC00000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFDF000081EB +REG E000000FFFFFF800 +REG 0000000000000000 +REG FFEF057F71005BAF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000005E000468 diff --git a/tests/328.bin b/tests/328.bin new file mode 100644 index 0000000..bf855f7 Binary files /dev/null and b/tests/328.bin differ diff --git a/tests/328.out b/tests/328.out new file mode 100644 index 0000000..fce14fb --- /dev/null +++ b/tests/328.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 000000000000114C +REG 000000000000F23E +REG 0000000000000023 +REG 000000000000F400 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFDFFFFFFF +REG 000000000E502088 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFD1AFDF77 +REG FFFFFFFFFFFF0BFF +REG FFFFFFFFFFFFF7F7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000FF1 +REG FFFFFFFFFFFF0BFE +REG 0000000000000028 +REG 00000000FC000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000008000000 +REG 0000000000000000 +REG FFFFFFD2DB080000 +REG FFFFFFFFFFFFF7F7 +REG 000000011091E890 diff --git a/tests/329.bin b/tests/329.bin new file mode 100644 index 0000000..845b626 Binary files /dev/null and b/tests/329.bin differ diff --git a/tests/329.out b/tests/329.out new file mode 100644 index 0000000..3cb0458 --- /dev/null +++ b/tests/329.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFDE +REG 0000000000000000 +REG 0000000000000014 +REG C000000000000000 +REG 0000000000000000 +REG 0001FFFFFFFFFFFF +REG 0000000000000003 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFE00000000 +REG C0000000E85EE4DA +REG 0000000000000000 +REG 0000000000000000 +REG 0000080000000800 +REG 0000000000000000 +REG 0000000000000043 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00003FFFFFFEF3FF +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 00000800000007FF +REG 0000000000021800 +REG FFFFFFFFFFFFFFDF +REG 0000000040F00000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000101E00000 diff --git a/tests/33.bin b/tests/33.bin new file mode 100644 index 0000000..4def8f8 Binary files /dev/null and b/tests/33.bin differ diff --git a/tests/33.out b/tests/33.out new file mode 100644 index 0000000..dc3c9be --- /dev/null +++ b/tests/33.out @@ -0,0 +1,32 @@ +REG 00000000581EFFEF +REG 0000000000000024 +REG 0000000000000000 +REG FFFFFFFB043F402F +REG 00000004FBC0BFC0 +REG 00000000001E9112 +REG 0000000000005633 +REG 00000000581EFFFE +REG FFFFFFFFEFEF0001 +REG FFFFFFFFFF802000 +REG 0000000088E4C004 +REG FA7164BCAA9A9FE1 +REG 0000000100000001 +REG FFFFF7FF8003F7FF +REG FFFFF7FF6FF2F811 +REG 0000000000000000 +REG 00000000588B9FE1 +REG 0000000088E40000 +REG 017641B8017641B8 +REG 00000000581EFFFE +REG 00000000581EFFFE +REG FFFFFFFFEFEF0011 +REG 0000000000000062 +REG FFFFFFFFEFEF0001 +REG FFFFFFFFFFFFF1C9 +REG 0000000005F6A780 +REG FFFFFFEFFFFFFFFF +REG FFFFFFFFFFFFFF9E +REG 0000000000000005 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000091C98089 diff --git a/tests/330.bin b/tests/330.bin new file mode 100644 index 0000000..1a9ce42 Binary files /dev/null and b/tests/330.bin differ diff --git a/tests/330.out b/tests/330.out new file mode 100644 index 0000000..16371c0 --- /dev/null +++ b/tests/330.out @@ -0,0 +1,32 @@ +REG 000000410000B36F +REG 0000000000000000 +REG FFFFFF0000000000 +REG 0000000000000008 +REG 0000000040000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF0040000000 +REG 0000000040000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF0000000000 +REG 0000000000000000 +REG 000000FFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF85A0 +REG 000000002F000800 +REG B400000000000000 +REG FFFFFFFFBFFFFFDF +REG 0000000040000020 +REG 00000100768F4D7A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFEFF89710009 +REG 000000004000F41C diff --git a/tests/331.bin b/tests/331.bin new file mode 100644 index 0000000..d38e42c Binary files /dev/null and b/tests/331.bin differ diff --git a/tests/331.out b/tests/331.out new file mode 100644 index 0000000..6ee1ca0 --- /dev/null +++ b/tests/331.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 000000000000002F +REG 000000000000003A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000010760000 +REG 0000000000000000 +REG 0000000000000001 +REG 000000000000003A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF3DFE +REG FFFFF00000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000178 +REG 0000000000000000 +REG 0000000020004004 +REG 0000000000000000 +REG 0000000040008008 diff --git a/tests/332.bin b/tests/332.bin new file mode 100644 index 0000000..0256160 Binary files /dev/null and b/tests/332.bin differ diff --git a/tests/332.out b/tests/332.out new file mode 100644 index 0000000..5983b4e --- /dev/null +++ b/tests/332.out @@ -0,0 +1,32 @@ +REG 0000003900009DBB +REG 01FFFFFDFFFFFFFF +REG 0000000000010000 +REG 0000000000000039 +REG 000000000000008C +REG 0000000000001619 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFF9115 +REG FFFFFFFFF8A8005F +REG 0000000000002115 +REG 0000000020F43442 +REG 0000000000000000 +REG 0000000000002300 +REG 0000000000000000 +REG 00000000B89C0000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000039 +REG 0000000000FF9F40 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFE9E7 +REG 0000000000001664 +REG FFFFFFFFFFFFEA27 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000081E87090 diff --git a/tests/333.bin b/tests/333.bin new file mode 100644 index 0000000..284aea6 Binary files /dev/null and b/tests/333.bin differ diff --git a/tests/333.out b/tests/333.out new file mode 100644 index 0000000..7852e35 --- /dev/null +++ b/tests/333.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFD +REG 0000000000000000 +REG FFFFDA0000000000 +REG FFFFFFFFFFFFFA88 +REG 0000180000000000 +REG 00000000AFA80000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000800000008 +REG FFFFFFFFFC001FFF +REG 0000000000000000 +REG 02A7FFFF02A7FFFF +REG 0000000800000008 +REG FFFFFFFFFFFFFFFD +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFC000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 000191B5000191B5 +REG 0000000000000000 +REG 0000000000000000 +REG 000002815D5FC4CF +REG 00000000000021C3 +REG 000007FFFC001FFF +REG 0000260000000000 +REG 0000180000000000 +REG 0000000000002183 +REG 0000000000000000 +REG 0000000049E48008 diff --git a/tests/334.bin b/tests/334.bin new file mode 100644 index 0000000..528ee1e Binary files /dev/null and b/tests/334.bin differ diff --git a/tests/334.out b/tests/334.out new file mode 100644 index 0000000..50a0315 --- /dev/null +++ b/tests/334.out @@ -0,0 +1,32 @@ +REG 00000000E3900000 +REG FFFFFFFFCB773D31 +REG 0000000070000000 +REG 0000000000000000 +REG 0000000006DCCC00 +REG 0000000000043844 +REG DFFC00560B9B3D47 +REG 0000000000000001 +REG DFFC00560B9B3D47 +REG 0000000000000000 +REG 0000188E4024655B +REG 0000000000000020 +REG FFFFFFFFFFFFF800 +REG FFFFFFFFFFFFFFFF +REG 0000000006DCCC01 +REG 000000003488C2CF +REG 000000000004FAEC +REG 00000000000001B5 +REG 0000000000041000 +REG FFFFFFFFFFFFCC01 +REG 0000000000000000 +REG 0000000000000000 +REG 0000FFFFFFFFFFFF +REG 0000000006DCCC01 +REG 0000000070001845 +REG FFFFFFFF1C6FFFFF +REG FFFFFFFF8FFFFFFF +REG 000000003FFFFF00 +REG 0000000000000000 +REG 0000000070000001 +REG 0000000000000000 +REG 0000000101E01900 diff --git a/tests/335.bin b/tests/335.bin new file mode 100644 index 0000000..a214768 Binary files /dev/null and b/tests/335.bin differ diff --git a/tests/335.out b/tests/335.out new file mode 100644 index 0000000..96ddc16 --- /dev/null +++ b/tests/335.out @@ -0,0 +1,32 @@ +REG FFFFFFFFA000002F +REG 000000000000080F +REG 0000000040000000 +REG 0000000000000000 +REG 0000000040000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001D +REG 0000000000000000 +REG 000000000005C9CB +REG 0000000000004DDD +REG FFFFFFFF78081C91 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000080F +REG FFFF8810EC88880F +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 000000004400440E +REG 000000000000001D +REG 000000000000001D +REG BFFFDFA580001FA5 +REG 0000000000000020 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG E87237C517272CD0 +REG 000000004800881C diff --git a/tests/336.bin b/tests/336.bin new file mode 100644 index 0000000..bef2951 Binary files /dev/null and b/tests/336.bin differ diff --git a/tests/336.out b/tests/336.out new file mode 100644 index 0000000..88cec24 --- /dev/null +++ b/tests/336.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 003BFFFFFFE3F0E0 +REG 0000000020448848 +REG 003C000000000000 +REG 000000000000C751 +REG 0000000000000000 +REG 00000000003FFFFF +REG 0000007FFF000000 +REG 0000000000000000 +REG 0000000000000000 +REG 07FF1F8700000003 +REG 0000000000000000 +REG FFC4000000000000 +REG FFFC7E1C00FFFF3F +REG FFFC7E1C00FFFF3F +REG 0000000000000039 +REG 0000000000000000 +REG 000000000000FFFF +REG 0000000000000000 +REG C000000000000000 +REG FFFFFFFFDFBB77BF +REG 000000000000000A +REG 0000000900000000 +REG 0000000000000000 +REG 0000003FF8FC3800 +REG 0000000000000000 +REG 0000007FFFC0F1FF +REG 085C95F860CD98D8 +REG 0000000000000000 +REG 0000000000000000 +REG F7A80004395EE740 +REG 0000000080880010 diff --git a/tests/337.bin b/tests/337.bin new file mode 100644 index 0000000..7b4fe28 Binary files /dev/null and b/tests/337.bin differ diff --git a/tests/337.out b/tests/337.out new file mode 100644 index 0000000..ace3621 --- /dev/null +++ b/tests/337.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000004E0C00000 +REG 00000004E0C00000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE3 +REG 01FFFFB000000000 +REG 0000000000000024 +REG 000000000000FD89 +REG FFFFFFFB1F204E0B +REG 000000000000FD89 +REG 00000000001FB1F4 +REG 0000000000000000 +REG FFFFFFFFFFFF90E3 +REG 0000000000000016 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFB8DD8 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000FD89 +REG 0000000000000000 +REG 0000000021280000 +REG 0000000000000000 +REG 0000000088501100 diff --git a/tests/338.bin b/tests/338.bin new file mode 100644 index 0000000..7fec805 Binary files /dev/null and b/tests/338.bin differ diff --git a/tests/338.out b/tests/338.out new file mode 100644 index 0000000..1f1498a --- /dev/null +++ b/tests/338.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFE4FB8480 +REG 0000000000000000 +REG FFFFFFFFFFDC00FA +REG 0000000000002000 +REG 0000000000008040 +REG 0000000000000000 +REG 0002000000000000 +REG 0000010000000000 +REG 0000000000000000 +REG 0000000029560000 +REG 0000000000000010 +REG 0000000000003FFF +REG 000000000023FF06 +REG 0000000000000000 +REG 0000000000000000 +REG 0000201000002010 +REG 0000000000000010 +REG 000015DE000015DE +REG FFFFFFFFFFFFADBF +REG 0000000000000000 +REG 000000000000B125 +REG 0000000029560000 +REG 0000000000000000 +REG 0000000000000000 +REG FF7FFFFF5160B1D1 +REG FF7FFFFF5160B1D1 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000010 +REG 0000000000008040 +REG 0000000040010880 diff --git a/tests/339.bin b/tests/339.bin new file mode 100644 index 0000000..2bc6949 Binary files /dev/null and b/tests/339.bin differ diff --git a/tests/339.out b/tests/339.out new file mode 100644 index 0000000..45b2d3e --- /dev/null +++ b/tests/339.out @@ -0,0 +1,32 @@ +REG FFFFFFF7C150DDC2 +REG 000EFFFBF7C7FFBF +REG 000000002E9F3219 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000810301AD8 +REG 000000010C800000 +REG 8BCE000000007B7A +REG A7CC864000000001 +REG 00000003EF8FFF7F +REG 83C7588380000003 +REG 0000000000000000 +REG 0000001000000000 +REG 7C38A77C800007FD +REG 0000000000000000 +REG 43FFFFFF581BB659 +REG 0000000000003219 +REG 0000000000000000 +REG 10700008107078EB +REG FFFFFFFFFFFFFFFF +REG 10700008107078EB +REG 0000000000000000 +REG 43FFFFFF581C0F95 +REG EF8FFFF400000000 +REG 0000000000000002 +REG 0000000810301AD8 +REG 0000000000000800 +REG 107000081070408B +REG 0000000000000017 +REG 0000000000000000 +REG 0000000090887168 diff --git a/tests/34.bin b/tests/34.bin new file mode 100644 index 0000000..32d9703 Binary files /dev/null and b/tests/34.bin differ diff --git a/tests/34.out b/tests/34.out new file mode 100644 index 0000000..8cecddf --- /dev/null +++ b/tests/34.out @@ -0,0 +1,32 @@ +REG 0E39793AAE39C073 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000009074 +REG 0000000000000000 +REG 00000000A209FFFF +REG FFFFFFFFFF8000FC +REG FFFFFFFF00FFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000005C890000 +REG 0000000080000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000F397 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000A27600FC +REG FF8000FCFF8000FC +REG 0000000080048048 diff --git a/tests/340.bin b/tests/340.bin new file mode 100644 index 0000000..2b16568 Binary files /dev/null and b/tests/340.bin differ diff --git a/tests/340.out b/tests/340.out new file mode 100644 index 0000000..dae3d44 --- /dev/null +++ b/tests/340.out @@ -0,0 +1,32 @@ +REG FFFF90C8FCFA8FC4 +REG FFFFFFFFF5C0E810 +REG FFFFFFFFFFFFDB39 +REG 00006F370305703B +REG 0000000000000000 +REG 0073A20F8B844FC0 +REG 0000000000000000 +REG 000000000008F5BC +REG 000000000B490104 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0073A20F8B4F4FC0 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFEE +REG 0000000000000000 +REG 000000000A3F17F0 +REG 000000000000023E +REG 0000000000000000 +REG 000000000B490104 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000B490104 +REG 0000000050800904 diff --git a/tests/341.bin b/tests/341.bin new file mode 100644 index 0000000..f45179b Binary files /dev/null and b/tests/341.bin differ diff --git a/tests/341.out b/tests/341.out new file mode 100644 index 0000000..5335364 --- /dev/null +++ b/tests/341.out @@ -0,0 +1,32 @@ +REG F47DBA04A35763D3 +REG 0000000000000000 +REG 0000000000000003 +REG 00000000D6F92629 +REG 00000000D6F9262A +REG 0290000000000000 +REG FFFFFFFF80ABB770 +REG 00000000BB00262A +REG 0000000FFFFEC0E9 +REG 0000000000000040 +REG 0000000009800000 +REG 0000000000000040 +REG 0400000000000000 +REG FFFFFFFFFFFFFFDF +REG 0000000009800000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000FFFFF0EE8 +REG 0000000000000B00 +REG 0000000000000000 +REG 00000000D6F9262A +REG FFFFFFFFFFFFFFFF +REG FFFFFFF00000F117 +REG E0EE8182E0EE8000 +REG 0000000004000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF80ABB770 +REG 000000000F500000 +REG FFFFFFF000003F16 +REG FFFFFFF009813F17 +REG 0000000FFFFEC0E9 +REG 0000000088009E9E diff --git a/tests/342.bin b/tests/342.bin new file mode 100644 index 0000000..94afaf6 Binary files /dev/null and b/tests/342.bin differ diff --git a/tests/342.out b/tests/342.out new file mode 100644 index 0000000..dfb08f2 --- /dev/null +++ b/tests/342.out @@ -0,0 +1,32 @@ +REG 000000000000001D +REG 0000000000000000 +REG 0000256900002569 +REG 0000000000000000 +REG FFFFFFFFFF0FDCD0 +REG 0000000000006422 +REG 9826717E9826717E +REG 000000004800800F +REG 0000000000000000 +REG 00000001B21F4000 +REG FFFF91CB271DC000 +REG FFB70517FFFF0517 +REG A059F661EE3AB664 +REG 0000000000EFE65F +REG FFFFFFFFFFFFE203 +REG 0000000000000000 +REG FFFFFFB4A00016C4 +REG 0000000000000000 +REG BCA4231076F20000 +REG 0000000000000000 +REG 0000000000EFE65F +REG FFB70517FFB70517 +REG 0000004B5FFFE93B +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000004303 +REG FFFFFFFF78FEFFC0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000009001001F diff --git a/tests/343.bin b/tests/343.bin new file mode 100644 index 0000000..d5955f3 Binary files /dev/null and b/tests/343.bin differ diff --git a/tests/343.out b/tests/343.out new file mode 100644 index 0000000..209b23c --- /dev/null +++ b/tests/343.out @@ -0,0 +1,32 @@ +REG 13FFC01313FF9FE3 +REG FFFFFFFFFFFFFFCB +REG FFFFFFFFFFFFAE52 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFC0000000 +REG FFFFFFFFEAA19D7D +REG 0000000000000000 +REG 000000004F081480 +REG 0000000040000000 +REG 000000004F07B325 +REG 000000008F080480 +REG 9FE213FF9FFE73C2 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFAAA11954 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000155E6283 +REG 0000000000000054 +REG 0000000000000000 +REG 0000000096E90000 +REG 00000000155E10D5 +REG 01FFFE010508FC00 +REG 9FE213FFEF0626E7 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000003FFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000011E100901 diff --git a/tests/344.bin b/tests/344.bin new file mode 100644 index 0000000..d99d41a Binary files /dev/null and b/tests/344.bin differ diff --git a/tests/344.out b/tests/344.out new file mode 100644 index 0000000..25f23d0 --- /dev/null +++ b/tests/344.out @@ -0,0 +1,32 @@ +REG FFFFAE7718E8AE75 +REG 0000000000000104 +REG 0070000000700000 +REG 0000000000000000 +REG 0000000000000000 +REG FFF8007E31FFCDCD +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000459 +REG FFFFFFFFFFFFFF00 +REG 0000000000000019 +REG 0000000000000001 +REG 09C4000009C40000 +REG FFFFFFFFFFFFFFF8 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000100000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000000 +REG FFF8006000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000100000000 +REG 8000000C8000000C +REG FFFFFFFFFFFFFFFF +REG 0000000000002000 +REG 0000000000000000 +REG 0000000090100840 diff --git a/tests/345.bin b/tests/345.bin new file mode 100644 index 0000000..1933412 Binary files /dev/null and b/tests/345.bin differ diff --git a/tests/345.out b/tests/345.out new file mode 100644 index 0000000..5e6df7a --- /dev/null +++ b/tests/345.out @@ -0,0 +1,32 @@ +REG F400001AEF32001B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FC0BFFFFFC0BBFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000BBFFFFC +REG 000000004080F04E +REG 00000000FFFFFF89 +REG FFFFFFFFFFFFFFFF +REG 0000394500003945 +REG 0000000000000000 +REG 0000001900000018 +REG 0000000000006C89 +REG FC0BFFFFFC0BBFFF +REG 0000000000000000 +REG 00000000E517B624 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF03F44001 +REG 0000001900000018 +REG FFFFFFFFFFFFFFFF +REG 0000394500003945 +REG 0000000000000018 +REG 000000002080004E +REG 000000000001C000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000FEA9 +REG 000000008100805C diff --git a/tests/346.bin b/tests/346.bin new file mode 100644 index 0000000..72d9977 Binary files /dev/null and b/tests/346.bin differ diff --git a/tests/346.out b/tests/346.out new file mode 100644 index 0000000..c81c1ca --- /dev/null +++ b/tests/346.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFE60B +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000CCD4BA +REG FFFFFFFFFFFFFF9C +REG 0000000000000020 +REG 0000000900000011 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000545 +REG 0000000C0000000C +REG FFFFFFF3FFFFFFD3 +REG FFFFFFFFFFFFFFFF +REG 0000000006149763 +REG 0000001201012040 +REG 0000000048040481 +REG FFFD7A87FFFD7A88 +REG 0000000000000006 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFF3FFFFFF93 +REG 0000000000000063 +REG 0000000000000000 +REG FFFFFFFFFFFFFABA +REG 0000000000000063 +REG 0000001048040405 +REG 000000000000D7FE +REG 0000000000000000 +REG 0000000000000543 +REG 0000000048090110 diff --git a/tests/347.bin b/tests/347.bin new file mode 100644 index 0000000..90da265 Binary files /dev/null and b/tests/347.bin differ diff --git a/tests/347.out b/tests/347.out new file mode 100644 index 0000000..3defcb1 --- /dev/null +++ b/tests/347.out @@ -0,0 +1,32 @@ +REG FFFFFFFFF7FFFFDE +REG FFFFFFFF800151B2 +REG 0000000040000000 +REG FFFFFFFF8003FEFE +REG 0000000000000000 +REG 0000000C0000000C +REG 000000007F7FF000 +REG 4B63FD0075000001 +REG 3F3F59218AFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000FF00FF0000 +REG 0000000000000007 +REG 0000000002188000 +REG 40B974A9C0000000 +REG 0000000000002188 +REG 0000000000000000 +REG 000000000000001C +REG 0AA3FD0000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000024084843 +REG C0C0A6DE75000000 +REG 0000000000002188 +REG 91218B48AE37C10B +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF800175B7 +REG 91218B4869F4C10B +REG 0000000000000001 +REG 0000000088108487 diff --git a/tests/348.bin b/tests/348.bin new file mode 100644 index 0000000..c9710e4 Binary files /dev/null and b/tests/348.bin differ diff --git a/tests/348.out b/tests/348.out new file mode 100644 index 0000000..0db28b1 --- /dev/null +++ b/tests/348.out @@ -0,0 +1,32 @@ +REG FFFFFFEF60676C3B +REG 328CB64D328CB6CD +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFEFBE7E6000 +REG 0000000000000000 +REG 000000009E189620 +REG 0000000000000000 +REG FF0D000007FFFFFF +REG FFFFFFFFFFFFFFC0 +REG 0000000086260000 +REG FFFFFFFF450CFFFF +REG FFFFFFFFFFFFFFF0 +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG CA32DADCCA32D934 +REG 0000000000000000 +REG 0000000000000000 +REG FF0D000007FFFFFF +REG 0000000000000000 +REG 000000006BEE0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020080884 +REG 000000006BEE0000 +REG 0000000100101108 diff --git a/tests/349.bin b/tests/349.bin new file mode 100644 index 0000000..91c8f32 Binary files /dev/null and b/tests/349.bin differ diff --git a/tests/349.out b/tests/349.out new file mode 100644 index 0000000..356be04 --- /dev/null +++ b/tests/349.out @@ -0,0 +1,32 @@ +REG 000000000000BDC8 +REG FFFFFFFFFFFFFFFC +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 000000BD000000BD +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFFFCF25097 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000043 +REG FFFFFFFFFFFFFFBF +REG 0000000000000042 +REG 43FFFFFFFE2FFFFF +REG 0000000000000000 +REG FFFFFFFFFCF25097 +REG 000000000000DD2F +REG 000000001FFE0000 +REG 0000000000000040 +REG 0000000000000001 +REG 0000000000000000 +REG 00000000030DAF69 +REG 000083FFFFFFFC01 +REG 0000000000000040 +REG 0000000000000001 +REG 00008342FFFFFB43 +REG 0000000088001100 diff --git a/tests/35.bin b/tests/35.bin new file mode 100644 index 0000000..09bdf39 Binary files /dev/null and b/tests/35.bin differ diff --git a/tests/35.out b/tests/35.out new file mode 100644 index 0000000..a7d178e --- /dev/null +++ b/tests/35.out @@ -0,0 +1,32 @@ +REG FFFF80000000598C +REG 0000000000000040 +REG 00007FFFFFFFA673 +REG FFFFFFFFFFFFFFF4 +REG FFFFFFFFFFFFFFE7 +REG 0000000000000000 +REG 7FFFFFFFFFFFF900 +REG 0000000000080000 +REG FFFFEA11416EA47D +REG 0000000000000018 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00007FFFFFFFA673 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000005E829 +REG 0000000000000018 +REG FFFFFFFFFF00FF00 +REG FFFFFFFFC2A4FFFF +REG 000000006BFFFFE8 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00007FFFFFFF746C +REG FFFFFFFFFFFFFFE4 +REG 0000000000000000 +REG 0000000000080000 +REG 0000000000000000 +REG FFFFFFE9FFFFFFE9 +REG 0000000000000200 +REG 0000000089F08084 diff --git a/tests/350.bin b/tests/350.bin new file mode 100644 index 0000000..a01adc0 Binary files /dev/null and b/tests/350.bin differ diff --git a/tests/350.out b/tests/350.out new file mode 100644 index 0000000..a15c8a1 --- /dev/null +++ b/tests/350.out @@ -0,0 +1,32 @@ +REG 0000000000001599 +REG 000000000000072F +REG FFFFFFFFFFFFFFFF +REG 0007000000000000 +REG 000000000000007D +REG FFFFFFFFFFFFFFFF +REG 0000000000049E00 +REG 0000000000000000 +REG 02BF7FC007FFFFC0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000004CCC0000 +REG 000000006F20007D +REG 0000000000000000 +REG 0000000069E8007D +REG 0000000000000001 +REG 0808080808080808 +REG 0000000069E8007D +REG 00000000000072FA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 7D00000070000000 +REG 0000000000000006 +REG 00000000001F4000 +REG 0000000000000000 +REG 000000000000007D +REG 000000000000007D +REG 0000000000000000 +REG 000000009F100808 diff --git a/tests/351.bin b/tests/351.bin new file mode 100644 index 0000000..cdf8ed9 Binary files /dev/null and b/tests/351.bin differ diff --git a/tests/351.out b/tests/351.out new file mode 100644 index 0000000..ea4af02 --- /dev/null +++ b/tests/351.out @@ -0,0 +1,32 @@ +REG 000FFFFF2DFE04B8 +REG 0000000000000001 +REG FFFFFFFFFFFFFFC6 +REG 0450501066DC0010 +REG 0000000040000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000D2020000 +REG 000000000000D326 +REG 000000000000003A +REG 0000000000003440 +REG FFFFFFFFFFFFFC1C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000080000000000 +REG 0000000088280802 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 000000000000054F +REG FFFFFFFFDD0BB958 +REG 0000001FFFFFFFFF +REG 000000000000054F +REG 00000800FC090000 +REG 0000000000000000 +REG 0000000000000000 +REG 7A235403A13BD72F +REG 000000000000003A +REG 7A235403A13B440F +REG 000000009E501004 diff --git a/tests/352.bin b/tests/352.bin new file mode 100644 index 0000000..8ceea22 Binary files /dev/null and b/tests/352.bin differ diff --git a/tests/352.out b/tests/352.out new file mode 100644 index 0000000..5ab210b --- /dev/null +++ b/tests/352.out @@ -0,0 +1,32 @@ +REG 0808060029231092 +REG FFFFFFFFFFFFFFF9 +REG 000000000DFFC909 +REG FF3C0542C1258F1C +REG 0000000000000000 +REG 0DFFC6A10DFFC6A1 +REG 0000000000000000 +REG FFFFFFFFF200293C +REG FFFFFFFFFFF1FFFF +REG 0000000000000000 +REG 080805FFFFFFCF08 +REG 0000000000000000 +REG 0000000000000001 +REG 0000007FFFFFFFF9 +REG 0000000000000000 +REG 080806000DFF7CB4 +REG 0000000000000000 +REG 0000000000000006 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000003 +REG 080806000DFFCF09 +REG 080806000DFFA5CC +REG 0000000000000000 +REG 0000000000000500 +REG 080805FFFFFFD408 +REG 080806000DFFA5CC +REG FC90900000000001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000003 +REG 00000000891F0001 diff --git a/tests/353.bin b/tests/353.bin new file mode 100644 index 0000000..778f706 Binary files /dev/null and b/tests/353.bin differ diff --git a/tests/353.out b/tests/353.out new file mode 100644 index 0000000..4d2fa8f --- /dev/null +++ b/tests/353.out @@ -0,0 +1,32 @@ +REG FFBC57FBBB7FFF9F +REG 000000000000001F +REG 0000000000000003 +REG 0000000000000001 +REG 0000002000000018 +REG 0000000000200000 +REG 0000000000000001 +REG 000000000000003F +REG 0000000000000200 +REG 0000000000000020 +REG FFFFFFFFC0003334 +REG FFFFFFFFFFFFFFE1 +REG FFFFFFFFFFFFFFED +REG 0000000200000002 +REG FFFFFFFFFFFF8228 +REG 000000004A390000 +REG FFFFFFFFFFFF9850 +REG 0000000000000000 +REG FFBC57FBBB7FFFFF +REG FFBC57FBFF8FCE68 +REG 000000000A7A0000 +REG 0000000001F80000 +REG 0000000000000014 +REG FFFFFFFF7FFFFFFF +REG FFFFFFFFBBF03197 +REG 0000000000500000 +REG 0000000000000000 +REG 000000000000804C +REG FFFFFFFF98C35FB1 +REG 0000000000001D2B +REG 0000000000000001 +REG 000000008EA81109 diff --git a/tests/354.bin b/tests/354.bin new file mode 100644 index 0000000..a5f092a Binary files /dev/null and b/tests/354.bin differ diff --git a/tests/354.out b/tests/354.out new file mode 100644 index 0000000..9fc6cb6 --- /dev/null +++ b/tests/354.out @@ -0,0 +1,32 @@ +REG 7FFC0000204050B2 +REG FE00000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000020000000 +REG 0000000000000000 +REG FFFFFFFFFFFFAF58 +REG FFFFFFFFFFFFFFFF +REG 000000007FFC0000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000040001A +REG 429C000000000000 +REG FFF5EB1FFFFFFFFF +REG FFFFFFFFFFFFFFFE +REG 8000400000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000A150 +REG 000000000040001A +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFAF58 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000000050A6 +REG 0000000000000000 +REG 0000000000000040 +REG 000000009A489089 diff --git a/tests/355.bin b/tests/355.bin new file mode 100644 index 0000000..db696c5 Binary files /dev/null and b/tests/355.bin differ diff --git a/tests/355.out b/tests/355.out new file mode 100644 index 0000000..63fe706 --- /dev/null +++ b/tests/355.out @@ -0,0 +1,32 @@ +REG FFFF962885499628 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000079370000 +REG 0000000000000005 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000086C8FFFF +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG 0580003500000035 +REG 0000000000008D20 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000001 +REG 00000000000080DF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000086C90000 +REG 0000000000000000 +REG 00000000000034EB +REG 0000000000000000 +REG 0580003500000035 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000004E408448 +REG 0000000000000000 +REG 000000005C810890 diff --git a/tests/356.bin b/tests/356.bin new file mode 100644 index 0000000..06b2b71 Binary files /dev/null and b/tests/356.bin differ diff --git a/tests/356.out b/tests/356.out new file mode 100644 index 0000000..242e381 --- /dev/null +++ b/tests/356.out @@ -0,0 +1,32 @@ +REG A393F03F4DA40010 +REG A393F03F48A40011 +REG 0000000014000000 +REG A393F03F48A40011 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000B +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000B +REG FFFFFFFFFB000001 +REG 0000000000000000 +REG 0000000B00000003 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFF90DE +REG FFFFE5BCFFFFE5BC +REG 00001A4300001A43 +REG 0000000014000000 +REG 0000000000000000 +REG 0000000005000000 +REG 00001A43000002F4 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000048904810 diff --git a/tests/357.bin b/tests/357.bin new file mode 100644 index 0000000..0330919 Binary files /dev/null and b/tests/357.bin differ diff --git a/tests/357.out b/tests/357.out new file mode 100644 index 0000000..3ca21a1 --- /dev/null +++ b/tests/357.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFDCEFF9F +REG FFFFFFFFFFFFFFFF +REG 000000000000BF4F +REG 0000000000000000 +REG 0000000000FE0000 +REG 0000000000000000 +REG 000000000000003A +REG 0000028060910915 +REG 0000000000000000 +REG 0000000000000915 +REG 0000000000000000 +REG 0000000000000000 +REG 000000004C200000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFDCF0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000024000000000 +REG 0000000000000015 +REG 0000024000000000 +REG 0000000000000000 +REG 0000000000000240 +REG 0000000041108901 diff --git a/tests/358.bin b/tests/358.bin new file mode 100644 index 0000000..0b766e4 Binary files /dev/null and b/tests/358.bin differ diff --git a/tests/358.out b/tests/358.out new file mode 100644 index 0000000..35ea762 --- /dev/null +++ b/tests/358.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG FFFFFFF4FFFFFFF4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000EA2 +REG 0000000000000000 +REG FFFFFFFFFFFFF15D +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000000000000 +REG 0740000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000000086C5 +REG 0000FFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFDF7FFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000020800000 +REG 0007000000074000 +REG FFFFFFFFFFFFFFA2 +REG 0000000000000000 +REG 0000000000000EA2 +REG FFFFFFFFDF7FFFFF +REG FFFFFFFFDF7FFFBE +REG 0000000111000001 diff --git a/tests/359.bin b/tests/359.bin new file mode 100644 index 0000000..5e3010f Binary files /dev/null and b/tests/359.bin differ diff --git a/tests/359.out b/tests/359.out new file mode 100644 index 0000000..5b60432 --- /dev/null +++ b/tests/359.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 27F21AFFE9B1E37E +REG 0000000000000020 +REG A54C125A28000000 +REG 0000404040201800 +REG 0000000000000000 +REG 0000000000000000 +REG FFFF000000005095 +REG 0000000000000003 +REG 27F21B01F7826D78 +REG 000000000000001A +REG FFFFFFFF74D82DB9 +REG FFFFFFFFC593873D +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000404040201800 +REG 0000000000000001 +REG 000006C000000000 +REG 00007FFFFFFFC000 +REG 0000070100000000 +REG 0000000000001A04 +REG CD3E2D5A11B1E33F +REG 0000000000000001 +REG 000000000000001B +REG 0000000000000000 +REG 27F21B01F7826D78 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF74D82DB9 +REG FFFF000000000000 +REG 000000011F110088 diff --git a/tests/36.bin b/tests/36.bin new file mode 100644 index 0000000..6c8a76c Binary files /dev/null and b/tests/36.bin differ diff --git a/tests/36.out b/tests/36.out new file mode 100644 index 0000000..88678ba --- /dev/null +++ b/tests/36.out @@ -0,0 +1,32 @@ +REG FE0000D07800017E +REG FFFFFFFF17DA0001 +REG FFFFFFFF17D9F9FF +REG 0000000000000001 +REG 004007FFFFFDFDC0 +REG 0000000000000000 +REG 0000000000000000 +REG F412FFFF80000000 +REG FFFFFFFFFFE7FF01 +REG FCFE0000E5265E63 +REG 000FFFFDFCC007FB +REG FFFFFFFFFFFFFFE5 +REG 0000000100000000 +REG 0000000200000000 +REG 00000000001800FF +REG FE7FFFFEFE6003FD +REG FFFFFFFF17D9A19D +REG FFFFFFFF17DA0001 +REG 0000000003080806 +REG 000000000307DBD2 +REG FCFE0000E5265E63 +REG 0000000000000000 +REG FFFFFFFF17DAD62D +REG 001FFFFFF7F7F001 +REG FFFFFFFFFFFFFFE7 +REG 01FFFF2F8000B115 +REG FFFFCFFFFFEBD818 +REG 0281FFFE1B465D9E +REG 0000000000000000 +REG 000000000004FFFD +REG 000000000000BFBD +REG 00000001010A0000 diff --git a/tests/360.bin b/tests/360.bin new file mode 100644 index 0000000..211a332 Binary files /dev/null and b/tests/360.bin differ diff --git a/tests/360.out b/tests/360.out new file mode 100644 index 0000000..aa527a5 --- /dev/null +++ b/tests/360.out @@ -0,0 +1,32 @@ +REG 000000002008A6C7 +REG 0000000000000000 +REG 0000000070260020 +REG FFFFFFFFDFF7FFFC +REG 0000000000000401 +REG 0000000000000000 +REG BFFF60000000FFF9 +REG 0000000024480884 +REG 0000000000000020 +REG 0000000000005A85 +REG 0000000000000000 +REG FFFFFFFFDBB7F77C +REG 0000000020080005 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020080004 +REG 000000000000A2C2 +REG 0000000000000000 +REG F368FFFFE66BFFFF +REG 0000000020080004 +REG 000007FFCDFFFB00 +REG 0000000000000000 +REG 40009FFFFFFFA2C9 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000100100108 diff --git a/tests/361.bin b/tests/361.bin new file mode 100644 index 0000000..3f5378c Binary files /dev/null and b/tests/361.bin differ diff --git a/tests/361.out b/tests/361.out new file mode 100644 index 0000000..441efb2 --- /dev/null +++ b/tests/361.out @@ -0,0 +1,32 @@ +REG 000000D00000E319 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000128FFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000002835 +REG 0000000000000000 +REG 000000000000001C +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000022 +REG FFFFFFFFFFFFFFFF +REG 0000000000000039 +REG D8242F71D0002F71 +REG 000000000000001A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0485EE3B0485823B +REG 0000000000000000 +REG 000000000000001A +REG FFFFFFFFFED70000 +REG 0000000000000000 +REG 000000000000002F +REG 000000004D1E089C diff --git a/tests/362.bin b/tests/362.bin new file mode 100644 index 0000000..71f81d3 Binary files /dev/null and b/tests/362.bin differ diff --git a/tests/362.out b/tests/362.out new file mode 100644 index 0000000..3f07b35 --- /dev/null +++ b/tests/362.out @@ -0,0 +1,32 @@ +REG FFFFF96047DB2E71 +REG 0000000000000101 +REG FFFFFE9F19A9FEFE +REG 0000000000000000 +REG 0000000000000000 +REG 01017FFFFA84BF93 +REG 0000000010000000 +REG 0000000000000000 +REG FFFFFE9F19A94CEC +REG 0000000000000000 +REG 00000000400F4082 +REG 000000000F0B2B40 +REG 0000000000000000 +REG FFFFFFFFFFFFE776 +REG FFFFFE9F19A9FEEC +REG 0000000000000000 +REG FFFFFE9F19A9FEEC +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0808080818080808 +REG 000000000000000F +REG FFFFFFFFFFFFB47C +REG FFFFF9606D311580 +REG 27FFA46A27FFA46A +REG 00000000003C2CAD +REG 00000000000003FF +REG 0000000000000000 +REG 0000000000000000 +REG 00000160E6560101 +REG FFFFFE9F19A94CEC +REG 00000000801E8044 diff --git a/tests/363.bin b/tests/363.bin new file mode 100644 index 0000000..fe38a67 Binary files /dev/null and b/tests/363.bin differ diff --git a/tests/363.out b/tests/363.out new file mode 100644 index 0000000..252de3c --- /dev/null +++ b/tests/363.out @@ -0,0 +1,32 @@ +REG 0000000007DC0331 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000003BE8 +REG FFFFFFFFFFFFFFC2 +REG 00000000002D0F1D +REG FFFFFFFFFFFA33C1 +REG 0000000000050F50 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 000000000022F07B +REG 000000000000003D +REG 00000000002791A0 +REG 000050F5000000F5 +REG 0000000000000000 +REG 0000000000050F50 +REG 0000000052B2001F +REG 0000000000280000 +REG FFFFFFFFFFFFAF0A +REG 0000000000280000 +REG FFFFFFFFFFFF5E15 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000022DEC8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000002605D16C0 +REG FFFFFFFFFFFFFFE1 +REG 000003BE80000000 +REG 0000000051089011 diff --git a/tests/364.bin b/tests/364.bin new file mode 100644 index 0000000..41965c8 Binary files /dev/null and b/tests/364.bin differ diff --git a/tests/364.out b/tests/364.out new file mode 100644 index 0000000..1484414 --- /dev/null +++ b/tests/364.out @@ -0,0 +1,32 @@ +REG 0000000003001D59 +REG FFFFFFFFFFFFFC5B +REG 0000000000000000 +REG 0000000000000DF6 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000003000402 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG FFFFFFFFFCFFF20A +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFC551 +REG 0000000003000402 +REG FFFFFFFFFFFFFFFF +REG 0000000024080442 +REG 0000000003000402 +REG FFFFFFFFFFCFFFBF +REG FFFFFFFFFFFF0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000DF5 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FC5BFFFFFFFFFFC0 +REG 0000000108000080 diff --git a/tests/365.bin b/tests/365.bin new file mode 100644 index 0000000..5ac23ef Binary files /dev/null and b/tests/365.bin differ diff --git a/tests/365.out b/tests/365.out new file mode 100644 index 0000000..ed86e8c --- /dev/null +++ b/tests/365.out @@ -0,0 +1,32 @@ +REG FFFFFFDFF1FFF040 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000001C00000 +REG 0000000000000000 +REG 0000000000000001 +REG 0808080808080808 +REG 0000000000000041 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000001C00000 +REG 0000200000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000200000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000041 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000081E9FF10 diff --git a/tests/366.bin b/tests/366.bin new file mode 100644 index 0000000..cab60cf Binary files /dev/null and b/tests/366.bin differ diff --git a/tests/366.out b/tests/366.out new file mode 100644 index 0000000..3056984 --- /dev/null +++ b/tests/366.out @@ -0,0 +1,32 @@ +REG 00000000F04D0000 +REG 0000000000000000 +REG FFFFFFFFE0000000 +REG 0000000000000000 +REG 0000000000000040 +REG 000000000000B311 +REG 00000000C8CF6001 +REG FFFFFFFFFFFFFFE6 +REG 0000000000000020 +REG 0000000000000000 +REG 00000000C8C80000 +REG 0000000000000000 +REG 0000000000000000 +REG 0016300000003000 +REG FFFFFFFF3737FFFF +REG FFFFFFFF37374CEE +REG FFFFB35F09330003 +REG 0000000020000000 +REG FFFFFFFFFFFFFFE6 +REG 00004CA0F04CFFFC +REG 000000013EBA0000 +REG FFFFFFFFFFF00000 +REG 0000000000000000 +REG 00000000C8C80000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000C6C80000 +REG FFFFFFFFE0000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE6 +REG 0000000109008840 diff --git a/tests/367.bin b/tests/367.bin new file mode 100644 index 0000000..cb9d344 Binary files /dev/null and b/tests/367.bin differ diff --git a/tests/367.out b/tests/367.out new file mode 100644 index 0000000..27f71e0 --- /dev/null +++ b/tests/367.out @@ -0,0 +1,32 @@ +REG 313FFFFE31EEBDFB +REG 0000000000000008 +REG 0000000000D13B51 +REG 0000000000000000 +REG 4000CEC0400000C0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000003B50 +REG 0000000000000000 +REG 9039DC0000D13B51 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 6FC623FFFF2EC4AF +REG FFFFFFFFFFFFFDDF +REG 0000000000000776 +REG 0000000000007BF1 +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFFFFF862F +REG E770000344000000 +REG 0000000000000000 +REG 0000000000007BF9 +REG 0000000000000000 +REG 0000000000007BF1 +REG 0000000000000221 +REG FFFFFFFFFFFFFDDE +REG 0000000000000000 +REG 09798FFF4DF3067B +REG 0000000049104888 diff --git a/tests/368.bin b/tests/368.bin new file mode 100644 index 0000000..b2ce1d8 Binary files /dev/null and b/tests/368.bin differ diff --git a/tests/368.out b/tests/368.out new file mode 100644 index 0000000..69dcd62 --- /dev/null +++ b/tests/368.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFE4A2 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFE41B +REG 0000000000000360 +REG 0000000000000000 +REG 0000000000000040 +REG 000000000000001B +REG 0000007D2B801E89 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000019 +REG 0100000000000000 +REG 0000000000007F6B +REG 0000000000000040 +REG 000000000000003F +REG 0000000000000000 +REG 000000000000001B +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000006953 +REG 0000FFFFE0000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE5 +REG 0000000000000000 +REG FFFFFFFFFFFFE4A2 +REG 0000000000000000 +REG FFFFFFFFFFFFFA57 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000049E10410 diff --git a/tests/369.bin b/tests/369.bin new file mode 100644 index 0000000..e856979 Binary files /dev/null and b/tests/369.bin differ diff --git a/tests/369.out b/tests/369.out new file mode 100644 index 0000000..8d7e91c --- /dev/null +++ b/tests/369.out @@ -0,0 +1,32 @@ +REG 922020318F93FC23 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 000000000000001F +REG 20202020201C0020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000005 +REG 000000000000011D +REG 20202020201C0020 +REG 0000000000000000 +REG 0000000004749800 +REG 0000000000000000 +REG 00000000007FFFFE +REG DFDFDFDFDFE3FFDF +REG 7C00000000000000 +REG FFFFFFFFBBFFFFDC +REG 0000000000000000 +REG 0000000000000000 +REG 000000006B220000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000781 +REG 0000000000000000 +REG 0000000000004523 +REG C3CF02D6C3CF02D6 +REG 0000000000000000 +REG 0000000088109108 diff --git a/tests/37.bin b/tests/37.bin new file mode 100644 index 0000000..c6e271d Binary files /dev/null and b/tests/37.bin differ diff --git a/tests/37.out b/tests/37.out new file mode 100644 index 0000000..adbb651 --- /dev/null +++ b/tests/37.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBE +REG 0000000000000020 +REG 0000000000000000 +REG 0000000011152E7D +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000041 +REG 0000000020008440 +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000800000000 +REG 0000000000000020 +REG 0000000000000042 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 000000FFFF000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000002E7D +REG 0000000000000041 +REG 0000000000000000 +REG 0000000000000A41 +REG FFFFFFFFFFFFFFFF +REG 0000000080010881 diff --git a/tests/370.bin b/tests/370.bin new file mode 100644 index 0000000..da86342 Binary files /dev/null and b/tests/370.bin differ diff --git a/tests/370.out b/tests/370.out new file mode 100644 index 0000000..42a8267 --- /dev/null +++ b/tests/370.out @@ -0,0 +1,32 @@ +REG FFFFFFFFB4C40876 +REG 0000000000007511 +REG FFFFFFFFFC80C15B +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 00000EB100000EB4 +REG FFFE3FD0503C48A0 +REG 0000E31D0000E31D +REG 000000000000001F +REG 0000000020000430 +REG 0000000000000040 +REG 0000000000000000 +REG 000C3FAA4B15EB42 +REG 0000000000000002 +REG 0000000000000020 +REG 0000E31D0000E315 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000067B8 +REG FFFF1CE2FFFFFAA1 +REG 0000000000001F00 +REG FFFFFFFFFFFFFFDE +REG 0000000000000000 +REG 0000000000390000 +REG 00000000003C48A0 +REG 0000000050880890 diff --git a/tests/371.bin b/tests/371.bin new file mode 100644 index 0000000..e3e496d Binary files /dev/null and b/tests/371.bin differ diff --git a/tests/371.out b/tests/371.out new file mode 100644 index 0000000..f80655c --- /dev/null +++ b/tests/371.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFF8C81 +REG 00000A8280000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFEAFB +REG 0000000000000000 +REG 0000000000002159 +REG 0000000084840082 +REG FFFFFFFF7FFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000002159 +REG FFFFFFFFFFFFEAFB +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF7FFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFF000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000020000000 +REG 0000000000001505 +REG FFFFFFFF7FFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000043 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000021E7BE8 +REG 0000000109080104 diff --git a/tests/372.bin b/tests/372.bin new file mode 100644 index 0000000..80635d9 Binary files /dev/null and b/tests/372.bin differ diff --git a/tests/372.out b/tests/372.out new file mode 100644 index 0000000..f22f2e8 --- /dev/null +++ b/tests/372.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFDF +REG 0000002000000001 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 00000000CC8D0002 +REG 00000000A1D80000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFBD7E4FDC +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF00FFFFFF +REG 0000000000000001 +REG FFFFFF00FFFFFFFF +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000007E0000 +REG 0000000040000000 +REG 0000000040000002 +REG 0000002000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000040000000 +REG 0000000000000002 +REG FFFFFFFF7FFFFFFF +REG 00000000003FE000 +REG FFFFFFFFFFFFFFFF +REG 00000000809A0089 diff --git a/tests/373.bin b/tests/373.bin new file mode 100644 index 0000000..735a798 Binary files /dev/null and b/tests/373.bin differ diff --git a/tests/373.out b/tests/373.out new file mode 100644 index 0000000..9159fc0 --- /dev/null +++ b/tests/373.out @@ -0,0 +1,32 @@ +REG FFFFFFFFBB068082 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000000113E0 +REG 0000000000010000 +REG FFFFFFFFBB077B7C +REG 0000000000000000 +REG 0000001F00000020 +REG 0000000044F88483 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFDFFFFFFFFF +REG FFFFFFFFFF37D0FE +REG 0000000000000000 +REG 0000000044F88483 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFBB0FFF7D003 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFBB077B7C +REG 0000000000000000 +REG 003FFFFFBB077B7D +REG 0000000000000000 +REG FFFFFFFFFFFE0401 +REG FFFFFFFFFF80007F +REG FFFFFFFFFFC00000 +REG 000000008A688800 diff --git a/tests/374.bin b/tests/374.bin new file mode 100644 index 0000000..b208e86 Binary files /dev/null and b/tests/374.bin differ diff --git a/tests/374.out b/tests/374.out new file mode 100644 index 0000000..13b90ec --- /dev/null +++ b/tests/374.out @@ -0,0 +1,32 @@ +REG B3AC0E154416DE79 +REG FFFFFFFFFFFFFFC3 +REG FFFFFFFEFFFFFFFE +REG 0000000000000000 +REG 00000000000062EE +REG 0000000003000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFDFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 9FFFFFFFFFFFFFFF +REG 9FFFFFFFFFFFFFFF +REG F780000000000000 +REG FEFFFFFFFEFFF80F +REG 087FFFFFFFFFFFFF +REG FEFFFFFFFEFFF7D2 +REG 687FFFFFFFFFFFFF +REG 00000000E94DFFFE +REG 0000006047C00000 +REG 0000000000000000 +REG 000100000002000F +REG FFFFFFFFDFFFF8A2 +REG FFFFFF89FFFFFFC3 +REG 000000005CFB0001 +REG 687FFFFFFFFFFFFF +REG 01D7400000000000 +REG FFFFFFFFFFFFFFC3 +REG FFFFFFFF16B1FF93 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080810910 diff --git a/tests/375.bin b/tests/375.bin new file mode 100644 index 0000000..0b5e5f2 Binary files /dev/null and b/tests/375.bin differ diff --git a/tests/375.out b/tests/375.out new file mode 100644 index 0000000..57156fd --- /dev/null +++ b/tests/375.out @@ -0,0 +1,32 @@ +REG 00000000F55F85C3 +REG FFFFFFFF8E0BFFFF +REG 0000000071F40000 +REG 7E00045A16532010 +REG 00000000003FFC00 +REG 81FFFBA5E9ACAE7E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFF7B540ED6 +REG 0000000000000000 +REG 00000000F6A81DAC +REG 0000000000000000 +REG 7E00045A16532010 +REG FFFFFFFF7B540ED6 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000084AB8583 +REG 000000000000A96A +REG 0000000000000020 +REG 0000000000000000 +REG 000001FFFFFFFFFF +REG 0000000000000000 +REG 00000000129F0000 +REG 0000000000000000 +REG 7E00045A1653200F +REG 0000000000000000 +REG 7E00045A16535181 +REG 0000000000000040 +REG 000000008001140A diff --git a/tests/376.bin b/tests/376.bin new file mode 100644 index 0000000..153f274 Binary files /dev/null and b/tests/376.bin differ diff --git a/tests/376.out b/tests/376.out new file mode 100644 index 0000000..316a485 --- /dev/null +++ b/tests/376.out @@ -0,0 +1,32 @@ +REG 0001340067FE1518 +REG 0000000000000007 +REG 0000000000000000 +REG FFFFFFFFEE370007 +REG 000134006001740C +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000A29C0000 +REG 0000000000000000 +REG 0000000001FFFF6A +REG 0000000000000002 +REG FFFFFFFFFFFFFFF6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000FFFFFFF6 +REG 0000000007FFFFFF +REG 0000000000000004 +REG 0000000000000000 +REG 00000000000027E2 +REG 0000000000000000 +REG 0000000002000000 +REG 000134006001EB08 +REG 0000000000000000 +REG 000134006001EAE7 +REG FFFFFFFFFFFFFFF6 +REG 00000000FFFFFFF6 +REG 0000000090910913 diff --git a/tests/377.bin b/tests/377.bin new file mode 100644 index 0000000..fa6439c Binary files /dev/null and b/tests/377.bin differ diff --git a/tests/377.out b/tests/377.out new file mode 100644 index 0000000..0dfcbfe --- /dev/null +++ b/tests/377.out @@ -0,0 +1,32 @@ +REG 08080E0808180F7E +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000004C090000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000004571FF +REG 0000000000002660 +REG 0000000000000040 +REG FFFFFFFFB3F8FFFF +REG FFFFFFFFFFF1FFFF +REG 00000115C7FFFFFF +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFFFC0007F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFFB3F8FFFF +REG FFFF000000000000 +REG 0000000000000020 +REG 390000343FFF77BF +REG 0200000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFEA +REG 00000000000024D3 +REG 390000343FFF77BF +REG 0000000041000810 diff --git a/tests/378.bin b/tests/378.bin new file mode 100644 index 0000000..834ba43 Binary files /dev/null and b/tests/378.bin differ diff --git a/tests/378.out b/tests/378.out new file mode 100644 index 0000000..30450bc --- /dev/null +++ b/tests/378.out @@ -0,0 +1,32 @@ +REG FFFFFFFFE81753A7 +REG FFFFFFFF80000040 +REG 0000C4568445F1B1 +REG 000000005000AC59 +REG 0000000000000000 +REG FFFFE15300000000 +REG 0000000050000C48 +REG 0000000080000000 +REG 0000C4568445F1B1 +REG 0007FFFFFFFF9A2F +REG 0000000000000000 +REG 0000000000000000 +REG 0000C4568DEDF1B1 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00001EACFFFFFFFF +REG 0000C4568DEDF1B1 +REG FFFF9FFFB8181AF5 +REG 000E400000000000 +REG 0000000000000019 +REG 0000000000000000 +REG 117C6C6111606C61 +REG 0000C00000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0007FFFFFFFF9A2F +REG 0000000000000500 +REG 0000000000000000 +REG FFFFFFFFFCEFC9EA +REG FFFFE153030F0000 +REG 0000000109FF1440 diff --git a/tests/379.bin b/tests/379.bin new file mode 100644 index 0000000..870d296 Binary files /dev/null and b/tests/379.bin differ diff --git a/tests/379.out b/tests/379.out new file mode 100644 index 0000000..740fc0d --- /dev/null +++ b/tests/379.out @@ -0,0 +1,32 @@ +REG 00000000FE001E00 +REG F7600000000FFFFF +REG 0000000000000001 +REG F760000000100650 +REG 0000000000000006 +REG 0000000900000014 +REG 0000000000000000 +REG 00000000013FFFEC +REG 000000000000003D +REG 000000000000000F +REG F760000000100650 +REG 000000170000000D +REG 0000000000000000 +REG 0000000900000051 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FBA00000027FFFD8 +REG 0000000000000012 +REG 0000000000000007 +REG 0000000000000027 +REG 0000000000000000 +REG 0000000000001FFF +REG 0000000000000000 +REG 00000000A59A1FFF +REG 0000000000000000 +REG 000000170000000D +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 00000000F0000000 +REG 0000000040000E10 diff --git a/tests/38.bin b/tests/38.bin new file mode 100644 index 0000000..b7a561a Binary files /dev/null and b/tests/38.bin differ diff --git a/tests/38.out b/tests/38.out new file mode 100644 index 0000000..cc268bf --- /dev/null +++ b/tests/38.out @@ -0,0 +1,32 @@ +REG 0000000000000029 +REG 0000000001F02C80 +REG 0000000000000010 +REG 0000000002000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000007 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFF000 +REG 0000000000000000 +REG FFFEF7FFFFFEFFDE +REG FFFFFFFFFFFFFFFF +REG 0000000040000000 +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000000 +REG FFFFFFDF02115C91 +REG 00000000A7C90000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000004000000 +REG 0000000000000000 +REG 0000000000000007 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000040000080 diff --git a/tests/380.bin b/tests/380.bin new file mode 100644 index 0000000..0ae3830 Binary files /dev/null and b/tests/380.bin differ diff --git a/tests/380.out b/tests/380.out new file mode 100644 index 0000000..7608cd4 --- /dev/null +++ b/tests/380.out @@ -0,0 +1,32 @@ +REG 00FFFFFE4770DCB7 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 00000001B88F23B8 +REG 0000000000000040 +REG FFFFFFFFFFFFFFBF +REG 0006E24000000000 +REG 00000000B27D0000 +REG 000007FFFFFFFFFF +REG FFFFFFFFFFFFFF23 +REG FFFFF91DC0000000 +REG 00000001B88EC8A5 +REG FFFFF7FFFFFFD79A +REG FF00000000000040 +REG 00000000B27DAF73 +REG 00000000989FC069 +REG 0000000000000000 +REG 0800000000000000 +REG 0000000000000000 +REG F800000000008B97 +REG 0000000000000006 +REG 0000000000008B98 +REG 0000000000000000 +REG FFFFFFFFFFFFBB26 +REG FFFFF91DC0000000 +REG 0000000000000000 +REG 00000000989F34D1 +REG 0000000000000000 +REG FFFFFFC00001FFFF +REG 0000000080091104 diff --git a/tests/381.bin b/tests/381.bin new file mode 100644 index 0000000..9007311 Binary files /dev/null and b/tests/381.bin differ diff --git a/tests/381.out b/tests/381.out new file mode 100644 index 0000000..435b9d9 --- /dev/null +++ b/tests/381.out @@ -0,0 +1,32 @@ +REG 000002DF00001424 +REG FFFFEC20FFFF9C00 +REG 0000000000005E1A +REG 0000000006000505 +REG 0000130515D013CB +REG 0000000000000000 +REG 0000000003FFFD43 +REG 0034AFFFFFD20FBE +REG 00000000000016FB +REG FFFFFFFFFFFFA1E5 +REG 0000000000005E1B +REG 0000000000008041 +REG 0000000000000500 +REG FFFFFFFF9EB478F8 +REG FFFFFD20FFFF834A +REG 0000000000000005 +REG FFFFFD20FFFFFD20 +REG FFFFFFFF9EB478F8 +REG 0000000000001400 +REG FFFFFD21FFFEBA8C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000005 +REG FFFFFD20FFFFFD20 +REG 0034AFFFFFD20FFF +REG 0000000000080000 +REG FFFFEC2100002460 +REG 0000000000000000 +REG 00000000000008F8 +REG FFCB5000002E06FC +REG 00000000000016FB +REG 0000000091108890 diff --git a/tests/382.bin b/tests/382.bin new file mode 100644 index 0000000..d4d02c1 Binary files /dev/null and b/tests/382.bin differ diff --git a/tests/382.out b/tests/382.out new file mode 100644 index 0000000..7e46e26 --- /dev/null +++ b/tests/382.out @@ -0,0 +1,32 @@ +REG 00000000FFFFFFFE +REG 0000000000000000 +REG 0008000000080000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000015 +REG 00005ABA27240000 +REG 0000050100000501 +REG 0000000000000000 +REG FFE02E000000000F +REG 0000007E0000007E +REG 000000000000003A +REG 0000050100000501 +REG 00005ABA27240000 +REG 000000007A690000 +REG FFFFFFFFFFA00000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000000036F7 +REG 0000000000000501 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 000000000000003D +REG 0000000019371DBB +REG 0000000080800000 diff --git a/tests/383.bin b/tests/383.bin new file mode 100644 index 0000000..2ddd1b4 Binary files /dev/null and b/tests/383.bin differ diff --git a/tests/383.out b/tests/383.out new file mode 100644 index 0000000..fbf28bc --- /dev/null +++ b/tests/383.out @@ -0,0 +1,32 @@ +REG 100000A3895000A3 +REG 0000000000000000 +REG 000000000000001A +REG FFFFFFFF00515D8F +REG 00030E0000000600 +REG 0000000000000000 +REG FEB9FFFFFFFE7FFF +REG 000000007EF10000 +REG 0146000000000000 +REG FFFFFFFFD2CF0A30 +REG 0000000000000000 +REG 000000000000001A +REG 0000000000000000 +REG FFFFE200F637FB1E +REG 000000000000942D +REG 0000000000000000 +REG 0000000000000304 +REG 0000000000000000 +REG FFFFE00000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000002C50 +REG 0000000000000000 +REG 00030E0001000600 +REG FFFFE2000014FB1E +REG 00001FFFFFFF77F4 +REG 00000000D2CF0A30 +REG 0000000000000000 +REG 00030E0001000600 +REG 00001FFFFFFFE870 +REG 0000000000000000 +REG 000000005F111029 diff --git a/tests/384.bin b/tests/384.bin new file mode 100644 index 0000000..e60c6b1 Binary files /dev/null and b/tests/384.bin differ diff --git a/tests/384.out b/tests/384.out new file mode 100644 index 0000000..1ca7161 --- /dev/null +++ b/tests/384.out @@ -0,0 +1,32 @@ +REG 0808080FA7FF4C4E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFA2FEBECB +REG 00000008A2FE5F69 +REG 0000000000000000 +REG FFFFFFFFFE80DFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFF75D01B6A8 +REG 0000000000008009 +REG 06FFFFFFFFFFDD3F +REG E00003D2DBBAE80D +REG 000000000000F4B6 +REG 000000005D01B6AB +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000009000 +REG 00000008A2FEBECB +REG 00000008A2FE5F65 +REG 0000000000000000 +REG 000000003C429000 +REG 0000000000000000 +REG 0000000000000000 +REG 0808080705010503 +REG FFFFFFFFFFFFFFFF +REG 06FFFFFFFFFFDD40 +REG 000000011E001E00 diff --git a/tests/385.bin b/tests/385.bin new file mode 100644 index 0000000..f93c549 Binary files /dev/null and b/tests/385.bin differ diff --git a/tests/385.out b/tests/385.out new file mode 100644 index 0000000..88eab10 --- /dev/null +++ b/tests/385.out @@ -0,0 +1,32 @@ +REG 7FFFFFFF8A5108C5 +REG FFFFFFFFFFFFFFFF +REG FFFFFC7331920F1B +REG 0000000000000000 +REG FFF15680F1990000 +REG FFFFFFFFFFFFFFA3 +REG 7FFFFFFFFFC00000 +REG 0000000000003FF0 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000A5FC +REG 0000000000000040 +REG 0000000EA97F0E67 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000003C29FFFF +REG FFFFFFFFFFFFFFD1 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000060 +REG FFFFFFFFFFFFAD24 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE3 +REG 06B9F1AD06B9F1AD +REG FFFFFFFFFFFFAD24 +REG 00000000297F0E6F +REG 000EA97F0E670000 +REG FFFFFFFFFFFFAF6C +REG 00000000811E4609 diff --git a/tests/386.bin b/tests/386.bin new file mode 100644 index 0000000..3346925 Binary files /dev/null and b/tests/386.bin differ diff --git a/tests/386.out b/tests/386.out new file mode 100644 index 0000000..3285b61 --- /dev/null +++ b/tests/386.out @@ -0,0 +1,32 @@ +REG A6E2545766FC1FFF +REG 0000000000000005 +REG 0000000000000000 +REG FFFFFFFFFB280000 +REG 00000000000056BE +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFF3D4C4 +REG FFFFFFFFFEFDFDDB +REG FFFFFE0000000000 +REG 0000000000000000 +REG 0000000000026F00 +REG 000000000000000B +REG 000000000000000A +REG 0000000000026F00 +REG 0000000000000000 +REG 001FFFE04130A800 +REG FFFFFFFFFFFFF75C +REG FFFFFFFFFFFF99D5 +REG 0000000001020225 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFF401D0 +REG 0000000000000005 +REG 0000000000000000 +REG FFFFFFFFFFF3D4C4 +REG 0000000000000000 +REG 0000010004007FFA +REG 0038400026F00000 +REG DE000004DE000004 +REG FFC7BFFFFFC20F9F +REG 0000000048810110 diff --git a/tests/387.bin b/tests/387.bin new file mode 100644 index 0000000..bfa6c9a Binary files /dev/null and b/tests/387.bin differ diff --git a/tests/387.out b/tests/387.out new file mode 100644 index 0000000..be35496 --- /dev/null +++ b/tests/387.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFF80 +REG 00000000000014EA +REG 0000000000000000 +REG FFFFFFFFC2811A45 +REG 0000000000000000 +REG 000000002A6FF049 +REG FFFFFFFFFFFFFFFF +REG 0000000000003941 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0808080808080808 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF979F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000005AB5 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000111100010 diff --git a/tests/388.bin b/tests/388.bin new file mode 100644 index 0000000..c352a35 Binary files /dev/null and b/tests/388.bin differ diff --git a/tests/388.out b/tests/388.out new file mode 100644 index 0000000..1e3f206 --- /dev/null +++ b/tests/388.out @@ -0,0 +1,32 @@ +REG 96E4DDFFFFFFFFE0 +REG FFFFE0C113A25991 +REG E67FFFFF5C2333C0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000027 +REG 0000000000000000 +REG 691B22000000003F +REG 691B22000000001F +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFF21E +REG 0000000000001BE8 +REG 0000000000000000 +REG FFFFFFFFFFFFF83F +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG E67FFFFF5C23B7E2 +REG 0000006440000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000001BE9 +REG 0000000000000000 +REG 0000000000000000 +REG 000000005C2333C0 +REG FFFFFFFFFFFFFFFF +REG 1996C17182C4A343 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF9BBFFFFFBF +REG 000000005E6FB011 diff --git a/tests/389.bin b/tests/389.bin new file mode 100644 index 0000000..6bcabc4 Binary files /dev/null and b/tests/389.bin differ diff --git a/tests/389.out b/tests/389.out new file mode 100644 index 0000000..5dbd4e1 --- /dev/null +++ b/tests/389.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000020888020 +REG FFFFFFFFFFF20000 +REG 0000000000005A71 +REG 0000000000000000 +REG 5020000000000022 +REG 0000000000000000 +REG E000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 5020000000000022 +REG 00000000C7CD5A71 +REG 6020000000000000 +REG E000000000000020 +REG 5020000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000002000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000C7CD5A71 +REG E000000000000020 +REG 4004000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG F000000000000000 +REG 0000000000000000 +REG 0000000041E1001F diff --git a/tests/39.bin b/tests/39.bin new file mode 100644 index 0000000..565f646 Binary files /dev/null and b/tests/39.bin differ diff --git a/tests/39.out b/tests/39.out new file mode 100644 index 0000000..96cb9e4 --- /dev/null +++ b/tests/39.out @@ -0,0 +1,32 @@ +REG 0000000000000001 +REG 000000000000001A +REG 0000000000000000 +REG 04002BFFFFFFCBEA +REG 03FFFFFFFFFF9FAB +REG 0000000000002C40 +REG 0000000000000000 +REG 00002C0000002C40 +REG FC002C0000006C7F +REG 0000000020000000 +REG 0000000000000000 +REG FFFFD3FFFFFFD3C0 +REG 0000000000000000 +REG FE5F57FFFE5F03FF +REG 00002C0000002C40 +REG 0000000000000000 +REG 000FFFFFFFFFFBFF +REG 000003FFFFFF9000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FC002C0000006C7F +REG FFFFD3FFFFFFD3C0 +REG 3FFFFFFFFFF9FAA0 +REG 03FFFFFFFFFF9FAA +REG 00002BFFFFFFF461 +REG 00002BFFFFFFF461 +REG 03FFFFFFFFFF9FAA +REG FFFC7FFFF6A3FFDF +REG 0000000000000000 +REG 000003FFFFFFD040 +REG 0000000050909C41 diff --git a/tests/390.bin b/tests/390.bin new file mode 100644 index 0000000..1b29097 Binary files /dev/null and b/tests/390.bin differ diff --git a/tests/390.out b/tests/390.out new file mode 100644 index 0000000..aa418d9 --- /dev/null +++ b/tests/390.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG FFFFFFFFD50A0000 +REG 0000000000000002 +REG 00000000DA770020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000003989 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFF9DD +REG 0000000000000018 +REG 0000000000000000 +REG 00000000000F8000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000006 +REG 000000095FFE200F +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000040000000 diff --git a/tests/391.bin b/tests/391.bin new file mode 100644 index 0000000..1d3d02a Binary files /dev/null and b/tests/391.bin differ diff --git a/tests/391.out b/tests/391.out new file mode 100644 index 0000000..4a946db --- /dev/null +++ b/tests/391.out @@ -0,0 +1,32 @@ +REG 000000000000679B +REG 0000000000000000 +REG F920000024400000 +REG FFFFF6F5FB5FB765 +REG FFFFF6F5FB57B765 +REG 0000000000000020 +REG FFFFF6F5FB57B766 +REG 0000000000000000 +REG 0000000000000005 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000060 +REG 0000000000000000 +REG 0000000000142400 +REG FFFFF6F5FB57B766 +REG 0000000000000020 +REG FFFFF90E04A0489A +REG FFFFF00400000000 +REG FFFFF00400000000 +REG 0000000000000000 +REG FFEA745EFFEA745E +REG FFFFF00400000000 +REG FFFFFFFFFFFFFF9A +REG 000000007FFFFF00 +REG FFFFFFFF8000001F +REG FFFFFFFFFFFFFFFF +REG 000000000000001A +REG 000000000000679B +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF9A +REG 0000000108089110 diff --git a/tests/392.bin b/tests/392.bin new file mode 100644 index 0000000..0d42560 Binary files /dev/null and b/tests/392.bin differ diff --git a/tests/392.out b/tests/392.out new file mode 100644 index 0000000..ff24e5b --- /dev/null +++ b/tests/392.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFAE67 +REG 00000000000053E1 +REG 0000000000000000 +REG 000000000FB8FFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFAC1E +REG 0000000000000019 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000445A0000445A +REG FFFFFFFFFFFFA000 +REG 00000000000F07FF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFF7F7F7F7 +REG FFFFFFFF00000000 +REG 0000000000000019 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000005421 +REG 00000000478F0000 +REG 028EC00002800000 +REG FFFFFFFF8FB8FFFF +REG 0808080808080809 +REG 0000000000000001 +REG 0000000000150840 +REG FFFFFFFFD0000000 +REG 0000000000000000 +REG 0000000091101081 diff --git a/tests/393.bin b/tests/393.bin new file mode 100644 index 0000000..7ba3130 Binary files /dev/null and b/tests/393.bin differ diff --git a/tests/393.out b/tests/393.out new file mode 100644 index 0000000..91919d0 --- /dev/null +++ b/tests/393.out @@ -0,0 +1,32 @@ +REG FC00096073F8480E +REG 0000000000000000 +REG FFFFFFFFFFFBE725 +REG FFFF000400000007 +REG 00000000000018DA +REG 00000000000066B9 +REG 00000000094C0000 +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG FF8002FFF80002FF +REG 000000002FF8404D +REG FFFFFFFFFFFFF800 +REG FFFFFFFFFFFFFFFF +REG 0000000000000800 +REG 0000000000000000 +REG FFFFFFFFFFFFA98E +REG 000000008FF8400F +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000008FF8400F +REG FFFFFFFFFE000800 +REG 000000000F000000 +REG 0000000000672929 +REG 0000000000000000 +REG 0000000000000024 +REG 000000000F000000 +REG 0000000000000000 +REG 00000000000018DA +REG 0000000000000000 +REG 0000000000006729 +REG 0000000000040000 +REG 000000009110801E diff --git a/tests/394.bin b/tests/394.bin new file mode 100644 index 0000000..0d33c49 Binary files /dev/null and b/tests/394.bin differ diff --git a/tests/394.out b/tests/394.out new file mode 100644 index 0000000..2e2c04a --- /dev/null +++ b/tests/394.out @@ -0,0 +1,32 @@ +REG FB30000063CB3393 +REG 000000003FFFDF9A +REG 001C80B19232CE00 +REG 0000000000000000 +REG 000000000000000B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040000000 +REG 000000000000000B +REG 0000000000001DD6 +REG 04D0000004BFEB5B +REG 0000000000000000 +REG 000000000000000B +REG FFFFFFFFC6B76D85 +REG 0000000004C00000 +REG 0000000000000000 +REG 0000000008000000 +REG FB30000063BAFF01 +REG 0000000000000000 +REG 0000000000000100 +REG 0000000000000000 +REG 04CFFFFF9C44FFDF +REG FFFFFFFFFFFFFFFF +REG 0000000044C00013 +REG 0000000004C00000 +REG FFFFFFFFC00000EB +REG 0000000000000000 +REG 0000000000006D85 +REG 0000000000000000 +REG 0000000000720300 +REG 000000000000004B +REG 0000000040000110 diff --git a/tests/395.bin b/tests/395.bin new file mode 100644 index 0000000..5b24c03 Binary files /dev/null and b/tests/395.bin differ diff --git a/tests/395.out b/tests/395.out new file mode 100644 index 0000000..e6b6c8c --- /dev/null +++ b/tests/395.out @@ -0,0 +1,32 @@ +REG FFFFFFFFB0000C00 +REG 0000000000000000 +REG 0000000000000037 +REG FFFFFE50400003FF +REG 0000000000000036 +REG 0000000000000000 +REG FFFFFFFF98730000 +REG 000000000000001F +REG FFFFFFFFF7803978 +REG 0000000000000005 +REG 0000000000000078 +REG 0000000000F70000 +REG FFFFE7C48B903979 +REG FFFFFFFFFFFFFFFF +REG 00000000087FC687 +REG FFFFFFEFFFFFFFEF +REG 0000000000001CCE +REG 0000183B746FC686 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF94100000 +REG 0000000000000000 +REG 000000000077FFE0 +REG 00000000400003FF +REG 0000001F00000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000007963 +REG 0000000000000001 +REG 0000000000000001 +REG 0000000041091008 diff --git a/tests/396.bin b/tests/396.bin new file mode 100644 index 0000000..7e79c90 Binary files /dev/null and b/tests/396.bin differ diff --git a/tests/396.out b/tests/396.out new file mode 100644 index 0000000..a3ee34b --- /dev/null +++ b/tests/396.out @@ -0,0 +1,32 @@ +REG 00000001FFFFDADC +REG FFFFFFFFFFFFFED8 +REG 00000000FFF7E000 +REG 0000000080000000 +REG FFFFFFFFC0000000 +REG FDFFFFFC0000400D +REG FFFFFFFFFFFFBFF3 +REG 000001FFCC000000 +REG FFFFFFE5FFE01FE5 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 02000003FFFFBFF3 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FF981000001E0000 +REG 0000000000000000 +REG 00000000DC830000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF3 +REG 0000000000003478 +REG 0000000000000000 +REG FFFFFFE5FFE01FE5 +REG 0000000000000000 +REG FFFFFFFF5D9DFFFF +REG F000064EF000060E +REG 0000000081F08818 diff --git a/tests/397.bin b/tests/397.bin new file mode 100644 index 0000000..e44468a Binary files /dev/null and b/tests/397.bin differ diff --git a/tests/397.out b/tests/397.out new file mode 100644 index 0000000..4e262ca --- /dev/null +++ b/tests/397.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG F3FFFFFFFFFFFFDF +REG 0000000052D9B9ED +REG 0000000000000000 +REG FFFFFFFFFFFBFFFF +REG 0C000007FDFF0021 +REG 000000003AA10000 +REG 00000C0000000000 +REG F3FFFFFFFFFFFFFE +REG 0C00000000000000 +REG 0000000000000000 +REG 007AC400007AC400 +REG FFFFFFFFFFFFFFED +REG 0C00000000000001 +REG FB8AF80012BE2024 +REG F3FFFFFFFFFFFFDF +REG 0000000000808000 +REG 0000000000000001 +REG 0000000000000001 +REG 0000000000000000 +REG 007AC400007AC400 +REG AC42C00000000007 +REG 0000000000000000 +REG 0000000052D9B9ED +REG FFFFF40000003276 +REG 00000007FDFF0000 +REG 0000000000000000 +REG 0000000100910090 diff --git a/tests/398.bin b/tests/398.bin new file mode 100644 index 0000000..97ac467 Binary files /dev/null and b/tests/398.bin differ diff --git a/tests/398.out b/tests/398.out new file mode 100644 index 0000000..8192fae --- /dev/null +++ b/tests/398.out @@ -0,0 +1,32 @@ +REG 0000FFA9B27FFF81 +REG 0000FFA9B27FFF80 +REG 0000000000000000 +REG 00000000000780DF +REG 0000000000000000 +REG 0000007FD4D93FFF +REG 0000000000000000 +REG 000000000F000000 +REG 0000FFA9B27FFF80 +REG FFFFFFFF5364FFFF +REG 0000000000000000 +REG 20000000092D4000 +REG 0000000029B27FFF +REG 0000000000000000 +REG 0000000000033000 +REG FFAE5AFE880800A9 +REG 0000000000000000 +REG 0000FFA9B27FFF80 +REG 00000000EC61CDC8 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFEB96BFA202002A +REG FFFFFFFF9BD60000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000CDC8 +REG 000000009E810442 diff --git a/tests/399.bin b/tests/399.bin new file mode 100644 index 0000000..b75bc6f Binary files /dev/null and b/tests/399.bin differ diff --git a/tests/399.out b/tests/399.out new file mode 100644 index 0000000..e8dfeb5 --- /dev/null +++ b/tests/399.out @@ -0,0 +1,32 @@ +REG CBE840033FA25FE9 +REG 000005BABBBB59FB +REG 00000000000071C5 +REG 0000000000D1AB2A +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFF9A +REG FFFFFFFFFF97BABD +REG 4760002148844863 +REG 0000000000000000 +REG FFFFFFFFFF96B375 +REG CBE84003B7270000 +REG 0000000000000000 +REG 8CCDC7C67FFFCD9A +REG CBE8400339D10000 +REG 0000000000000000 +REG 0000000000000040 +REG B89FFFDEFFFFFFDE +REG FFFFFFFFFFFFFFF2 +REG 306965E780000000 +REG 0000000000000001 +REG 0000000000000020 +REG 230CDFCCF9D3076F +REG 0000000000000240 +REG 0000000000000000 +REG 0000000000000018 +REG 0000000006965E78 +REG EFEB4D0FBFFFFFFF +REG 0000000000000000 +REG CBE84003B7270000 +REG 00000000807E851D +REG 4760002100000021 +REG 0000000110000871 diff --git a/tests/4.bin b/tests/4.bin new file mode 100644 index 0000000..d751afd Binary files /dev/null and b/tests/4.bin differ diff --git a/tests/4.out b/tests/4.out new file mode 100644 index 0000000..a3a1057 --- /dev/null +++ b/tests/4.out @@ -0,0 +1,32 @@ +REG FFFFFFFF8D182127 +REG 0000000000000000 +REG 0000000032191E12 +REG 0000000000003551 +REG FFFFFFFFFFFFFA6A +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000020 +REG 0000000100000001 +REG 0000000000000000 +REG 0000000100000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000000 +REG 00000000BC770040 +REG 0000000020190000 +REG 0000000000000000 +REG 0000000000000000 +REG 0103FFFFBF016167 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 000383FFFFFFCBFA +REG 0000000000000000 +REG 0000000032190040 +REG 004C13C7004C13C7 +REG FFFFFFFFFFFFFFFF +REG FEFC000040FFBF81 +REG 0000000032190040 +REG 0000000049FE9070 diff --git a/tests/40.bin b/tests/40.bin new file mode 100644 index 0000000..2100f83 Binary files /dev/null and b/tests/40.bin differ diff --git a/tests/40.out b/tests/40.out new file mode 100644 index 0000000..69cd13f --- /dev/null +++ b/tests/40.out @@ -0,0 +1,32 @@ +REG 0FFFE796A32C3CC8 +REG 00000000000000FF +REG FFFFFFFFFFFFFFEF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0FFFFFFFFFF8003F +REG 0FFFFFFFFFF8003F +REG 0000000000000000 +REG FFFFFFFFB5D9C6B3 +REG 000018695C000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000004000 +REG 0000000000000000 +REG F00000000007FFC0 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000200000000001 +REG 000000000000003F +REG 0000000000000000 +REG 00000000003FF07F +REG FFFFFFFFFFFFDA9A +REG FFFFE48BBEF2BC8B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080110A88 diff --git a/tests/400.bin b/tests/400.bin new file mode 100644 index 0000000..4b9999c Binary files /dev/null and b/tests/400.bin differ diff --git a/tests/400.out b/tests/400.out new file mode 100644 index 0000000..a1166cc --- /dev/null +++ b/tests/400.out @@ -0,0 +1,32 @@ +REG E4CEFFFFFFFFFFF4 +REG 0000000000000002 +REG FFFFFF96CF9ED328 +REG CD68000DE2A00630 +REG 00000000E2A00630 +REG 0000000000000009 +REG 0000000067410003 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000003F0000003F +REG 0000000067410043 +REG 8F00000000000080 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFB7FFBD7C +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG F770FFFF6B1C0041 +REG 0000000067410000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG F770FFFF6BE3FFBE +REG FFFFFFFFFFFFFFFF +REG AD00004D70C00240 +REG FFFFFFFFFFFFFFE8 +REG 0000000000000000 +REG FFFFFFFFFFBA9A00 +REG 0000000005020000 +REG FFFFFF96CF9ED328 +REG CD68000DE2A00630 +REG 00000001008A9010 diff --git a/tests/401.bin b/tests/401.bin new file mode 100644 index 0000000..4af4a44 Binary files /dev/null and b/tests/401.bin differ diff --git a/tests/401.out b/tests/401.out new file mode 100644 index 0000000..49ede54 --- /dev/null +++ b/tests/401.out @@ -0,0 +1,32 @@ +REG 000000022A5D0440 +REG 0000000064000000 +REG 0000000000000000 +REG 00FFFFF8FFE00000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF9982 +REG 0000000000000000 +REG 0000000020000000 +REG 15232A9500002A95 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFA55D +REG 000000022A46552A +REG 0000001FC3F40000 +REG 0000000000000000 +REG FFFFFFE07FFFFFFF +REG 0000000000000000 +REG 00000000C3F40000 +REG 0000000000000000 +REG 0000000000000003 +REG 000000000016AF16 +REG 000000000000000C +REG 000000000016AF16 +REG 0000000000169717 +REG 0000000000000AF0 +REG 0000000100000000 +REG 0000004000000000 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000080088510 diff --git a/tests/402.bin b/tests/402.bin new file mode 100644 index 0000000..186fa42 Binary files /dev/null and b/tests/402.bin differ diff --git a/tests/402.out b/tests/402.out new file mode 100644 index 0000000..54d11a9 --- /dev/null +++ b/tests/402.out @@ -0,0 +1,32 @@ +REG 20007B00AA008BF0 +REG FFFFFFFF3F1DAFFF +REG 0000000011CA0000 +REG B43827E3B0000063 +REG DFFFE4FFC0000001 +REG 0000000000000000 +REG FFFFFFFFFFFC007F +REG 0000000000000000 +REG 000000000000D04D +REG FFFFFFFFFFFFFFFF +REG 0000000000000005 +REG 000000000003B00C +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG DFFC34F3BFFC53FA +REG BFAA0700BFAA0700 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000007909 +REG FFFFFFFFFFFFFFFF +REG 2003CB0C4003AC05 +REG FFFFFFFFFF800FFF +REG 0000000000000000 +REG DFFFE4FFC00A0001 +REG DFFC34F3BFFC53FA +REG 0000002000000020 +REG 20001B003F80001E +REG 0000000000000001 +REG 0000000040112831 diff --git a/tests/403.bin b/tests/403.bin new file mode 100644 index 0000000..79c3c16 Binary files /dev/null and b/tests/403.bin differ diff --git a/tests/403.out b/tests/403.out new file mode 100644 index 0000000..be87b0b --- /dev/null +++ b/tests/403.out @@ -0,0 +1,32 @@ +REG 0000000000000046 +REG 0000000000000012 +REG 0400000000000000 +REG 0000000000000000 +REG FFFFFF8000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000F0000000F +REG FFFFFF8000000000 +REG 0000000000000012 +REG 0000000000000000 +REG 0000000000000000 +REG FC00000000000000 +REG 000FC00000000000 +REG 00000000000003FD +REG FFFFFF7FFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0080000000000000 +REG 0000000000000000 +REG FFFFFFFFAF3BFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0400000000000000 +REG 000000000000001B +REG FFFFFFFFFFFFFFFE +REG 0000000000000001 +REG 0000000088080091 diff --git a/tests/404.bin b/tests/404.bin new file mode 100644 index 0000000..babca4d Binary files /dev/null and b/tests/404.bin differ diff --git a/tests/404.out b/tests/404.out new file mode 100644 index 0000000..c723949 --- /dev/null +++ b/tests/404.out @@ -0,0 +1,32 @@ +REG FFFFFFFAC0019AF6 +REG 8E50000000000000 +REG FFFFFFFFFFFF9AF3 +REG 0000000000000000 +REG 0328600000000000 +REG FFFFFFFFFFFF9A7A +REG 00000000000024C0 +REG 0000000000000000 +REG 0000000000000005 +REG 00000000001C432D +REG 00000040000DF140 +REG 0000000000000000 +REG 0000000000000000 +REG 0328600000000000 +REG 0000000000000040 +REG FFFF9A453B06F908 +REG 0000000000000000 +REG FFFFFFBFFFFFCEBF +REG 00002D2D614E9A7A +REG 00000000BA010000 +REG 001BE280000BE280 +REG FFFFFFFFEDE0DAD4 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000001CAFFF +REG FFFF9A453B0726BA +REG 0000000000080000 +REG 0000000000000000 +REG 0000000000000005 +REG 0000000001943000 +REG 0000000000000000 +REG 0000000111110891 diff --git a/tests/405.bin b/tests/405.bin new file mode 100644 index 0000000..67c98d6 Binary files /dev/null and b/tests/405.bin differ diff --git a/tests/405.out b/tests/405.out new file mode 100644 index 0000000..718ac61 --- /dev/null +++ b/tests/405.out @@ -0,0 +1,32 @@ +REG 0000000000006DD0 +REG 080808096BA9BCC8 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000311 +REG 0000000000000000 +REG 0000000000000021 +REG 0000000000000011 +REG 0000000000000FF8 +REG 0000000163A1BCC0 +REG 0000000000000000 +REG F1F2F219F1F2F219 +REG FFFFFFFDCD1C9221 +REG 00000000008E1800 +REG 0000000000006D50 +REG 0000000000000001 +REG FFFFFFFE01FF9221 +REG F7F7F7F7F7F7F7F7 +REG FFFFC63FFFFFC63F +REG 0000000000000001 +REG 0000000000000000 +REG 39E3864739C00647 +REG FFFFFFFFFFFF0000 +REG 0000000000000001 +REG 39E3864739C00636 +REG 0000000000000040 +REG 0000000000000040 +REG 0000000000400000 +REG 0000000000000000 +REG 0000000000000111 +REG FFFFFFFFFFFFAA7E +REG 0000000089E84411 diff --git a/tests/406.bin b/tests/406.bin new file mode 100644 index 0000000..8d8058d Binary files /dev/null and b/tests/406.bin differ diff --git a/tests/406.out b/tests/406.out new file mode 100644 index 0000000..d2bc8c3 --- /dev/null +++ b/tests/406.out @@ -0,0 +1,32 @@ +REG 00000000007D0009 +REG FFFFFFFFFFF7FFFF +REG 000000000FFFFFF8 +REG 0000000000000000 +REG 00000000008B92E5 +REG FFFFFFF5FFFFFFF5 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000010780000 +REG 000000000F000000 +REG 0000000000FFFF9F +REG 00000040008E4B60 +REG 000108000F000001 +REG 00000000222D0009 +REG 0000004001064B60 +REG 0000004000024B60 +REG 00000000008B92E5 +REG FFFFFFB5FFFDB494 +REG 0000000000000000 +REG 0000000000080000 +REG 000001467C316400 +REG 00000000008B8221 +REG 00000000167E0000 +REG 00010800008C0001 +REG 0000000000780000 +REG FFFFFFFFFF740000 +REG 0000000000000020 +REG FFFFF80000000000 +REG 0000000000000002 +REG 0000000000000000 +REG 000108000F000000 +REG 000000008010011E diff --git a/tests/407.bin b/tests/407.bin new file mode 100644 index 0000000..43a13ab Binary files /dev/null and b/tests/407.bin differ diff --git a/tests/407.out b/tests/407.out new file mode 100644 index 0000000..4429299 --- /dev/null +++ b/tests/407.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFB66E +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000499900004819 +REG 0000000000004998 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000006A11 +REG FFFFFFFFFFFFFFFF +REG 0000000000000030 +REG 0000000000000000 +REG 10000000000006A1 +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFB666 +REG 0000000000000000 +REG 0000000000004999 +REG FFFFFFFFFFFFF647 +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000040010001 diff --git a/tests/408.bin b/tests/408.bin new file mode 100644 index 0000000..99afa2c Binary files /dev/null and b/tests/408.bin differ diff --git a/tests/408.out b/tests/408.out new file mode 100644 index 0000000..6d2a9f7 --- /dev/null +++ b/tests/408.out @@ -0,0 +1,32 @@ +REG 0000000019E5006F +REG 0000000000007C01 +REG 0000000000000006 +REG 00000000000066B9 +REG 000000000000F748 +REG 00000A0000000200 +REG 00010000000008B8 +REG 000000000000315B +REG 0000000000003FAC +REG 000000000007FFF0 +REG 0000000000000000 +REG 0000000000D00000 +REG FFFFFFFFFFFFFFF0 +REG FFFFE4D2F9C00000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000048 +REG 000000000000311B +REG 0000000000000200 +REG 00000A0000000200 +REG 0000000000000000 +REG 00010000000008B8 +REG 0000000000000000 +REG 0000000000000040 +REG 000000000000315C +REG EDF8000FFFF86E3E +REG FFFFFFFFFFFFFF90 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000014 +REG 0000000080000688 diff --git a/tests/409.bin b/tests/409.bin new file mode 100644 index 0000000..887601f Binary files /dev/null and b/tests/409.bin differ diff --git a/tests/409.out b/tests/409.out new file mode 100644 index 0000000..978556d --- /dev/null +++ b/tests/409.out @@ -0,0 +1,32 @@ +REG 9FC6000000C638DE +REG 000003E0000003E0 +REG 0000000000000000 +REG 815C2A20815C2A20 +REG 521FFFFF686CE122 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000016 +REG 00000000B2EC0016 +REG 521FFFFF686CE122 +REG 10E7F51610E7F516 +REG FFFFFFFFF006C71B +REG 0000000000001A5F +REG 000000009F000001 +REG FFFFFFFFFFFFEC1F +REG 0000000000000000 +REG 0000000000000980 +REG FFFFFFFFFFFFFFC0 +REG DFEF503FF006C71B +REG 00000000439FD458 +REG 0000000000000000 +REG 0000000000000001 +REG 000010FF87FB4367 +REG FFFFEC1FFFFFEC1F +REG 2315B7C812FD3CE7 +REG 0000000000000000 +REG 0000000000000000 +REG 521FFFFF686CE122 +REG 0305080803040402 +REG 0000000000000000 +REG 000000009FC60000 +REG 0000000040931084 diff --git a/tests/41.bin b/tests/41.bin new file mode 100644 index 0000000..554738b Binary files /dev/null and b/tests/41.bin differ diff --git a/tests/41.out b/tests/41.out new file mode 100644 index 0000000..dda99b6 --- /dev/null +++ b/tests/41.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFDA76 +REG 0000000000000020 +REG FFDAEFFFFFDAEFFF +REG 000002588FFA6DAA +REG 0000000000000040 +REG 00255F087CE7FFFF +REG 0000000002170040 +REG 0000000000000039 +REG 07FFFFFFFFFFFFF8 +REG FFFFFFFFFFFFFFFF +REG 0000000000800000 +REG 00000000ADE10000 +REG 000000000E9D6700 +REG FFFFFFFFFFFFFFFF +REG F7FFFFFFFFFFBF3F +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFAC3DE0CF0000 +REG FFFF531B10000000 +REG FFFEB0F7833C0000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000026 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0FFFFFE0ACE485D3 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000091DFFFFD diff --git a/tests/410.bin b/tests/410.bin new file mode 100644 index 0000000..845cfd3 Binary files /dev/null and b/tests/410.bin differ diff --git a/tests/410.out b/tests/410.out new file mode 100644 index 0000000..2194796 --- /dev/null +++ b/tests/410.out @@ -0,0 +1,32 @@ +REG 0000002F6000F9AE +REG FFFFFFFFFCE2E380 +REG 0000000000000000 +REG 0000002C3FB31136 +REG FA13FFFFFF13FFFF +REG 0000000000000F90 +REG 0000000000000000 +REG 000000003FB31136 +REG 0000000000000000 +REG 0079FE0000000E00 +REG FFFFFFFFFFFF0674 +REG FFFFFFFFFCE2E37F +REG 0101010102010203 +REG 00001E9B00001E9B +REG 0000002900000029 +REG FF00000000000000 +REG 0000000000000F90 +REG FFFFFE84FFFFFE84 +REG 0000000000000027 +REG 0000000000000000 +REG 0000000000007C80 +REG FFFFFFFFFCE2E380 +REG 00000000F3FC0000 +REG 00000000031D7F80 +REG 0FED97BCFE0D58AB +REG F012684301F268AF +REG FF00000000000000 +REG 00000000000000FE +REG 4000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000048801089 diff --git a/tests/411.bin b/tests/411.bin new file mode 100644 index 0000000..70de332 Binary files /dev/null and b/tests/411.bin differ diff --git a/tests/411.out b/tests/411.out new file mode 100644 index 0000000..b383664 --- /dev/null +++ b/tests/411.out @@ -0,0 +1,32 @@ +REG 0000000000000019 +REG 0000000000000AD1 +REG 0000000000000000 +REG 0000000040000000 +REG 0000000000000019 +REG 00000000207F0000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFC0270000 +REG 0000000000000000 +REG 0000000000000AF1 +REG FFFFFFFFFFFFF50E +REG 0000000000000000 +REG 00000000000092AE +REG FFFFFFFFFFFFE7E7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 000158A000000000 +REG 0000000000001818 +REG 0000000000000019 +REG 0000000000000000 +REG 00000000000092C7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001819 +REG 0000000040900051 diff --git a/tests/412.bin b/tests/412.bin new file mode 100644 index 0000000..edf8c22 Binary files /dev/null and b/tests/412.bin differ diff --git a/tests/412.out b/tests/412.out new file mode 100644 index 0000000..b60ff14 --- /dev/null +++ b/tests/412.out @@ -0,0 +1,32 @@ +REG 0000A2C0FFF7C525 +REG 0000A2C0FFF7FF7B +REG FFFFFFFFFFFFFFFF +REG 0000000000010000 +REG 00000000000029E8 +REG 0000000000000000 +REG FFFFFFFFFFFFBF23 +REG FFFFFFFFFE0040DF +REG 0000000000000000 +REG 0000000001FFFFF4 +REG 00000000000029E9 +REG FFFFFFFFFFFFFFFF +REG 000000000001000E +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF700D +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000000029E8 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000A2C035A7FD30 +REG 0000000000000000 +REG FFFFFFFFFE0040DF +REG 0000000004000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF1 +REG 0808080808070304 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF1 +REG 000000010901E8E8 diff --git a/tests/413.bin b/tests/413.bin new file mode 100644 index 0000000..cc00080 Binary files /dev/null and b/tests/413.bin differ diff --git a/tests/413.out b/tests/413.out new file mode 100644 index 0000000..a373dfd --- /dev/null +++ b/tests/413.out @@ -0,0 +1,32 @@ +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFBA2B +REG 0000000000000000 +REG 0000000000000000 +REG 0100040201000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF5C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000000A4 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000000 +REG 00000000189C0000 +REG 0000000000000020 +REG 0000000000000020 +REG 0000000041010810 diff --git a/tests/414.bin b/tests/414.bin new file mode 100644 index 0000000..5c42ec6 Binary files /dev/null and b/tests/414.bin differ diff --git a/tests/414.out b/tests/414.out new file mode 100644 index 0000000..a8ab262 --- /dev/null +++ b/tests/414.out @@ -0,0 +1,32 @@ +REG 000001014BF90526 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000014000000 +REG 000000000000000B +REG 000000004A9906A5 +REG 0000000000000000 +REG 000000000000001C +REG 000000004A9A0000 +REG FFFFFF87FFFFFF0B +REG FFFFFF87FFFFFF00 +REG 00000000000001F2 +REG 00000000000047A7 +REG 0000000738C71F2C +REG FFFFFFFFFFFFFFFF +REG 000000000000C329 +REG 0000000000000000 +REG 000000000B8E0000 +REG 000000000000A25F +REG 0000000000000029 +REG FFFFFFFFFFFF8942 +REG 0000000000000000 +REG 00000078000000FF +REG 000000004A9906C2 +REG 0000000000000142 +REG 0000000000000000 +REG 000000004A9906A6 +REG FFFFFFF800E2E0D3 +REG FFFFFFFFFFFF847E +REG FFFFFFFFFFFFC9CE +REG 0000000000000020 +REG 0000000101080880 diff --git a/tests/415.bin b/tests/415.bin new file mode 100644 index 0000000..11c39ef Binary files /dev/null and b/tests/415.bin differ diff --git a/tests/415.out b/tests/415.out new file mode 100644 index 0000000..98d9425 --- /dev/null +++ b/tests/415.out @@ -0,0 +1,32 @@ +REG FFFFFFCFFFFF3F1B +REG 000000000000000A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFC000000 +REG 000000017BFFC136 +REG 0000000000000024 +REG 0000000000100000 +REG 000000003FFFFF6C +REG 0000000000000000 +REG 0000000000000000 +REG BFFFE10000000000 +REG 000000000000000D +REG 0000000007EF0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFF00FFFF +REG FFFFFFFFFFFFFFFF +REG 0000100000000000 +REG 0000000004000000 +REG FFFFFFFF84003EA4 +REG 0000000004000000 +REG FFFFFFFFFFFFFFF6 +REG 000000000000822C +REG 0000000004000000 +REG 00000000FFFFFFDB +REG FFFFFFFFFFFFFFF2 +REG 00000000900100A8 diff --git a/tests/416.bin b/tests/416.bin new file mode 100644 index 0000000..a8cab6a Binary files /dev/null and b/tests/416.bin differ diff --git a/tests/416.out b/tests/416.out new file mode 100644 index 0000000..c269acd --- /dev/null +++ b/tests/416.out @@ -0,0 +1,32 @@ +REG 000000000000C1F7 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000A0012088 +REG 000000000000001D +REG 000000000000003F +REG 0000000000000000 +REG 00000000000000F7 +REG 0000000000000000 +REG FFFFFFFFFFFFBECB +REG 00000000A0012088 +REG FFFFFFFFBFFF63FE +REG FFFFFFFF1FCAFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 00000000E0000000 +REG 0000000000000000 +REG FFFFFFFFFFCB0000 +REG 000000000000003F +REG 0000000000010000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003F +REG 0000000080000000 +REG 000000000000001D +REG 0000000000000000 +REG 00000000000000C0 +REG FFFFFFFFBFCB9C02 +REG 0000000000000000 +REG 0000000080010911 diff --git a/tests/417.bin b/tests/417.bin new file mode 100644 index 0000000..802ee39 Binary files /dev/null and b/tests/417.bin differ diff --git a/tests/417.out b/tests/417.out new file mode 100644 index 0000000..1e1ff71 --- /dev/null +++ b/tests/417.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFF6BD +REG 0000000000000000 +REG 0000000000007300 +REG 0000000000000200 +REG 000000060000003C +REG 00000000000073D8 +REG 000000001B750000 +REG E000000000002240 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000023 +REG 000000060000001F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000006DD4000 +REG 0000000000000000 +REG FFFFFFFFFFFFE29D +REG FFC000001FFFFFFF +REG 0000000000000000 +REG 0000000000010000 +REG 0000000000000000 +REG FFFFFFFFDFFFFFFE +REG 0000000000002EC0 +REG 0000000000000053 +REG 0000000000000000 +REG 1FFFFFFFFFFFDDBF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF8C27 +REG 0000000000000023 +REG 00000000885E8528 diff --git a/tests/418.bin b/tests/418.bin new file mode 100644 index 0000000..876a28a Binary files /dev/null and b/tests/418.bin differ diff --git a/tests/418.out b/tests/418.out new file mode 100644 index 0000000..8cd6d05 --- /dev/null +++ b/tests/418.out @@ -0,0 +1,32 @@ +REG 004000EFE0D3D6C4 +REG 0000000000000001 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFB070 +REG FFFFFFF853144F4E +REG BFFFFFFFB7FFFFFD +REG 0800000008000000 +REG FFFFFFFFFF000000 +REG 00000000000018CE +REG 0004B6C9B8C33254 +REG 0800000000000000 +REG 0000000000FFFFFF +REG 0000000000000001 +REG 080000000800441F +REG FFFFFFFFFFFF62C6 +REG 0000000000000000 +REG F60E001FFFFFFFFF +REG 0000FFFF0000FFFF +REG 0000000000001FCF +REG 0000000000000080 +REG FFFFFFFFFFFFFFDE +REG 0000000000000000 +REG 000000000003FFF8 +REG F60E001FFFFFFFFF +REG 4000000040000002 +REG 004000E8E0D3D6C4 +REG 0000000008000000 +REG 0000000020000000 +REG 0000000000000000 +REG 004000E8E0D3D6C4 +REG 0000000090004110 diff --git a/tests/419.bin b/tests/419.bin new file mode 100644 index 0000000..62b135e Binary files /dev/null and b/tests/419.bin differ diff --git a/tests/419.out b/tests/419.out new file mode 100644 index 0000000..c015f36 --- /dev/null +++ b/tests/419.out @@ -0,0 +1,32 @@ +REG 000003C100000000 +REG FFFFFFFFFFFFA47F +REG 0000000000000000 +REG 0000000073DB0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFDB30 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFE0 +REG 0000000000000020 +REG FFFFFFFFFFFFA47F +REG 1800000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 567EFFF4567EFFF4 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000FFFF0000 +REG 0000000000000020 +REG 000000001A500000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFF3DFFFF +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000000 +REG 000000001A500000 +REG FFFFFFFFFFFFAEA0 +REG 0000000000000000 +REG 0000000048900288 diff --git a/tests/42.bin b/tests/42.bin new file mode 100644 index 0000000..496a2d5 Binary files /dev/null and b/tests/42.bin differ diff --git a/tests/42.out b/tests/42.out new file mode 100644 index 0000000..dfaf2e8 --- /dev/null +++ b/tests/42.out @@ -0,0 +1,32 @@ +REG 797FFFFFFFF23116 +REG 59C0047B5000007B +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFC5 +REG 00000000000079D4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000007CFE +REG 0000400000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003A +REG 0000000040000000 +REG FFFFFFFFF90264D4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFF94BFF9C +REG FFFFFFFFDACE0023 +REG 0000000000000000 +REG 0000000080000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000100000094 diff --git a/tests/420.bin b/tests/420.bin new file mode 100644 index 0000000..9aca7f3 Binary files /dev/null and b/tests/420.bin differ diff --git a/tests/420.out b/tests/420.out new file mode 100644 index 0000000..9d05893 --- /dev/null +++ b/tests/420.out @@ -0,0 +1,32 @@ +REG F000200040040880 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000004000000 +REG 0000000000000019 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG F000200001FFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000880 +REG 00000000FFFFFFFE +REG 0000000000000001 +REG FFFFFFFFFFFFFFFE +REG 0000000000000040 +REG 00000000000002E2 +REG 00000000FFFFFFFE +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000100000000 +REG 0000000000010F64 +REG FFFFFFFFFFFF9956 +REG F000200002000000 +REG 000000000000000B +REG FFFFFFFFFFFFF87F +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFF4 +REG 0000000044080100 diff --git a/tests/421.bin b/tests/421.bin new file mode 100644 index 0000000..91e2441 Binary files /dev/null and b/tests/421.bin differ diff --git a/tests/421.out b/tests/421.out new file mode 100644 index 0000000..e1bbce0 --- /dev/null +++ b/tests/421.out @@ -0,0 +1,32 @@ +REG F9AFFFFFDF7DDCDE +REG 0000000000000000 +REG FFFFFFFFFFFFAD77 +REG 0000000000000000 +REG 006D800000002361 +REG 0000000000000000 +REG 0000000000000000 +REG F7FCFEFCF9F7F7F9 +REG 000002F5FFFF7B00 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000000057D7 +REG 0000000000000000 +REG 0650000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0803010306080806 +REG 0000000000001800 +REG 0000000000000000 +REG 0000000040F50000 +REG 0000000000000000 +REG 00935D3C00935D3C +REG FFFFFFFFFFFFFFFF +REG 927680000C23AD77 +REG 00000000000057D7 +REG 927680000C23AD77 +REG 0000000000000000 +REG 0000000000000000 +REG 0803010306080806 +REG 00000000408446C2 diff --git a/tests/422.bin b/tests/422.bin new file mode 100644 index 0000000..59e3ba4 Binary files /dev/null and b/tests/422.bin differ diff --git a/tests/422.out b/tests/422.out new file mode 100644 index 0000000..7df70fb --- /dev/null +++ b/tests/422.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000002F +REG 0800000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000002E002C08 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000C08B0000 +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 800B000380000003 +REG 0000000000000000 +REG 0000000000000141 +REG 000000002E002C08 +REG FFFFFFFFFFFFFE9F +REG 0000000000000000 +REG 0800000000000000 +REG 07FFFFFFD1FFD3F7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000021 +REG 000000009C009810 diff --git a/tests/423.bin b/tests/423.bin new file mode 100644 index 0000000..888e665 Binary files /dev/null and b/tests/423.bin differ diff --git a/tests/423.out b/tests/423.out new file mode 100644 index 0000000..22f3426 --- /dev/null +++ b/tests/423.out @@ -0,0 +1,32 @@ +REG 0000000000000502 +REG 0000000040000000 +REG FFFFFFFFFFFFA858 +REG FFFFFFFFF8BC0000 +REG 0008000000359C00 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000F12 +REG 0000000000000000 +REG 0000000000003BFF +REG FFFFFFFFFFFFFFF0 +REG 0000000000000000 +REG FFFFFFFFFFFF8776 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF0 +REG 0000000020000000 +REG FFFFFFFFFFFFF56A +REG 0000000000000000 +REG 000000000000795B +REG 0000000000000000 +REG FFFFFFFE36359C11 +REG 000000000000001E +REG 0000000000000000 +REG 0000000000003C00 +REG 0000000040000000 +REG FFFFFFFFFFFFFFFF +REG 0000202C0000202C +REG 000000000000C766 +REG FFFFFFFFFFFFFFF0 +REG 00000000480F8880 +REG 00000001FFFFFFFF +REG 00000000901F1101 diff --git a/tests/424.bin b/tests/424.bin new file mode 100644 index 0000000..bd05d0b Binary files /dev/null and b/tests/424.bin differ diff --git a/tests/424.out b/tests/424.out new file mode 100644 index 0000000..1f8076b --- /dev/null +++ b/tests/424.out @@ -0,0 +1,32 @@ +REG 0000000000000040 +REG FFFFFFA0220756D5 +REG 000000000000001A +REG 0000000000000000 +REG 0000000000000F69 +REG 0000000000000021 +REG 0000005F8CA8A92B +REG FFFFFFA0220756D5 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000021 +REG 0000000000000000 +REG FFFFFFA0735756D5 +REG 0000000000007B62 +REG 0000000000000002 +REG 0000000000000F69 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFA0220756D5 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000004000000 +REG 2222201F222243C2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF83400000 +REG FFFFFFFFFFFFFFFF +REG 0000000088088110 diff --git a/tests/425.bin b/tests/425.bin new file mode 100644 index 0000000..5955914 Binary files /dev/null and b/tests/425.bin differ diff --git a/tests/425.out b/tests/425.out new file mode 100644 index 0000000..a33899c --- /dev/null +++ b/tests/425.out @@ -0,0 +1,32 @@ +REG 1FF7E10000800000 +REG E000000000000000 +REG E00000000000003A +REG FFFFFFFFFBFFF2D0 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003D +REG 0000000800000008 +REG 03FFFFFF51E7E1E6 +REG FFFFFFFFFFFFE461 +REG FFFFFFFFFFFF8000 +REG FFFFFFFFFFFFFBFF +REG 0000000080000000 +REG 0000000000000000 +REG 0000000000000000 +REG E00000000000003A +REG 0000000000000040 +REG FFFFFFFFFBFFF2D0 +REG 0000000000000000 +REG 0000000000000000 +REG 03FFFFFF51E781A6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFF7FFE5A +REG 0000000000000020 +REG FFFFFFFFFF7FFE5A +REG 0000000000000028 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000101149084 diff --git a/tests/426.bin b/tests/426.bin new file mode 100644 index 0000000..cb1c41e Binary files /dev/null and b/tests/426.bin differ diff --git a/tests/426.out b/tests/426.out new file mode 100644 index 0000000..ba5fd07 --- /dev/null +++ b/tests/426.out @@ -0,0 +1,32 @@ +REG 0000002000E4A4B8 +REG 0000002000000017 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0808080803070000 +REG FFFFFFFFFFFFFFED +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG FFFF800000000000 +REG 0000000000000000 +REG 00000000FF5FDB70 +REG 0000000000E4A42F +REG 0000000000000000 +REG 07FFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFF000000 +REG 0000002000000017 +REG 0000000000000038 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000013 +REG FFFFFFFFFF1B5B50 +REG 0000000000000000 +REG 00000000D7050000 +REG FFFFFFFFFF1B5B90 +REG 0000000000000000 +REG 0000000000000000 +REG 0000125400001254 +REG 0000000040100081 diff --git a/tests/427.bin b/tests/427.bin new file mode 100644 index 0000000..869673f Binary files /dev/null and b/tests/427.bin differ diff --git a/tests/427.out b/tests/427.out new file mode 100644 index 0000000..8535c98 --- /dev/null +++ b/tests/427.out @@ -0,0 +1,32 @@ +REG FD7B2FB7FFFFFFFF +REG 00000000000056A4 +REG 810050EF810050EF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000003FFFFDFFFF +REG 0000000000000000 +REG 87780000077C0802 +REG 7EFFAF107EFFAF10 +REG FFFFFFFFFFEFFFFF +REG 0000000000009249 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000007D +REG 0000000040802888 +REG FFFFFFBF7FD7FAFB +REG 00000009ABA19F3F +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000100000 +REG 0000000000000051 +REG FFFFFFFFBF7FD777 +REG 000000090000001A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080808488 diff --git a/tests/428.bin b/tests/428.bin new file mode 100644 index 0000000..2e15f03 Binary files /dev/null and b/tests/428.bin differ diff --git a/tests/428.out b/tests/428.out new file mode 100644 index 0000000..3e0ffd7 --- /dev/null +++ b/tests/428.out @@ -0,0 +1,32 @@ +REG 04002E82C000F916 +REG 0000000000004A63 +REG 0000000097AF0108 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFB7090000 +REG FBFFD17DFBFFD17E +REG FFFFFFFF6B109CD2 +REG FFFFFFFFFFFFFFFF +REG 8CEFFC3FD0BA5420 +REG FFFFFFFF6B109CC9 +REG 0000000094EF632D +REG 04002E8204002E82 +REG 731001402B45815D +REG E3FFFF7FE3FFFF7F +REG FFFFFFFFFFFFFFFE +REG 8CEFFC3FD0849020 +REG 0000000000000000 +REG 00F00BCFFD400000 +REG 0000000000000000 +REG 0000000080428801 +REG FFFFFFFF6B109CD2 +REG 00F00BCFFD400001 +REG FFFFFFFFFFFF28CC +REG 0000000000000000 +REG 000000000000001B +REG 0000000D17AF7000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF7FBD7801 +REG 8006434FE0000000 +REG 0000000100851083 diff --git a/tests/429.bin b/tests/429.bin new file mode 100644 index 0000000..28f79e9 Binary files /dev/null and b/tests/429.bin differ diff --git a/tests/429.out b/tests/429.out new file mode 100644 index 0000000..453d3c8 --- /dev/null +++ b/tests/429.out @@ -0,0 +1,32 @@ +REG FC004006FC004004 +REG 0000000000000000 +REG 0000000000003FF8 +REG 81FFD80000000000 +REG 0000000000000000 +REG 0000000000007FF5 +REG 000000000007FFF8 +REG 0000000000000000 +REG 0000000000000000 +REG 0340000003000000 +REG 0000000000000000 +REG 000000001FFE01BF +REG 0000000000000001 +REG 03FFBFF903FF4004 +REG 0000000000000000 +REG 000000000000000E +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFDFFFFFFFD +REG 0000000000000000 +REG 000000000000000E +REG 0000000000000000 +REG FFFFFF0000000000 +REG 0000000000000000 +REG 000000001FFFFE0F +REG 01F8009FFFFFFF0B +REG 0000000000000000 +REG 00FFFFFE00000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF0 +REG FC000000000001F1 +REG 0000000088000808 diff --git a/tests/43.bin b/tests/43.bin new file mode 100644 index 0000000..27d3390 Binary files /dev/null and b/tests/43.bin differ diff --git a/tests/43.out b/tests/43.out new file mode 100644 index 0000000..37f61bc --- /dev/null +++ b/tests/43.out @@ -0,0 +1,32 @@ +REG 0000013F8442FF99 +REG FFFFFFFF337DD358 +REG 8000000000000061 +REG 000000001DFC1654 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFE203E9E7 +REG 0000000000000000 +REG FFFFFFFFE203E9AC +REG FFFDEFFFFDC0EFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000044440004 +REG FFFFFEC000000001 +REG F674AB97F674AB97 +REG 0000000000000040 +REG 0000000008040044 +REG 0000000000000000 +REG 000000001DFC1653 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000006 +REG FFFFFFFFFFFFFF00 +REG FFFFFFFFE1FF0100 +REG 0000000088040044 +REG 098B5468098B5468 +REG 000000007BBD0000 +REG 0000000000000000 +REG 098B5468098B5468 +REG FFFFFFFF99BEE9AC +REG 0000000000000040 +REG 2FECE9572FECE957 +REG 0000000088880008 diff --git a/tests/430.bin b/tests/430.bin new file mode 100644 index 0000000..65fd635 Binary files /dev/null and b/tests/430.bin differ diff --git a/tests/430.out b/tests/430.out new file mode 100644 index 0000000..e073e7c --- /dev/null +++ b/tests/430.out @@ -0,0 +1,32 @@ +REG 007F1CD5FFBE1CD7 +REG 0000000000000000 +REG 0000000000001CD5 +REG ABFFFE39ABFFFE39 +REG 0000000000000000 +REG 0000E32A0000E32A +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF0000000000 +REG 0000000097345208 +REG FFFFFFFFFFFC001F +REG FF80E32A0000E32B +REG 0000000000000000 +REG 0000000000000020 +REG 0001D28000001281 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 007F1CD5FFFF1CD5 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000000 +REG ABFFFE39AC000458 +REG 0000000000000000 +REG FFFFFFFFFFBEFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFC6FEFFFFC7 +REG 0000000000000000 +REG 0000000000410000 +REG 000000010100E908 diff --git a/tests/431.bin b/tests/431.bin new file mode 100644 index 0000000..00d6b48 Binary files /dev/null and b/tests/431.bin differ diff --git a/tests/431.out b/tests/431.out new file mode 100644 index 0000000..b924a63 --- /dev/null +++ b/tests/431.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 0000000000000027 +REG 0000000000000000 +REG 000000000000A20C +REG 000000007FD1003C +REG FFFFFFFFFFFFFEBB +REG FFFFFFFFFFFF5DF0 +REG 0000000000000000 +REG FFFFC00000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFF800052BA +REG 0000000000000020 +REG FFFAEF87FFFAEF87 +REG FFFFFFFF802EB25B +REG 0000000000000000 +REG FFFFC00000000000 +REG 0200000000000000 +REG FFFFFFFFFFFFCB87 +REG 000000000000003C +REG 25B0003FFFF802EB +REG 0000000000000000 +REG 00000000000FFA20 +REG 0000000000000000 +REG 0000000000000027 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 00000000451F1108 diff --git a/tests/432.bin b/tests/432.bin new file mode 100644 index 0000000..b036aea Binary files /dev/null and b/tests/432.bin differ diff --git a/tests/432.out b/tests/432.out new file mode 100644 index 0000000..e091b09 --- /dev/null +++ b/tests/432.out @@ -0,0 +1,32 @@ +REG 000000007C989B58 +REG 7C0F5AA700000000 +REG 000000000000201C +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000012EE84000 +REG FFFFFF92C139C661 +REG 000000000097BFFF +REG 0000000000000000 +REG 0000006D3EC639A0 +REG 0000000000000000 +REG 000000003FE00000 +REG FFFFFFFFFFFFDFE4 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 00000000000001FF +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000097C000 +REG 000000003FE00000 +REG 0000000000000000 +REG 000000007C0FC000 +REG 0000000000002000 +REG 000000007C989AA7 +REG 000000003FF30000 +REG 000000011D887350 diff --git a/tests/433.bin b/tests/433.bin new file mode 100644 index 0000000..5887473 Binary files /dev/null and b/tests/433.bin differ diff --git a/tests/433.out b/tests/433.out new file mode 100644 index 0000000..8d3731e --- /dev/null +++ b/tests/433.out @@ -0,0 +1,32 @@ +REG 000004000000202E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003B +REG 000000000000006E +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFBFFFFFFA8D5 +REG 00000377FF506F6E +REG DF7FFFFFFFFFFFFF +REG 0000000000002003 +REG 0000000000000001 +REG FFFFFBFFFFFFFFFF +REG 0000000000000015 +REG FFFFFFFFFFFFFFD1 +REG 0000000000000000 +REG 00000FFFFCD63020 +REG 0000004000000040 +REG 0000000000000001 +REG 0000000020000000 +REG 8000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040000000 +REG 0000000000000000 +REG 0000000000000067 +REG 0000000000000000 +REG 2080000022510015 +REG FFFFFFFFFFFFDFFF +REG 0000000001FEF000 +REG 000000008090C6B1 diff --git a/tests/434.bin b/tests/434.bin new file mode 100644 index 0000000..b44ab12 Binary files /dev/null and b/tests/434.bin differ diff --git a/tests/434.out b/tests/434.out new file mode 100644 index 0000000..b500008 --- /dev/null +++ b/tests/434.out @@ -0,0 +1,32 @@ +REG 3FFFFE4FFFFFB846 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000000000000000 +REG 00000000D8000000 +REG 000000000000001A +REG 0000000000000640 +REG FFFFFFFFB27AFFBC +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 000000002E440000 +REG 0000000000000000 +REG FFFF000000000041 +REG 0000000000000006 +REG FFFFFFFFFFFFFFFF +REG 000000000000001B +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFE5 +REG FFFFFFFFFFFFFFFF +REG 00000000FFFFFFFF +REG 000000000000001B +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFBF +REG FFFFFFFFFFFFFFFF +REG 00000000D8000000 +REG 0000000000000020 +REG 000000002E440000 +REG 0000000080800009 diff --git a/tests/435.bin b/tests/435.bin new file mode 100644 index 0000000..813141a Binary files /dev/null and b/tests/435.bin differ diff --git a/tests/435.out b/tests/435.out new file mode 100644 index 0000000..ad77cac --- /dev/null +++ b/tests/435.out @@ -0,0 +1,32 @@ +REG C007A0A03FFFA757 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000EDDD0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFD +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFF847E +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFE0000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000041081100 diff --git a/tests/436.bin b/tests/436.bin new file mode 100644 index 0000000..b56b29c Binary files /dev/null and b/tests/436.bin differ diff --git a/tests/436.out b/tests/436.out new file mode 100644 index 0000000..36ffde0 --- /dev/null +++ b/tests/436.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFDFF84D5 +REG 0000000000000000 +REG 0000000800000008 +REG 00000000DCFFFFFE +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000007B0A +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0C0FFFFC03FFFFFC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFEE +REG FFF8000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000040 +REG 0000000000000040 +REG 0000000000000011 +REG E42F90640BFF8FF4 +REG 0C0FFFFC03FFFFFC +REG 0000000000000000 +REG 0000000000000020 +REG 0000000040001080 diff --git a/tests/437.bin b/tests/437.bin new file mode 100644 index 0000000..b6c9dc6 Binary files /dev/null and b/tests/437.bin differ diff --git a/tests/437.out b/tests/437.out new file mode 100644 index 0000000..c0a4984 --- /dev/null +++ b/tests/437.out @@ -0,0 +1,32 @@ +REG B09E294EC8CFC295 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFD +REG 0000000000000282 +REG 0000000000000000 +REG 0000000000400000 +REG 0000000000000000 +REG 0000000000000000 +REG 00904415B2D70020 +REG 000000000FFFAFAF +REG 0000000024047140 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 077FED441CD40000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000024047140 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000400000004 +REG FFFFFEDFFFFFFAE0 +REG FFFFEEFABFDC0000 +REG 0090441500900020 +REG FFF8000000000000 +REG 0000000040000000 +REG 0000000000000002 +REG 0000000000400000 +REG 0000000000000504 +REG 077FED441CD40001 +REG FF6FBBEAFF700000 +REG 000000008808E281 diff --git a/tests/438.bin b/tests/438.bin new file mode 100644 index 0000000..c94f096 Binary files /dev/null and b/tests/438.bin differ diff --git a/tests/438.out b/tests/438.out new file mode 100644 index 0000000..fcd090c --- /dev/null +++ b/tests/438.out @@ -0,0 +1,32 @@ +REG 0000001500000047 +REG 000000003FFFFFF1 +REG 0787FFFE8FB6E068 +REG 0000000000007F00 +REG 0000000000006F14 +REG 0000000000000000 +REG 0000000000001FFF +REG 00000005FE000000 +REG 0000000000000000 +REG 000000000000001C +REG 0000000000000014 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000003 +REG 0000000000000020 +REG 0000000000000000 +REG 000000000004DFD9 +REG 0000001500000028 +REG 0000000000006F15 +REG 0000000000006F15 +REG FFFFFFFFC000002B +REG 0000000000000000 +REG 0787FFFE8FB6E068 +REG 07877FFE931A0100 +REG 0000001500000008 +REG FFFFFFFF8CDD0000 +REG 0000000000000000 +REG 0000000000000017 +REG 0000000000000001 +REG 0000000000001FFF +REG 0000000000000019 +REG 0000000100891048 diff --git a/tests/439.bin b/tests/439.bin new file mode 100644 index 0000000..13dc1aa Binary files /dev/null and b/tests/439.bin differ diff --git a/tests/439.out b/tests/439.out new file mode 100644 index 0000000..f743baf --- /dev/null +++ b/tests/439.out @@ -0,0 +1,32 @@ +REG FFFD856FFFFFFFE9 +REG 0000000000009316 +REG 0000000042D30000 +REG FFFFFFFFFFFFFFFF +REG B64E0C001FFFFFFF +REG FFFFFFFFFFFFFFFF +REG 00000000000052DD +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG FFFF800000000000 +REG 0000000000000040 +REG FFFFFFFFBD201FFF +REG FFFFFFFFFFFFFFFA +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFB +REG FFFFFFFFFDFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000080000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG FFFFFFFFFFFFFFFA +REG 0000000000000000 +REG 000000011011E811 diff --git a/tests/44.bin b/tests/44.bin new file mode 100644 index 0000000..630931f Binary files /dev/null and b/tests/44.bin differ diff --git a/tests/44.out b/tests/44.out new file mode 100644 index 0000000..9f8daec --- /dev/null +++ b/tests/44.out @@ -0,0 +1,32 @@ +REG 03050807B8BE0807 +REG 0000000006040300 +REG 0000000000000000 +REG 0000000000000007 +REG 0000000004400000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000005050304 +REG 0000000036E30000 +REG 0000000000000000 +REG 0000000000000000 +REG 00243C3D00243C3D +REG 0000000000000000 +REG 0000000300000000 +REG 0000000000000007 +REG 0000000000000000 +REG 0000000000000040 +REG 00243C3D00243C3D +REG 0000000000000000 +REG 0000000000000000 +REG 0000700000000000 +REG 0000000000000000 +REG 5BC5F2F05BC5F2F0 +REG FFFFFFFFFAFAFCFB +REG 0000700000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 5BC5F2F05BC5F2F0 +REG 00243C3D00243C3D +REG 0000000000000000 +REG 000000005E43E488 diff --git a/tests/440.bin b/tests/440.bin new file mode 100644 index 0000000..a8ea6a9 Binary files /dev/null and b/tests/440.bin differ diff --git a/tests/440.out b/tests/440.out new file mode 100644 index 0000000..87f0f3e --- /dev/null +++ b/tests/440.out @@ -0,0 +1,32 @@ +REG 00000000D78CFF65 +REG 048820000489FFF0 +REG 0000000000000004 +REG FFFFFFFFFFFF963D +REG 0000000000000020 +REG 00000000B24B0004 +REG FFFF9E39FFC00001 +REG 00000000B24B0000 +REG 0000000000000008 +REG 0000000000000000 +REG FCFFFFE3A4FF0004 +REG 0000003FA3C9FFFF +REG 0000000000000000 +REG 00000000B24B0000 +REG 0000000000000620 +REG 0300001C5B000000 +REG 0000000000000000 +REG 0000000000009B5F +REG 0000000000000040 +REG 0000000000000000 +REG 048820001489FFF0 +REG 0000000000000018 +REG 000000000000009A +REG FCFFFFE3A4FF0000 +REG 0000000000009104 +REG 0000000000000620 +REG 0000000000000000 +REG 0000000000000004 +REG 00000000B3440000 +REG 1200000000000000 +REG 0000000000000000 +REG 000000009E804080 diff --git a/tests/441.bin b/tests/441.bin new file mode 100644 index 0000000..d9c8ac1 Binary files /dev/null and b/tests/441.bin differ diff --git a/tests/441.out b/tests/441.out new file mode 100644 index 0000000..c0e0313 --- /dev/null +++ b/tests/441.out @@ -0,0 +1,32 @@ +REG 0808080857D20828 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 6FFFFFFFFFFFFFDF +REG 0184008000000000 +REG 0000000000000000 +REG 7000000000000000 +REG 0000000000007146 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG 0000007FFFFFFFFC +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000001 +REG 8FFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFF44C2 +REG 7000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FF00000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000000 +REG 000000009FE9FF1E diff --git a/tests/442.bin b/tests/442.bin new file mode 100644 index 0000000..ba707fd Binary files /dev/null and b/tests/442.bin differ diff --git a/tests/442.out b/tests/442.out new file mode 100644 index 0000000..fd54c40 --- /dev/null +++ b/tests/442.out @@ -0,0 +1,32 @@ +REG FFFFFE6B653195B1 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFE6FFFFFFE6F +REG 0000019000000190 +REG 0000000000000000 +REG FFFFFFFB65310041 +REG 0000000000009420 +REG 7FFF000000000000 +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000000 +REG FFFFFFFF9AD30000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000652DFFFF +REG 0000000000000000 +REG 000000003FFFFFBF +REG 0000000000000000 +REG FFFFFFFFB5630000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000009420 +REG 0000000000000000 +REG 0000000000000000 +REG FFFF000000000000 +REG 0000000000000000 +REG 000000009E8A5E09 diff --git a/tests/443.bin b/tests/443.bin new file mode 100644 index 0000000..2073c3d Binary files /dev/null and b/tests/443.bin differ diff --git a/tests/443.out b/tests/443.out new file mode 100644 index 0000000..96d7e56 --- /dev/null +++ b/tests/443.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFA3F2 +REG 800000000008081F +REG 0000000000000000 +REG 000000000000003A +REG 0000000000000000 +REG FFFFFFFFFFFFF6D8 +REG 0000000000000000 +REG 0000000000000000 +REG 80000000000807FF +REG 1C000000000000C2 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000002C2 +REG 1C0000C21C0802C2 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFC2 +REG 0000000000000925 +REG 0000000000000000 +REG 0000003FFFFF3FFF +REG FFFFFFFFFF000003 +REG FFFFFFFF00FF9C7E +REG 0000000038000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 9267CE169267CE16 +REG 0000000000000003 +REG 0000000000000001 +REG 0000000000000014 +REG FFFFFFFFFFFFFFD8 +REG 00000000489FE088 diff --git a/tests/444.bin b/tests/444.bin new file mode 100644 index 0000000..af3bf88 Binary files /dev/null and b/tests/444.bin differ diff --git a/tests/444.out b/tests/444.out new file mode 100644 index 0000000..ec4e052 --- /dev/null +++ b/tests/444.out @@ -0,0 +1,32 @@ +REG FFFFFFC013D12A43 +REG 0000000000000001 +REG 0000003FC6EB2A43 +REG 0000000000000001 +REG 00000000000001FF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000FFFFFFF8000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000001F8000 +REG 000000000000E5DB +REG 00000FFFD53A0000 +REG 0000000000002A43 +REG 000000000000BF1A +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFC03914D5FC +REG 0000000000142F04 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000004000000 +REG 0000000000000000 +REG 0000000000001D4A +REG 0000000000000000 +REG 0000000048028008 diff --git a/tests/445.bin b/tests/445.bin new file mode 100644 index 0000000..c52f8ff Binary files /dev/null and b/tests/445.bin differ diff --git a/tests/445.out b/tests/445.out new file mode 100644 index 0000000..0ede460 --- /dev/null +++ b/tests/445.out @@ -0,0 +1,32 @@ +REG 00000000000025CD +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000009C9F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000258D +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFD2450000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 000000004A590000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080511110 diff --git a/tests/446.bin b/tests/446.bin new file mode 100644 index 0000000..9d7fe05 Binary files /dev/null and b/tests/446.bin differ diff --git a/tests/446.out b/tests/446.out new file mode 100644 index 0000000..1bc9ca1 --- /dev/null +++ b/tests/446.out @@ -0,0 +1,32 @@ +REG 0000000000000001 +REG FFFFFF8FEFFF8D9D +REG FFFFFFFF58182097 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF91382B5B6F +REG 0000000000000030 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFCD46 +REG 00000000A083FFFF +REG 0000000000000020 +REG 000000000001E000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG F4FBED00A083EE6C +REG FFFFF80000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFE000 +REG 0000000000000000 +REG FFFFFFFFFFFF8D9C +REG FFFFFFFFFFBFFFFF +REG 00000000A7A70020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG C0000000A7A72018 +REG FFFFFFFFFFFFFFFE +REG 00000000A7A7DF68 +REG 0000000040000060 diff --git a/tests/447.bin b/tests/447.bin new file mode 100644 index 0000000..6f0470f Binary files /dev/null and b/tests/447.bin differ diff --git a/tests/447.out b/tests/447.out new file mode 100644 index 0000000..890796d --- /dev/null +++ b/tests/447.out @@ -0,0 +1,32 @@ +REG FFFFFFFF032CFFD8 +REG 0000000000002F0A +REG 0000000000000000 +REG 0000000000000000 +REG 00000000032CFFFE +REG 00000000032CFFFE +REG D7C420F6FFFFFFFF +REG 0000000000000006 +REG 0000000000000000 +REG 00000000032CADAA +REG 0000000000000000 +REG FFFFFFFFFCD35255 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000800000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 00000000FFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00003FFC00000000 +REG 00020FFFFE000000 +REG 0000000000800000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFADAB +REG 0000000000000000 +REG 0000000089108C90 diff --git a/tests/448.bin b/tests/448.bin new file mode 100644 index 0000000..277ea50 Binary files /dev/null and b/tests/448.bin differ diff --git a/tests/448.out b/tests/448.out new file mode 100644 index 0000000..9fd3a55 --- /dev/null +++ b/tests/448.out @@ -0,0 +1,32 @@ +REG FF00000000000003 +REG 3BB3F7E3A31FF7D0 +REG C3280001C3200001 +REG 0000000000000000 +REG FF00000000000012 +REG 0000000000008000 +REG 788BF7E1DFFFF7CF +REG 0000000000000000 +REG 000000002CBE0000 +REG 0000000000000000 +REG 0874081F0000001E +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 788BF7E1DFFFF7CF +REG C3280001C3208001 +REG 0000000000000000 +REG 00000000DD000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000900000070CA +REG FFFFFFFFD9C40000 +REG 767100003FFFFFFF +REG 0000000000000000 +REG 0874081F0000081E +REG 0000000000000000 +REG 00000000FFFF0000 +REG 7EFFFFFF20000012 +REG FFFFFFFFFFFFFFFF +REG 00000000000070CA +REG 000000009FFE9000 diff --git a/tests/449.bin b/tests/449.bin new file mode 100644 index 0000000..ac01475 Binary files /dev/null and b/tests/449.bin differ diff --git a/tests/449.out b/tests/449.out new file mode 100644 index 0000000..8875748 --- /dev/null +++ b/tests/449.out @@ -0,0 +1,32 @@ +REG 0021000000001DCD +REG 0000000000000000 +REG 0000000024084844 +REG 0000000000000000 +REG 002100006F811DDD +REG 0000000000000000 +REG 0021000000001DDD +REG 0000000000000000 +REG FFFFFFFFFFFFD923 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000222A0000 +REG 000000000000003F +REG FFFFFFFFFFFFFFE2 +REG 0000000024084844 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE2 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000002 +REG 00000000222A0000 +REG D97D000000039DC3 +REG 0000000000000022 +REG 0000000400000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000040000080 diff --git a/tests/45.bin b/tests/45.bin new file mode 100644 index 0000000..fb3e34c Binary files /dev/null and b/tests/45.bin differ diff --git a/tests/45.out b/tests/45.out new file mode 100644 index 0000000..72dc20e --- /dev/null +++ b/tests/45.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFF98081 +REG 0000000000000000 +REG 9307FFFFFFAD38D5 +REG FFFFFFFFFFAD38D5 +REG 0000000000000000 +REG FFFFFFFFAD38FFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFF5 +REG 0000000000000000 +REG 0000005000000000 +REG FFFFFFFFFFFFD593 +REG 0000005052C72A6D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF9CB8 +REG 000000000000000A +REG 0000000004000000 +REG FFFFFFFFFFFFFF93 +REG 0000000000000000 +REG 0000000000002A8D +REG FFFFFFAA277FFFF5 +REG 0000000000000020 +REG 0000000000000020 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFAD38D593 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG 0000000048400108 diff --git a/tests/450.bin b/tests/450.bin new file mode 100644 index 0000000..ebb8573 Binary files /dev/null and b/tests/450.bin differ diff --git a/tests/450.out b/tests/450.out new file mode 100644 index 0000000..91bbc72 --- /dev/null +++ b/tests/450.out @@ -0,0 +1,32 @@ +REG FCFF21D7F1071576 +REG 000000002000BACE +REG 0000000000000000 +REG C000096500000E0B +REG 0000000028044840 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000007 +REG 0000000028044840 +REG 0000000000000000 +REG 351B000035000000 +REG 00000000000081FD +REG 0000000000000001 +REG 0000000100000000 +REG 0000000000000000 +REG FFFFFFFFD02AF800 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 93FFFF2B9FFFFF2C +REG 000000000000BACE +REG 00000000000081FD +REG 6C0000D4600000D4 +REG C000096500000E0B +REG 90805008B28D2808 +REG 0000000000000001 +REG 0000000000006A36 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000006A36 +REG 3FFFF69A728D2000 +REG 000000000D400000 +REG 0000000090089090 diff --git a/tests/451.bin b/tests/451.bin new file mode 100644 index 0000000..a37cf76 Binary files /dev/null and b/tests/451.bin differ diff --git a/tests/451.out b/tests/451.out new file mode 100644 index 0000000..fdbde76 --- /dev/null +++ b/tests/451.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFC +REG 0000000000004E92 +REG 0000000000000000 +REG 000000000000155E +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000080003AF9 +REG 000000002004E8E5 +REG FFFFFFFFFFFFFFA0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 000000007FFFED50 +REG FFFFFFFFFFE557FE +REG 0000000300000000 +REG 0000000080000000 +REG 000000007FFFED50 +REG 0000000080002808 +REG 0028080000000000 +REG 00000000001AA800 +REG 0000000000000000 +REG 00000000000069AF +REG FFFFFFFFFFE5C29F +REG 0000000080004259 +REG 0000000000000000 +REG 0000000000000000 +REG 000000007FFFED50 +REG 0000000020044800 +REG 0000000000000000 +REG 00000000179E0000 +REG 0000000110005110 diff --git a/tests/452.bin b/tests/452.bin new file mode 100644 index 0000000..f861510 Binary files /dev/null and b/tests/452.bin differ diff --git a/tests/452.out b/tests/452.out new file mode 100644 index 0000000..b0e4d04 --- /dev/null +++ b/tests/452.out @@ -0,0 +1,32 @@ +REG 000041239FFFDBBC +REG CFCA6977FFFDF6E3 +REG FFFFFFFFFFFFC4FB +REG 000000000000334C +REG CFCA6977FFFDF6E3 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020007E55 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020007E55 +REG 0000000000000000 +REG 000000000018CA00 +REG FFFFFFFFDFFF89BE +REG CFCA6977FFFDF6E3 +REG 0000000000000000 +REG CFCA6977FCFDF6E3 +REG 0000000000000000 +REG 00000000701B0000 +REG CFCA6977FFFDF6E3 +REG 0000000000000000 +REG 0000000000000000 +REG 1C8D400000000000 +REG 1C8D400000000000 +REG 0000000000000040 +REG 0000000000000020 +REG 0000000110010103 diff --git a/tests/453.bin b/tests/453.bin new file mode 100644 index 0000000..4dd3fc1 Binary files /dev/null and b/tests/453.bin differ diff --git a/tests/453.out b/tests/453.out new file mode 100644 index 0000000..3c0d5d6 --- /dev/null +++ b/tests/453.out @@ -0,0 +1,32 @@ +REG 00009B9600000001 +REG 0000000700000007 +REG 0000000000000001 +REG 0000000000000000 +REG 0094680000900000 +REG 0000000000000000 +REG 0000004800000048 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000020380000 +REG 00000000000001FF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00009B9600000001 +REG 0000000000000380 +REG 0094680000900000 +REG 0000000000000020 +REG 0000000000002508 +REG 0000000000000000 +REG 0000000700000007 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF7F +REG 0000000000000000 +REG 0000000051FFFE9E diff --git a/tests/454.bin b/tests/454.bin new file mode 100644 index 0000000..8c9cd5f Binary files /dev/null and b/tests/454.bin differ diff --git a/tests/454.out b/tests/454.out new file mode 100644 index 0000000..7d6069a --- /dev/null +++ b/tests/454.out @@ -0,0 +1,32 @@ +REG 00000001FFA1916A +REG 5FFBF1AF5FFBF1A6 +REG 0000000000000000 +REG A0040E40A0000449 +REG 00000001FFA1916A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFAFFFFFFFA +REG 0000000000000000 +REG 0000003400000033 +REG 00000001AB8D1DB7 +REG A0040E40A0000448 +REG 0000008000000080 +REG 0000000000000020 +REG 0000017FFFFFCF7F +REG A0040E50A0040E5A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF00FFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG 0038FB570038FB57 +REG 0000000000000000 +REG 0000000000000193 +REG 19A990FF00A990FF +REG 0000000100000012 +REG 0000000000000020 +REG 000000005FFFB608 diff --git a/tests/455.bin b/tests/455.bin new file mode 100644 index 0000000..d522739 Binary files /dev/null and b/tests/455.bin differ diff --git a/tests/455.out b/tests/455.out new file mode 100644 index 0000000..b74736c --- /dev/null +++ b/tests/455.out @@ -0,0 +1,32 @@ +REG 0520F0000BD00046 +REG FFFFFFFFFDFFFFE0 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000FFE4BC80 +REG 0100000123FB0527 +REG 0000000000000020 +REG FEFFFFFEDC04FAD9 +REG 0000000000000026 +REG FFFFFFFFF0000000 +REG 01E0F0000F000000 +REG FFFFFFFFF1000000 +REG 00000000000050E1 +REG 00CEE027D6000000 +REG 000000000FFFFDA9 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFB +REG 00004C2300004BFD +REG 7013EB6700D30067 +REG E0000001DFF4BC80 +REG 1EFFFFFDBBF4FAD8 +REG 0000000000000000 +REG 1FFFFFFEDFEFFFFF +REG FFFFFFFFF1000000 +REG 00000000FFFFFFFB +REG 0000000000000000 +REG 0003FFFFFFFF8800 +REG 0000000000000000 +REG FFFFFFFF001B437F +REG 8000000000000000 +REG 0000000000000000 +REG 000000009FE01025 diff --git a/tests/456.bin b/tests/456.bin new file mode 100644 index 0000000..d27d204 Binary files /dev/null and b/tests/456.bin differ diff --git a/tests/456.out b/tests/456.out new file mode 100644 index 0000000..fa22eb5 --- /dev/null +++ b/tests/456.out @@ -0,0 +1,32 @@ +REG 000000000081F0F1 +REG 00000000003FFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFF98 +REG 000000014756AC6A +REG 000000014756AE98 +REG 0000000000000000 +REG 0000000000000000 +REG 00000001AFDDAE98 +REG FFFFFFFFFFFFFFE0 +REG FFFFFFEFDF876BFF +REG 0000000000000008 +REG 0000000000007618 +REG 0000000000000000 +REG 000000014756AC6A +REG 0000000005573F14 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 8000001020789401 +REG 8000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000001AFDDAE98 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000003FFFFF +REG 000000014756AE98 +REG 0000000000000025 +REG 0000000091E91F10 diff --git a/tests/457.bin b/tests/457.bin new file mode 100644 index 0000000..ebcda56 Binary files /dev/null and b/tests/457.bin differ diff --git a/tests/457.out b/tests/457.out new file mode 100644 index 0000000..080b456 --- /dev/null +++ b/tests/457.out @@ -0,0 +1,32 @@ +REG FFFFFFFFDFFC6921 +REG FFFFFFFFD0DE0000 +REG 0000000000000001 +REG 0000000001060808 +REG 0000000000000040 +REG 00000000686F2202 +REG 7F171F5A46C7FFFF +REG 0106080701060807 +REG FFFFFFFFFFFFFFFF +REG 6194360E61943602 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000007E67 +REG 0000000000000000 +REG 7F171F5A46C7C112 +REG 0000000000000000 +REG 00001FFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 00000000010698A8 +REG 0000000000000730 +REG 7F171F5AF6F7FFFF +REG FFF0000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF99D1 +REG FFFFFFFFFFFFFFFF +REG 00000000010698A8 +REG FFFFFFFF9335000C +REG 7F171F5A46C7FFFF +REG 00000000CA1B0730 +REG FFFFFFFFFFFFFFFF +REG 0000000040870801 diff --git a/tests/458.bin b/tests/458.bin new file mode 100644 index 0000000..10989fc Binary files /dev/null and b/tests/458.bin differ diff --git a/tests/458.out b/tests/458.out new file mode 100644 index 0000000..d1cc0c4 --- /dev/null +++ b/tests/458.out @@ -0,0 +1,32 @@ +REG FFFFFFFF7C6B34DC +REG 0000000000000000 +REG 0000000000000003 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000003050603 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000088 +REG 00000000DD070001 +REG FFFFFFFF2CC377FE +REG 00000000DD070001 +REG 000000000E3BF6A4 +REG 0000000022F884D9 +REG 0000000000002E7E +REG 00000000DD070089 +REG 00000000FFFF84DA +REG FFFFE926F8187B25 +REG 0000000000000006 +REG 0000000000000000 +REG FFFFFFFFDD077B25 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFE927F8180000 +REG 0000000068000000 +REG FFFFFFFFFFFFB01A +REG 0000000000000000 +REG 0000000000000000 +REG 000000009FE51110 diff --git a/tests/459.bin b/tests/459.bin new file mode 100644 index 0000000..df4c1bd Binary files /dev/null and b/tests/459.bin differ diff --git a/tests/459.out b/tests/459.out new file mode 100644 index 0000000..741a21e --- /dev/null +++ b/tests/459.out @@ -0,0 +1,32 @@ +REG E03FFFE33F62C723 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000001BFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000012C42A40 +REG FFFFFFFFFFC0014D +REG 0808080508080805 +REG FFFFFFFFFFFD3DF7 +REG FFFFFFFFED3C3DF7 +REG 0000000020444088 +REG 0000000000000000 +REG FED390F777ED46FC +REG 0000000000000000 +REG 346FFFE651EDDFE6 +REG FFFFFFFFED3C3DF7 +REG 0808080508080805 +REG 012C6F08014EF6FB +REG E03FFFFFFDA787BE +REG FFFFFFFFFFFFFFFF +REG 0000000075780000 +REG E03FFFFFFDA787BE +REG FFFFFFFFFFFFFFFF +REG 000000000000000E +REG FF0FF88CFF0FF88C +REG 97FFFCC690000CC6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080890110 diff --git a/tests/46.bin b/tests/46.bin new file mode 100644 index 0000000..8015dfb Binary files /dev/null and b/tests/46.bin differ diff --git a/tests/46.out b/tests/46.out new file mode 100644 index 0000000..91bd278 --- /dev/null +++ b/tests/46.out @@ -0,0 +1,32 @@ +REG FFFFFFFFA7CF3C1F +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000FF00 +REG 0000000000008080 +REG 0000000000000000 +REG FFFFFFFFFFFD95DF +REG FFFFFFFFFFFFB28D +REG 0000000000000000 +REG 00000000000001AC +REG FFFFFFFFFFF0000E +REG 0000000000000000 +REG 000000007FFFFFFF +REG 0000000000000004 +REG 0000000000000019 +REG 0000000000002999 +REG FFFFF0000EFFFC00 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000022 +REG 0000000000000005 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000004D70 +REG FFFFFFFFFFF0000F +REG 0000000000000000 +REG 00000000000FB283 +REG 0000000000817A98 +REG F000000000000000 +REG 0000000110491101 diff --git a/tests/460.bin b/tests/460.bin new file mode 100644 index 0000000..e0ce594 Binary files /dev/null and b/tests/460.bin differ diff --git a/tests/460.out b/tests/460.out new file mode 100644 index 0000000..76cfe7a --- /dev/null +++ b/tests/460.out @@ -0,0 +1,32 @@ +REG 000000002B4727FE +REG 0000000025000000 +REG FFFFFFFFFFFFE03A +REG FFFFFFFE00000000 +REG 000025782513B86E +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF97FFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040000000 +REG FFFFFFFFFFFFA3C9 +REG 000000000000003B +REG 0000000000000000 +REG FFFFFFFFAD7970A1 +REG 0000000000000040 +REG 0000000023FD0000 +REG FFFFFFFFFFFFE03A +REG 0000000000000000 +REG FFFFFFFF97FAFFFE +REG 0000000000000000 +REG 0000000000006F54 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000001EEFD7F +REG FFFFFFFFFFCBFD7F +REG 1000000001EEFD7F +REG F000000000000000 +REG 00000000000070A1 +REG 0000000080000008 diff --git a/tests/461.bin b/tests/461.bin new file mode 100644 index 0000000..bafb98c Binary files /dev/null and b/tests/461.bin differ diff --git a/tests/461.out b/tests/461.out new file mode 100644 index 0000000..6f85008 --- /dev/null +++ b/tests/461.out @@ -0,0 +1,32 @@ +REG 003FFFFEC00003EE +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFB1260000 +REG 0000000000400000 +REG 0000000000000000 +REG 0000000100000011 +REG 000000000000003F +REG 000000004EDA0000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000003FFF9FFF +REG 0000000020000000 +REG FFFFFFFFFFFFFFFF +REG 000000003FFFFC00 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000020000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000FFFF62 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFF2F7D +REG 000000003FFFFC00 +REG EC49813BEC49813B +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000088910891 diff --git a/tests/462.bin b/tests/462.bin new file mode 100644 index 0000000..4d6b53d Binary files /dev/null and b/tests/462.bin differ diff --git a/tests/462.out b/tests/462.out new file mode 100644 index 0000000..49dd793 --- /dev/null +++ b/tests/462.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBD +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000062000000 +REG FFFFFFFFFFFFFFB2 +REG 0000000000000000 +REG 0000000025A3FFFF +REG FFFFFFFF25A3FFFF +REG 00000000DA5C0000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000039 +REG 0000000000000040 +REG 000000000000246A +REG 00000000000003FF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 4000000000000000 +REG 000000007FFFF7BF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000061FFE42B +REG 0000000040000801 diff --git a/tests/463.bin b/tests/463.bin new file mode 100644 index 0000000..427705b Binary files /dev/null and b/tests/463.bin differ diff --git a/tests/463.out b/tests/463.out new file mode 100644 index 0000000..3503499 --- /dev/null +++ b/tests/463.out @@ -0,0 +1,32 @@ +REG 00000000000063FA +REG 0000000000248000 +REG 000000000000614C +REG FFFFFFFF98EFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000005159 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF8AB2 +REG 0000000000000000 +REG 0000000008080000 +REG 0003A00000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFC5FFFFFDB7FFF +REG FFFFFFFFF7F85849 +REG FFE55CFFFFFFCABA +REG FFFFFFFFFFFFFFFF +REG 00000000000002B6 +REG 0000000005844DCB +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000040 +REG 000000000000FF5C +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000248000 +REG FFFFFFFFFFFFFFFF +REG 00000000098A0000 +REG FFFFFE5425D00000 +REG 0000000040800918 diff --git a/tests/464.bin b/tests/464.bin new file mode 100644 index 0000000..8686104 Binary files /dev/null and b/tests/464.bin differ diff --git a/tests/464.out b/tests/464.out new file mode 100644 index 0000000..30c95f6 --- /dev/null +++ b/tests/464.out @@ -0,0 +1,32 @@ +REG 0000FFFCBE27C400 +REG 0000000000000001 +REG 000000000007FFFF +REG 000000000000003A +REG 000000000000003C +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000009C1600000 +REG 000000000000924E +REG FFFFFFFFFFFFFFFC +REG 000000004E0B0000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000004 +REG F800004000000004 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000FFFFFF +REG FFFFFFFF211D0000 +REG 0000000000000000 +REG 0808080806000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 000000000000FFFF +REG FFFFFFFF211E0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000040008001 diff --git a/tests/465.bin b/tests/465.bin new file mode 100644 index 0000000..5b03c97 Binary files /dev/null and b/tests/465.bin differ diff --git a/tests/465.out b/tests/465.out new file mode 100644 index 0000000..d2eee3d --- /dev/null +++ b/tests/465.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFA26D +REG 000003FE3A2FFFFE +REG 0000000000000007 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000100 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000003FE3A2FFEFD +REG 0000000000032F50 +REG 0000000000000001 +REG 0000000040000000 +REG 0000000000001FC7 +REG 0000000000000000 +REG 0000000045330000 +REG FFFFE262B8C00000 +REG FFFFFFFFC0000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0808080808080807 +REG FFFFFFFFFFFFCBD4 +REG E000000000000000 +REG FFFFE00000007FF8 +REG 0000000040000000 +REG 0000000000000000 +REG 000030B2000030B8 +REG FFFFFFFF90E50000 +REG 0000000000000000 +REG 0000000000000196 +REG 0000000000000804 +REG 00000000489E5089 diff --git a/tests/466.bin b/tests/466.bin new file mode 100644 index 0000000..76d8914 Binary files /dev/null and b/tests/466.bin differ diff --git a/tests/466.out b/tests/466.out new file mode 100644 index 0000000..c30ae52 --- /dev/null +++ b/tests/466.out @@ -0,0 +1,32 @@ +REG 0000000034958881 +REG 0000000000000040 +REG 0000000031D80000 +REG FFFFFFFFFFFFFFC0 +REG FFFFFFFFFBFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFF97A9 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000016 +REG 0000000000000000 +REG 0000000005000000 +REG 0000000000000000 +REG FFFFFFFFFFFF9120 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF93E0 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF9120 +REG 0000000000000001 +REG 0000000049001110 diff --git a/tests/467.bin b/tests/467.bin new file mode 100644 index 0000000..605dee7 Binary files /dev/null and b/tests/467.bin differ diff --git a/tests/467.out b/tests/467.out new file mode 100644 index 0000000..dd42933 --- /dev/null +++ b/tests/467.out @@ -0,0 +1,32 @@ +REG 00000107808F0752 +REG 0000000000000000 +REG FFFFFFFFFFFF95DA +REG 0000000000004000 +REG 00000107808AF0AB +REG 01178EBBA0028000 +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG 740326631868F489 +REG 0000000000000000 +REG FFFFFFFF20DFD360 +REG FFFFFFFFFFFFFFF2 +REG 0000000000000600 +REG 0000000006783F4C +REG 00000000C0000000 +REG 0000000000000000 +REG 0000000000000000 +REG 01178EBBA0028000 +REG 00000000011230D0 +REG FFFFFFFFFFFFFFF2 +REG 0000000000000000 +REG 0000000000000008 +REG 0000000020000000 +REG 0000000012C930D0 +REG 01178EBBA0028000 +REG 0000000000000000 +REG 0000000000000020 +REG 000000007F74D277 +REG 000000007F74D277 +REG FFFFFFFFFFFAFFFF +REG FFFFFFFFFFFFFFF2 +REG 0000000049B90819 diff --git a/tests/468.bin b/tests/468.bin new file mode 100644 index 0000000..231493f Binary files /dev/null and b/tests/468.bin differ diff --git a/tests/468.out b/tests/468.out new file mode 100644 index 0000000..c59dbb3 --- /dev/null +++ b/tests/468.out @@ -0,0 +1,32 @@ +REG 00000C8A007F878C +REG 0000000000000000 +REG 0000000000000000 +REG 000000004847ED7D +REG 0000000000000040 +REG 0000000000000000 +REG 0000000080000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000035 +REG 0000000000000020 +REG 0000000000800000 +REG FFFFFFFFFFFFFFBF +REG FFFFFFFFFFFFFD41 +REG 0000000000000000 +REG FFFFFFFFFFFF8905 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 000000002847FD41 +REG 000000000BF00000 +REG 00000000000076FB +REG 0000000000000035 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFD41 +REG 0000000000000000 +REG 0000000000004DF8 +REG 0000000048484000 +REG 0000001211FB5F40 +REG 0000000048484000 +REG 0000000090908101 diff --git a/tests/469.bin b/tests/469.bin new file mode 100644 index 0000000..e53dc5b Binary files /dev/null and b/tests/469.bin differ diff --git a/tests/469.out b/tests/469.out new file mode 100644 index 0000000..2cc94d3 --- /dev/null +++ b/tests/469.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFE2703 +REG 7360900073608000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFBFF000 +REG 0000000000000000 +REG FFFFFFFFFFFF0703 +REG 0000000000000000 +REG FFFFFFFFFFF0BB2D +REG 0000000000401000 +REG FE8A2A9EC34249C5 +REG 0000000000008000 +REG FE8A2A9EC34249C5 +REG 0000000000011FFF +REG 00000000000E4BD6 +REG 00000000000E4BD6 +REG FFFFFFFFFFFFFFFE +REG 0000000000012000 +REG 0000000000000000 +REG 0000000000000001 +REG BFFFFBFFF6A8DA2C +REG 00000000000E6F24 +REG FFFFFFFED51B4580 +REG 000000000000078E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000108891088 diff --git a/tests/47.bin b/tests/47.bin new file mode 100644 index 0000000..2b16c01 Binary files /dev/null and b/tests/47.bin differ diff --git a/tests/47.out b/tests/47.out new file mode 100644 index 0000000..c39d860 --- /dev/null +++ b/tests/47.out @@ -0,0 +1,32 @@ +REG 0000002420404474 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF7 +REG 000000000000016E +REG 0000000800111BFF +REG 0000000000000009 +REG 000000000000016F +REG 00000000000001EF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000241 +REG 0000000000006CA1 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF1F67 +REG 0000000000000000 +REG 0000000040000000 +REG FFFFF945EE5F6CA1 +REG FFFFFFFFFFFEED5F +REG 0000000000000000 +REG 0000000000000000 +REG 000000002000446F +REG 000000004F00756F +REG FFFFFFFFFFFFFFF8 +REG 000000000000003D +REG 000000002000446F +REG FFFFFFFFFFFFFFFF +REG 0000000079EDE58B +REG 0000000040000241 +REG 000000004F00000F +REG 0000000000000000 +REG 00000000408088DE diff --git a/tests/470.bin b/tests/470.bin new file mode 100644 index 0000000..f397603 Binary files /dev/null and b/tests/470.bin differ diff --git a/tests/470.out b/tests/470.out new file mode 100644 index 0000000..828992e --- /dev/null +++ b/tests/470.out @@ -0,0 +1,32 @@ +REG 0000000000000041 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001C +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF9CAFFFC6 +REG 0000000000000700 +REG 0000000000000000 +REG 0000D65800000000 +REG F8F0909FFFFFB7C4 +REG 0000000008000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000200000001C +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000000000000000 +REG 8F0F6F600000483B +REG 000000000000411A +REG 00000000C2706060 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFBF +REG 000000000000483B +REG 0000000000001000 +REG 0000000000000000 +REG 0000000000000070 +REG 0000D6579CAFFFC6 +REG 000000000000000C +REG FFFFFFFFFFFFFFFF +REG 0000000050885E10 diff --git a/tests/471.bin b/tests/471.bin new file mode 100644 index 0000000..1017839 Binary files /dev/null and b/tests/471.bin differ diff --git a/tests/471.out b/tests/471.out new file mode 100644 index 0000000..c12e644 --- /dev/null +++ b/tests/471.out @@ -0,0 +1,32 @@ +REG FFFFFFFF70701FB5 +REG 00000000000068A7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000062020 +REG 0000000000000001 +REG 000357E000033D21 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000800000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 000000200000001F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001ABF +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000040 +REG 0000000000001ABF +REG 0000000000000020 +REG 000000000000001A +REG 0000000000000003 +REG 00000000000068C8 +REG 0000000000000000 +REG 000000200000001F +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000000 +REG 000000009F1FE908 diff --git a/tests/472.bin b/tests/472.bin new file mode 100644 index 0000000..5263fe2 Binary files /dev/null and b/tests/472.bin differ diff --git a/tests/472.out b/tests/472.out new file mode 100644 index 0000000..d8660c3 --- /dev/null +++ b/tests/472.out @@ -0,0 +1,32 @@ +REG 000000000000000A +REG FFFFFE0000000007 +REG FFFFFFFFFFFFFFFF +REG 0000000FFFFFFFFF +REG 000000000000001D +REG 0000000000000000 +REG 0000000000000001 +REG 000000000000047A +REG FFFFFFFFFFFF803C +REG FFFFFFFFFFFFFFFF +REG 0000000000000015 +REG 0000000000000000 +REG 000000003FC40E10 +REG 000000000000CD13 +REG 000000000501101C +REG 000000008C800D09 +REG 0000000000000500 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFAA08 +REG 0000000000000000 +REG 0000000000000000 +REG C133228B01337FFF +REG 0000000000000001 +REG 0000000000000014 +REG 0000000000000001 +REG FFFFFFFFFFFFFFEB +REG FFFFFFFFFFFFFFFF +REG 0000000119001A12 diff --git a/tests/473.bin b/tests/473.bin new file mode 100644 index 0000000..3637297 Binary files /dev/null and b/tests/473.bin differ diff --git a/tests/473.out b/tests/473.out new file mode 100644 index 0000000..828b8d9 --- /dev/null +++ b/tests/473.out @@ -0,0 +1,32 @@ +REG 000000004F401FF5 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFBB +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 7FFFFFF77B055B88 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 8000000884FAA478 +REG 010000007C36C1EE +REG 000000007FC00000 +REG 0000000000000044 +REG 0000000000000000 +REG 0000000000000001 +REG 00000000000000A6 +REG FFFFFFFFFFFFFFFF +REG 0000000000001FB2 +REG 000000008F408444 +REG 0808080808080808 +REG 0000000084FAA478 +REG 000000007C36C1EE +REG 000000004F520044 +REG 0000000064CB0000 +REG 0000000000000000 +REG 00000000000072E5 +REG 0000000000400000 +REG 0000000000000000 +REG 000000009E810888 diff --git a/tests/474.bin b/tests/474.bin new file mode 100644 index 0000000..cce0a6a Binary files /dev/null and b/tests/474.bin differ diff --git a/tests/474.out b/tests/474.out new file mode 100644 index 0000000..aeea13d --- /dev/null +++ b/tests/474.out @@ -0,0 +1,32 @@ +REG BFFFFFFEC755FF30 +REG 00001E0005851E00 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF9 +REG 0000000000000000 +REG FFFFFFFFFFFFF8C0 +REG 0000000000000000 +REG 4E3C00000003F601 +REG 0000000200000002 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFC755FFC8 +REG 0000000000000005 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG B1C3FFFFFFFC02A7 +REG 00000000000000FF +REG 000000000000003A +REG 00001E0005851E00 +REG 0000000000002387 +REG 00000000000054F7 +REG 67ADCD3860000038 +REG FFFFFFFFFFFFFFFF +REG 0000000000000003 +REG 0000000000000007 +REG 0000000000000020 +REG 4E3C00000003FDA7 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 67ADCD3860000038 +REG 0000000088000907 diff --git a/tests/475.bin b/tests/475.bin new file mode 100644 index 0000000..1f054ef Binary files /dev/null and b/tests/475.bin differ diff --git a/tests/475.out b/tests/475.out new file mode 100644 index 0000000..94c8e2c --- /dev/null +++ b/tests/475.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFABA1 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000021F427C8 +REG FFFFFFFFFFFFFFDF +REG 000000000000425E +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFC +REG 0000000000800000 +REG 0000000000000006 +REG FFFFFFFFFFFFFFFC +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFC +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFC6000000 +REG 0000000000000080 +REG 0C0000007FFFFF80 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003F +REG 0000000000000000 +REG 0000000021F42B66 +REG F8000000000001DF +REG FFFFFFFFC6000000 +REG 0000000000000000 +REG 0000000018000000 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000043E84850 diff --git a/tests/476.bin b/tests/476.bin new file mode 100644 index 0000000..05ea22f Binary files /dev/null and b/tests/476.bin differ diff --git a/tests/476.out b/tests/476.out new file mode 100644 index 0000000..5605103 --- /dev/null +++ b/tests/476.out @@ -0,0 +1,32 @@ +REG FFFFFFFFB800B1F3 +REG 0000000000000000 +REG 0000000000000010 +REG FFFFFFFFFFFFA7A9 +REG 000000000000000D +REG 00000000DCFD0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000030 +REG 000000007BC50000 +REG 0000000000000000 +REG 0000000000379C87 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFAC7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020A4FF48 +REG 0000000080A4FF4F +REG 0000000000000000 +REG 000000000000000D +REG 0000000000000000 +REG FFFFFFDD35BFFFB0 +REG FFFFFFFFFFFFFFF0 +REG 000000001FFFFC00 +REG 0000000000000010 +REG 0000000000000000 +REG 000000004149FE90 diff --git a/tests/477.bin b/tests/477.bin new file mode 100644 index 0000000..5481aac Binary files /dev/null and b/tests/477.bin differ diff --git a/tests/477.out b/tests/477.out new file mode 100644 index 0000000..ce221d9 --- /dev/null +++ b/tests/477.out @@ -0,0 +1,32 @@ +REG FFFFFFF27EBB1B29 +REG 0000000000000105 +REG 91FFFE3180000001 +REG FFFFFFFF7FFF0000 +REG FFFFFFE140004E5B +REG 0000000000000197 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000100000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000048000000597 +REG 00000000000082C9 +REG 0000000000000000 +REG 0000000100000000 +REG 2BAF10002BAF1000 +REG 0000000000000000 +REG 0000048000000000 +REG 0000000080000000 +REG 0000000000004A96 +REG 0000007E0001FFFF +REG 91FFFE31C0D60001 +REG 0000001E00006992 +REG 0000000000000000 +REG FFFFFFFF96400000 +REG 0000000000000000 +REG 0000000000002397 +REG 0000000000000000 +REG 0000000081FE90DA diff --git a/tests/478.bin b/tests/478.bin new file mode 100644 index 0000000..d41b604 Binary files /dev/null and b/tests/478.bin differ diff --git a/tests/478.out b/tests/478.out new file mode 100644 index 0000000..995edbc --- /dev/null +++ b/tests/478.out @@ -0,0 +1,32 @@ +REG 0084002000002BDF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFD43D +REG FF7FFFFFFFFFD43A +REG 0000000000000000 +REG 9FFFFFFFF8000000 +REG 0080000000000000 +REG 0000000000000001 +REG 000000000000003F +REG FFFFFFFFFFFFD43C +REG 0000000004000000 +REG 0000000000000000 +REG FF7FFFFFFFFFD402 +REG 00000000000059F4 +REG FFFFFFFF7BF7BBB7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000004002BC2 +REG FFFFFFFFFFFFD43C +REG FFFFFFFFFFFFFFC7 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000007FD43C +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF9316 +REG FFFFFFFFFFFFFFFF +REG 0000000108108810 diff --git a/tests/479.bin b/tests/479.bin new file mode 100644 index 0000000..1af192c Binary files /dev/null and b/tests/479.bin differ diff --git a/tests/479.out b/tests/479.out new file mode 100644 index 0000000..42ead0a --- /dev/null +++ b/tests/479.out @@ -0,0 +1,32 @@ +REG 00000000EBBAFFFF +REG 0000000000000000 +REG 0000000000000848 +REG 000000000000001F +REG 00ED4F6FD5FF4B25 +REG 000000004FB40000 +REG FFFFFFFFB04C0000 +REG 0000000000000000 +REG FFEFEF8000000015 +REG FFE8000000000000 +REG FFFFFFFFFFFFFFFF +REG 00FD5FEFD4FD4809 +REG 0000000000000015 +REG 000000A0B8844802 +REG 0000000000000000 +REG 00FD5FEF2CDF4809 +REG 0000000000000000 +REG FFFFFFFFB04C0003 +REG 0000000000000000 +REG 00ED4F6FD5FF4B25 +REG 0000000000000000 +REG 0000000001020307 +REG 0000000000000000 +REG 0CC59780000A6485 +REG FFFFFFFFFF7BFFF6 +REG 0000000000000000 +REG 0000000000000016 +REG 000000000000000B +REG 000000000000000B +REG 0000000000000020 +REG FFFFFFFFFF7BFFF6 +REG 0000000089011110 diff --git a/tests/48.bin b/tests/48.bin new file mode 100644 index 0000000..cc6a358 Binary files /dev/null and b/tests/48.bin differ diff --git a/tests/48.out b/tests/48.out new file mode 100644 index 0000000..59edbf2 --- /dev/null +++ b/tests/48.out @@ -0,0 +1,32 @@ +REG 0FFFDFFFFBB0E001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFAFFFFFF0 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 000000004864401B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000E55 +REG 000000004FFF1384 +REG 0000000000000000 +REG 000000004FFF1384 +REG F0002000544F1FFF +REG 000000004864400B +REG 000000007A99FFFF +REG 0000000000000000 +REG 000000006BC30000 +REG 0000000080000000 +REG 0000000000000000 +REG 0000000000000010 +REG 00000000000007FF +REG 0000000000000010 +REG 0000000050000010 +REG 0000000009410000 +REG 0000000000000020 +REG FFFFFFFFAEB8FF82 +REG FFFFFFFFFFFFBA2F +REG FFFFFFFFFFFFFFEF +REG 0000000110C88017 diff --git a/tests/480.bin b/tests/480.bin new file mode 100644 index 0000000..ce2a00c Binary files /dev/null and b/tests/480.bin differ diff --git a/tests/480.out b/tests/480.out new file mode 100644 index 0000000..067511d --- /dev/null +++ b/tests/480.out @@ -0,0 +1,32 @@ +REG 0000002003000015 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 00000000FFFFFFFF +REG 0000000000000025 +REG 00000000037BC000 +REG 0000000000006246 +REG 0000000000007B81 +REG 6800000068000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFCAFFFFFFCA +REG FFFFFFFFFFFFFFF5 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFEFFFFFFFE +REG FFFFFFFFFFFFFFFF +REG 680000006B7BC000 +REG 000000000000001A +REG 0000000000000000 +REG 0000004000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000031B8 +REG 0000000000000000 +REG FFFE0000037BC000 +REG 00000000FFFFFFFF +REG 0000000100004052 +REG 000000011E1FE81F diff --git a/tests/481.bin b/tests/481.bin new file mode 100644 index 0000000..5676b5b Binary files /dev/null and b/tests/481.bin differ diff --git a/tests/481.out b/tests/481.out new file mode 100644 index 0000000..e9002fe --- /dev/null +++ b/tests/481.out @@ -0,0 +1,32 @@ +REG 40C3002000001059 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF3FFEB6C0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000C73380 +REG 00000000000044D5 +REG 0000000000000040 +REG 000000000000A318 +REG 0003080808080405 +REG 00000000C0014940 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000040 +REG BFFFFFDFFFFFEF86 +REG 0000000000000000 +REG BFFFFFDFFFFFEF86 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000C73380 +REG 0000000000000040 +REG 0000000000000040 +REG 0000000000005053 +REG 0000000000000040 +REG 00000000C00144D5 +REG FFFFFFFF3FFEBB2B +REG 0000000090010009 diff --git a/tests/482.bin b/tests/482.bin new file mode 100644 index 0000000..26e19c9 Binary files /dev/null and b/tests/482.bin differ diff --git a/tests/482.out b/tests/482.out new file mode 100644 index 0000000..d49529b --- /dev/null +++ b/tests/482.out @@ -0,0 +1,32 @@ +REG 0000177600003257 +REG 000000000000AA83 +REG 0000000000000001 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFE000000000175 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFE889FFFFE889 +REG 0000000000000000 +REG FFFFE88A00005936 +REG 0000000000000000 +REG 000000000000001A +REG FFFE000000000175 +REG FFFFFFFF0000FFFE +REG 00000000FFFF0000 +REG 0000000000000001 +REG FFFDE889FFFFF5A8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000177600001776 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000031 +REG 0000000000000000 +REG FFFFE889FFFFEDA9 +REG 0000000000000000 +REG 0000000040881111 diff --git a/tests/483.bin b/tests/483.bin new file mode 100644 index 0000000..9052f1e Binary files /dev/null and b/tests/483.bin differ diff --git a/tests/483.out b/tests/483.out new file mode 100644 index 0000000..a62ed06 --- /dev/null +++ b/tests/483.out @@ -0,0 +1,32 @@ +REG FFFFFFFF26F9BAD8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000000 +REG 0000100000001FC0 +REG 0000000000000000 +REG 0000000000000039 +REG 0000000000000000 +REG 00000005FFFFF37B +REG FFFFFFFFFFFFFFFD +REG 0000000000000000 +REG 0000000000000080 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000002 +REG 0000000000000000 +REG FFFFFFFA00000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000018860000 +REG 0000000044840404 +REG 0000000000000000 +REG 0000000089080809 diff --git a/tests/484.bin b/tests/484.bin new file mode 100644 index 0000000..5b28855 Binary files /dev/null and b/tests/484.bin differ diff --git a/tests/484.out b/tests/484.out new file mode 100644 index 0000000..6ddfd34 --- /dev/null +++ b/tests/484.out @@ -0,0 +1,32 @@ +REG 7E97FFFFFFFF9FFE +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFF1C0100001 +REG FE97FFFFFFC09FFF +REG 0000000E3FF00000 +REG FFFFFFFFFFFFFFFF +REG 2A47F80000000001 +REG 0000000000000000 +REG 795180000005346C +REG 0000000000000000 +REG 0000000000007B1A +REG 0000000000000000 +REG 00000F0E3FF00000 +REG 0000000000000001 +REG 0000000000000001 +REG 0000000000000020 +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG 2A47F80000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 2A47F80000000001 +REG 0000000000000002 +REG 0000000000000001 +REG 000000000000001F +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003E +REG 0000000000001523 +REG 0000000000000000 +REG 0000000084000002 diff --git a/tests/485.bin b/tests/485.bin new file mode 100644 index 0000000..52bb46e Binary files /dev/null and b/tests/485.bin differ diff --git a/tests/485.out b/tests/485.out new file mode 100644 index 0000000..8b2c909 --- /dev/null +++ b/tests/485.out @@ -0,0 +1,32 @@ +REG 000006909E7861FF +REG FFFFFD6FFFFFBD7A +REG 00000000001FFFFF +REG 0000000000000000 +REG 0000000000000004 +REG 0000000000000000 +REG FFFFFFFFFFFFC6B6 +REG 0000000000000000 +REG FFFFFD6FFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFBFFFFF3FFFFFFF +REG 0000000000000040 +REG 0000000000000000 +REG 00BFFFFF3FFFFFFF +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00400000E0710000 +REG 000000002070FFFF +REG 0000000064BE0000 +REG 0400000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0440000000020800 +REG 0000000000000010 +REG 000000000000E610 +REG FFFFF5BFFFFFFFFC +REG 000000000000064B +REG 0000000000000000 +REG 0000000000000000 +REG FFFFF5BFFFFFFFFC +REG 000000009FFFE142 diff --git a/tests/486.bin b/tests/486.bin new file mode 100644 index 0000000..67d808b Binary files /dev/null and b/tests/486.bin differ diff --git a/tests/486.out b/tests/486.out new file mode 100644 index 0000000..d410ad2 --- /dev/null +++ b/tests/486.out @@ -0,0 +1,32 @@ +REG 000000000000000D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 2FFFF8682FFFF868 +REG 0808080808080808 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000003FC00 +REG 000000000003FC00 +REG 000000000003FC00 +REG F7F7F7F7F7F7F7F8 +REG 0000000000000000 +REG 0000000000000000 +REG FB0E90C8FB0E90C8 +REG FFFFFFFFFFC0797E +REG 0000000000000000 +REG 0000000000000003 +REG 000003FFE5A30000 +REG 0000000000005400 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000007D +REG 0000000000000000 +REG 000000000000797D +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000053FF000053FF +REG 0000000040800810 diff --git a/tests/487.bin b/tests/487.bin new file mode 100644 index 0000000..2536fda Binary files /dev/null and b/tests/487.bin differ diff --git a/tests/487.out b/tests/487.out new file mode 100644 index 0000000..75e354d --- /dev/null +++ b/tests/487.out @@ -0,0 +1,32 @@ +REG 00000EFFD8F7FFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000204D0000 +REG FFFFFFFF80800000 +REG FFFFFFFFC835158C +REG 07CAE37737CAEA73 +REG 0000000000003F12 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000001800003F12 +REG FFFFFFFFFFFFFFFF +REG FFFFFFBEFFFFFFBE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000066F95D4E60F9 +REG 00000000000F0000 +REG 0000000000000000 +REG 000000007F80ABA6 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000005F1E9010 diff --git a/tests/488.bin b/tests/488.bin new file mode 100644 index 0000000..2a646e4 Binary files /dev/null and b/tests/488.bin differ diff --git a/tests/488.out b/tests/488.out new file mode 100644 index 0000000..064767d --- /dev/null +++ b/tests/488.out @@ -0,0 +1,32 @@ +REG 00000000032523D6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFF9291FE000091 +REG CAD6CD2EFE1C0A11 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFF9291FE000091 +REG FFFFFFFFFFFFFFFF +REG 0000000100000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000F00000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000041E04108 diff --git a/tests/489.bin b/tests/489.bin new file mode 100644 index 0000000..64c5cfc Binary files /dev/null and b/tests/489.bin differ diff --git a/tests/489.out b/tests/489.out new file mode 100644 index 0000000..a7a8f70 --- /dev/null +++ b/tests/489.out @@ -0,0 +1,32 @@ +REG 00000000B53F2BCC +REG 0808080808080808 +REG 000003B000000000 +REG 00000000B53E8044 +REG 0000000000000000 +REG FFFFFFFF7CBEDABA +REG 0000000000000011 +REG 014448AFD47D4B14 +REG FFFFFFFFFFFFFFFF +REG 000000002D6B0011 +REG AFFAEEDD44000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000003B +REG 500511233F412557 +REG 000000007F7FB100 +REG FEBBB7510C94B4EB +REG 0000000000000000 +REG 00000000E1120000 +REG 0000000083412557 +REG FFFFFFFFFFFF8ECD +REG 0000000000003614 +REG FFFFFFFFFFFFFFFF +REG 014448AFD47D4B14 +REG 0000000000000003 +REG 0000000000000000 +REG FFFFFFFFE116F0BF +REG 0000000000000000 +REG 80804EFF80804EFF +REG 0000001000000000 +REG 0000000000000010 +REG 0000000000000000 +REG 000000009E811A08 diff --git a/tests/49.bin b/tests/49.bin new file mode 100644 index 0000000..039a185 Binary files /dev/null and b/tests/49.bin differ diff --git a/tests/49.out b/tests/49.out new file mode 100644 index 0000000..7639298 --- /dev/null +++ b/tests/49.out @@ -0,0 +1,32 @@ +REG 000000000000002D +REG 0000000000000000 +REG 0000000000000020 +REG FFFF9788AE000000 +REG 0000000000003AFC +REG 0000000000800000 +REG 0000000000000037 +REG 0000000000000EC7 +REG 00000000DA000000 +REG FFFFFFFFFFFFFBB4 +REG 0000000000000000 +REG 0AA071370AA07003 +REG FFFFFF9EBFFFFFFF +REG 0000000000000004 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000082000008 +REG 0000000082000008 +REG 0000000040000000 +REG 0000000840000000 +REG 0000000000000006 +REG 0000000000000000 +REG 0000000000004254 +REG 0000000082000004 +REG 0000006140000000 +REG 0000000000000000 +REG 00000000097B5800 +REG 0000000000000006 +REG 0000000089E90008 +REG 0000000000003C21 +REG 0000000000000000 +REG 0000000004101088 diff --git a/tests/490.bin b/tests/490.bin new file mode 100644 index 0000000..92f1365 Binary files /dev/null and b/tests/490.bin differ diff --git a/tests/490.out b/tests/490.out new file mode 100644 index 0000000..2c32fa8 --- /dev/null +++ b/tests/490.out @@ -0,0 +1,32 @@ +REG 06F2C00007FFE000 +REG FFFFFFFF9D47FFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFF37FB7D4 +REG 0000000000000000 +REG 4000000000000000 +REG FFFFFFFFDD680000 +REG 0000000000000000 +REG FFFFFFFFFFFBFFDF +REG 0000000000000000 +REG FFFFFFFFFFFB31BD +REG 000000000007CE63 +REG 0000000070000000 +REG 000000002297978A +REG 0000000000000000 +REG FFFFFFFFFFF831BD +REG 0000000000000000 +REG 0000000000080000 +REG FFFFFFFFFFFFFFCD +REG 0000000070000000 +REG 000000000008182A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000040021 +REG 0000000000040021 +REG 0000000000000000 +REG 0000001B0000001B +REG 0000000000000020 +REG 000000009081110C diff --git a/tests/491.bin b/tests/491.bin new file mode 100644 index 0000000..f66a704 Binary files /dev/null and b/tests/491.bin differ diff --git a/tests/491.out b/tests/491.out new file mode 100644 index 0000000..68e52c2 --- /dev/null +++ b/tests/491.out @@ -0,0 +1,32 @@ +REG 7FFFE0FFFFFFFE09 +REG 004000007FBFF000 +REG 23E627FFFFFFFFCB +REG DC19D7FF9EFF54F9 +REG 0000000000000000 +REG 0040000000400000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000FF000000FF00 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFF00000000FF +REG 2808000328080003 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000100000000 +REG 0000000000000000 +REG F7F7FFF8FE003FFF +REG 000000000038B9BA +REG 0000000001FFFFBF +REG 0000000000000020 +REG 0000000000000000 +REG 000000000000102A +REG DC19D7FFFFFFFFFF +REG 0000001001FFFFBF +REG 000000007FFFF000 +REG FFFFFFFF9EFF54C4 +REG FFFFFFFFFFFFFFFF +REG 4545F7574545F757 +REG FFFFFFFFFFFFFFCA +REG 0000000000000000 +REG 0000000085085008 diff --git a/tests/492.bin b/tests/492.bin new file mode 100644 index 0000000..d27fe6c Binary files /dev/null and b/tests/492.bin differ diff --git a/tests/492.out b/tests/492.out new file mode 100644 index 0000000..c351ae8 --- /dev/null +++ b/tests/492.out @@ -0,0 +1,32 @@ +REG F986AFFFC43F2865 +REG 0000000013A90000 +REG 0000000000000005 +REG 00000000000022A9 +REG 0000000000000020 +REG 0000000000000000 +REG 000000080E94507A +REG 0000000000000000 +REG FFFFFFFFFFFFA9D7 +REG 19E5400000014000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00111800000138D9 +REG 00111800000138D9 +REG FFFFFFFFFFFFEF47 +REG FFFFFFFFFFFFFFED +REG 0000000000000000 +REG 0000000000000020 +REG 000000080E945085 +REG 0000000000000000 +REG FFFFFFFFFFFF991E +REG 000000080E945085 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFF7F16BAF80 +REG 000000D800000000 +REG 0000000000008016 +REG 0000000000000001 +REG 000000000000689B +REG FFFFB7FFFFFFF000 +REG 0000000048111008 diff --git a/tests/493.bin b/tests/493.bin new file mode 100644 index 0000000..75e7890 Binary files /dev/null and b/tests/493.bin differ diff --git a/tests/493.out b/tests/493.out new file mode 100644 index 0000000..4889a15 --- /dev/null +++ b/tests/493.out @@ -0,0 +1,32 @@ +REG 00003D5CBE61AB6F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFDFFFFFDF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFDFFFFFDF +REG 0000000000000000 +REG 0000000000000000 +REG 008080487FFC729A +REG FFFFFFFF9C9C0030 +REG 0000000000004AAA +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 00003D5CF652AB6F +REG 0000000000000020 +REG 0000000000000000 +REG 00000000517D0000 +REG 00007FFFFFFFCE4E +REG 00000000517D0000 +REG FFFE000000000000 +REG 0000000000000000 +REG 000000011E908100 diff --git a/tests/494.bin b/tests/494.bin new file mode 100644 index 0000000..0f0fa69 Binary files /dev/null and b/tests/494.bin differ diff --git a/tests/494.out b/tests/494.out new file mode 100644 index 0000000..1dfad35 --- /dev/null +++ b/tests/494.out @@ -0,0 +1,32 @@ +REG 0000000080768C4B +REG 0808080801050808 +REG 0000000000000000 +REG FFFFFFFFFFFFEF59 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000200000000000 +REG FFF7AC7FFFFFF22A +REG FFFFFFFF8076FC0F +REG 0000000000000000 +REG 0000000058200000 +REG 0000000000000000 +REG 0000000000000000 +REG 003FFFFF46AFEF59 +REG 0000000000000000 +REG FFF7AC800000001F +REG FFFFFFFFFFFFEF59 +REG 0000000082000000 +REG FFFFFFFFFFFFF20B +REG 0000000027890000 +REG 0000000000000080 +REG FFFFFFFFFFFFF000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFF8076FFFF +REG 003FDFFFFFFFC624 +REG FFFFFFFFFC000000 +REG 0000000058200000 +REG 000000007F890000 +REG 000000009051E100 diff --git a/tests/495.bin b/tests/495.bin new file mode 100644 index 0000000..3e1d0fb Binary files /dev/null and b/tests/495.bin differ diff --git a/tests/495.out b/tests/495.out new file mode 100644 index 0000000..aa5dbb3 --- /dev/null +++ b/tests/495.out @@ -0,0 +1,32 @@ +REG 00008122FC99222B +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG 0000000000000029 +REG 9BFFFFFFFFFFFFD8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG F385D240BCD9D241 +REG 0000000000000000 +REG 0000000029A70000 +REG 0000000040000000 +REG 0000000000000020 +REG 007A5C92007A5C92 +REG 0000000000000010 +REG 0000000000000040 +REG 00000000BCD9D241 +REG 0000000028280080 +REG 0000000000000000 +REG 0000000000000000 +REG 00008122FC99222B +REG 0000000000003EA7 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG F4002ED2BD548C0E +REG 0000000000000000 +REG 0000000050500100 diff --git a/tests/496.bin b/tests/496.bin new file mode 100644 index 0000000..5503145 Binary files /dev/null and b/tests/496.bin differ diff --git a/tests/496.out b/tests/496.out new file mode 100644 index 0000000..1efc575 --- /dev/null +++ b/tests/496.out @@ -0,0 +1,32 @@ +REG FFFFFFC1C380FFFF +REG 0000000000000F21 +REG 0000000000000020 +REG 0000001F00000020 +REG 0000000000000005 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG FFFFFFFFFFF72F68 +REG 0000000010000000 +REG FFFFFFFFA35EFFFF +REG 0000000000000000 +REG 0000000790000000 +REG 0000000000000000 +REG 0000003E3F7F49DC +REG 00000000000077D9 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000010000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 00000000000077D9 +REG 0000000010000000 +REG FFFFFFFFFFFFFFFF +REG 0000000048900900 diff --git a/tests/497.bin b/tests/497.bin new file mode 100644 index 0000000..56494cd Binary files /dev/null and b/tests/497.bin differ diff --git a/tests/497.out b/tests/497.out new file mode 100644 index 0000000..65a9b3c --- /dev/null +++ b/tests/497.out @@ -0,0 +1,32 @@ +REG FFFFFFFFF819BA6C +REG FFFFFFFF98193B03 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000002000000014 +REG 0000000000000001 +REG 818002CB44000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000040 +REG 000000000000001D +REG FFFFFFFF9FFF4D0D +REG FFFFFFFFFFFCA377 +REG FFFFFFFFFFFFFFFE +REG 0000000000001100 +REG FFFFFFFFF819EDF5 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000005799 +REG 00000004FFFF02CD +REG 0000000000000000 +REG 0000000000000000 +REG 00000004FFFF02CE +REG 0000000077650000 +REG 0000000000000001 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 818002CB44000000 +REG 0000000000000000 +REG 0000000000000040 +REG 00000001001E9190 diff --git a/tests/498.bin b/tests/498.bin new file mode 100644 index 0000000..a72cfeb Binary files /dev/null and b/tests/498.bin differ diff --git a/tests/498.out b/tests/498.out new file mode 100644 index 0000000..fff62b8 --- /dev/null +++ b/tests/498.out @@ -0,0 +1,32 @@ +REG 0000FFFF903071C3 +REG 0000000000FFFFF8 +REG 00000000000001FF +REG 0000000000000020 +REG 00000000000040BA +REG FFFEFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF90300AEF +REG 0000000000000000 +REG 0000000000FFFFF8 +REG 0000000000000000 +REG 000000000000229B +REG FFFF00000000FAF0 +REG 0000000000FFFFF9 +REG 000000005A420001 +REG 000000000000003F +REG 0000000000000201 +REG 0000000000000000 +REG 0000000000000000 +REG 0000FFFFFFFFE6A1 +REG FFFFFFFFFFFFFDFF +REG 001561FFFFFFFF20 +REG 0000000000007B73 +REG 0000000000000000 +REG FFFFFFFFFFFF8573 +REG 0000400000000000 +REG 7D80000F47800000 +REG FFFFFFFFFFFFFFFF +REG 0000000085090108 diff --git a/tests/499.bin b/tests/499.bin new file mode 100644 index 0000000..ee110d8 Binary files /dev/null and b/tests/499.bin differ diff --git a/tests/499.out b/tests/499.out new file mode 100644 index 0000000..5ae93a5 --- /dev/null +++ b/tests/499.out @@ -0,0 +1,32 @@ +REG 0100C8DB0100AD96 +REG FFFFFFFFFFFFF1FE +REG 00000000FFFFFFDE +REG 0000000000000000 +REG 12D31DE2ADA00000 +REG 0000000000000E01 +REG FFFFFFFFFFFFFFF6 +REG FFFFFFFFFFFFFFDE +REG 56CFFFFFFE678EF1 +REG 56D0000009698EF1 +REG 8000000000000700 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000E01 +REG 1FFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG FF918438FF918438 +REG 56D0000009698ED1 +REG 0000000020000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFF1FEFFFFF1FEFF +REG 0000000000000003 +REG 0000000000000E01 +REG 0100C8DB0100C8DC +REG FFFFFFFFFFFFFFFF +REG 0000000000000021 +REG 0000000000000000 +REG 0000000110081016 diff --git a/tests/5.bin b/tests/5.bin new file mode 100644 index 0000000..c9b6bed Binary files /dev/null and b/tests/5.bin differ diff --git a/tests/5.out b/tests/5.out new file mode 100644 index 0000000..5f04ed0 --- /dev/null +++ b/tests/5.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFEFFFDF +REG 000000000000D077 +REG 0000000000000000 +REG FFFFFFFFFFFFEE00 +REG 00000000FFFFFFDF +REG FFFFFFFFFFF00000 +REG 0000000000001200 +REG 0000000000000020 +REG 00016AAAFFFD7BBD +REG 0000000000000000 +REG 0000000000001200 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000019 +REG 0000000000000021 +REG FFFFEDFFFFFFEDFF +REG 000000000000000D +REG 0000000000000000 +REG 0000000000000000 +REG DFFFFFFFC0007FFF +REG 0000000000000000 +REG 0000000000000021 +REG 0000000000000020 +REG 0000000040000000 +REG 0000000000000000 +REG 0000000000000019 +REG 0000000000000000 +REG 0000000020000000 +REG FFFFFFFFFFEFFFFF +REG 0000000000000000 +REG 0000000080000000 diff --git a/tests/50.bin b/tests/50.bin new file mode 100644 index 0000000..e033224 Binary files /dev/null and b/tests/50.bin differ diff --git a/tests/50.out b/tests/50.out new file mode 100644 index 0000000..9e4c39a --- /dev/null +++ b/tests/50.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000002000000020 +REG FFFFFFFF58BCFFFC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFE000 +REG FFFFFFFFFFFFFFFF +REG FFFA000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 01118C0000000000 +REG 00000000CF4E0000 +REG FFFFFFFF00000040 +REG 000000000000103F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000006 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000B9B4 +REG 0000000000000000 +REG 0000000000000006 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000011E110001 diff --git a/tests/500.bin b/tests/500.bin new file mode 100644 index 0000000..fec62f2 Binary files /dev/null and b/tests/500.bin differ diff --git a/tests/500.out b/tests/500.out new file mode 100644 index 0000000..bba0be3 --- /dev/null +++ b/tests/500.out @@ -0,0 +1,32 @@ +REG 2000DF7F00FC3C8F +REG 0000000007E00000 +REG FFFF208000003C8F +REG 0000000000000010 +REG 0000000000000000 +REG FFFF208000003C8F +REG 0000DF80AAF48244 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF8F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000DF8044048244 +REG FFFF208000003C8F +REG DFFFFFFF00000000 +REG 0000000100000001 +REG FFFFFFFFF8000000 +REG 0000000000000000 +REG DFFFFFFF00000000 +REG FFFF208000003C8F +REG 0000000000000000 +REG FFFFFFFFFFFFFFFC +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000006 +REG 0000000000088090 +REG 0000000000000000 +REG 0000DF8044048240 +REG FFFFFFFFFFFFFFFF +REG 0000000090088B04 diff --git a/tests/501.bin b/tests/501.bin new file mode 100644 index 0000000..2df6ce0 Binary files /dev/null and b/tests/501.bin differ diff --git a/tests/501.out b/tests/501.out new file mode 100644 index 0000000..7cbc80b --- /dev/null +++ b/tests/501.out @@ -0,0 +1,32 @@ +REG 0000000000000020 +REG 0000000000000014 +REG 0000000000000002 +REG 00000000FFF852C8 +REG 0000000000000020 +REG 0013000000030000 +REG FFFFF2FFFFFFFEFD +REG 01C0000000000007 +REG 0000000000000000 +REG 0000000000000000 +REG 0000003FFFFFC07C +REG 00000000288848F4 +REG 00000D0000000102 +REG 0000000000000000 +REG 0000000000000016 +REG 00000000000002BF +REG 00000000F6EDFD40 +REG FFFFFFFFFFFFFFFF +REG FFFFFFE3FFFFFFE3 +REG FFFFFFFFFFF852C8 +REG 00000000F6EE0000 +REG 0000000000000000 +REG 000000482AA3FFFB +REG FFFFFFFFFFFFFFC7 +REG FFFFFF7BFFFFFF78 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFCBA4000B +REG FFFFFFFFFFFFFFFF +REG 000000011F109189 diff --git a/tests/502.bin b/tests/502.bin new file mode 100644 index 0000000..3581b11 Binary files /dev/null and b/tests/502.bin differ diff --git a/tests/502.out b/tests/502.out new file mode 100644 index 0000000..b5f37a1 --- /dev/null +++ b/tests/502.out @@ -0,0 +1,32 @@ +REG FFFFFFFFD77760C8 +REG 0000000000000040 +REG 0000000000000000 +REG 4000000000000000 +REG FFFFFFFFFFFFFFC0 +REG 000000000000F275 +REG FFFFFFFFFFFFFFFE +REG 0000000000000020 +REG 0000000000000000 +REG 000007BE00000000 +REG 0000000000000001 +REG 0000000000009F86 +REG 0000000080000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000082A88D9 +REG FFFFFFFFFFFFC3FF +REG FFFFFFFFFFFFC3DE +REG 0000000000000000 +REG 0000000040000000 +REG 00000000082A3EAB +REG 0043FFFFFFF80000 +REG 0000000000009FA7 +REG 0000000000000040 +REG 0000000000000000 +REG 0808080808080408 +REG FFFFFFFFFFFF9FA7 +REG 0000000040000000 +REG 0000000000000080 +REG 000007BE00003C00 +REG 0000000000000040 +REG 000000011F100000 diff --git a/tests/503.bin b/tests/503.bin new file mode 100644 index 0000000..0b99123 Binary files /dev/null and b/tests/503.bin differ diff --git a/tests/503.out b/tests/503.out new file mode 100644 index 0000000..c92d403 --- /dev/null +++ b/tests/503.out @@ -0,0 +1,32 @@ +REG FFFFFFFFD0BDFBBF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 000000003FF00000 +REG 0000000000000040 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000400F0007 +REG 0000000000000000 +REG 000100003FC00000 +REG 0000000000000040 +REG 0000000000000000 +REG BFFFFFFFC000001F +REG 000000000000001F +REG 0010000000000007 +REG FFFFFFFFFFFFE734 +REG 0000000000000000 +REG 0001000000000000 +REG 0000000000000000 +REG 00001FBFFFFFFFC0 +REG 4000000040000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000001001E000E diff --git a/tests/504.bin b/tests/504.bin new file mode 100644 index 0000000..a42b56e Binary files /dev/null and b/tests/504.bin differ diff --git a/tests/504.out b/tests/504.out new file mode 100644 index 0000000..615cfc9 --- /dev/null +++ b/tests/504.out @@ -0,0 +1,32 @@ +REG 0000000080000FEB +REG 0000000000000040 +REG 0000000036A30FEB +REG 0000000000000020 +REG 0000000000000000 +REG 000004A97520CE27 +REG 0000800000008800 +REG 0000000000000040 +REG 0000000000000FEB +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000B +REG 03FFFFFFFFFE0000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG FFFFF0000001FFA0 +REG 0000000000000FEB +REG FFFFF0000001FFEB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000FFFFFFE005F +REG 00000001FFFFC000 +REG FC00000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000100001000 diff --git a/tests/505.bin b/tests/505.bin new file mode 100644 index 0000000..2f7a4ae Binary files /dev/null and b/tests/505.bin differ diff --git a/tests/505.out b/tests/505.out new file mode 100644 index 0000000..bf194db --- /dev/null +++ b/tests/505.out @@ -0,0 +1,32 @@ +REG FFFEFFFFFFFF1757 +REG F7FFFFFFF803FFFF +REG FFFFFFFEBF6EFFFF +REG F7FFFFFFF803FFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFB6F7 +REG FFFFFFFFFFFF4968 +REG 9746FE169746FBA6 +REG FFFFFFFFD4D7B88A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 883D2D208830D660 +REG FFFFFFFF7F0B4BA3 +REG 0000000000004BA3 +REG FFFFFFFEFFFFFFFF +REG 00000000000C0000 +REG 0000000000000000 +REG FFFFFFFEFFF1FFFF +REG 000000000000E1EB +REG 0000000000000000 +REG 9746FE169746FBA6 +REG 0000000140910000 +REG 0000007FFFE00000 +REG 0000000000000000 +REG FFFFFFFFFFFF6DEE +REG FFFFFFFFFFFFB45C +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000101F16905 diff --git a/tests/506.bin b/tests/506.bin new file mode 100644 index 0000000..d3761ce Binary files /dev/null and b/tests/506.bin differ diff --git a/tests/506.out b/tests/506.out new file mode 100644 index 0000000..0acacc6 --- /dev/null +++ b/tests/506.out @@ -0,0 +1,32 @@ +REG FFFFFFFFDCCFFFC0 +REG 0000000000000000 +REG 6FFC7FF06FF00000 +REG 01FFFF9800000000 +REG FFFFFFFFFCFFFFC0 +REG 0000000000000000 +REG FFFFFFFFDCCFFFC0 +REG 0000000000000000 +REG 0000000000006820 +REG 0000000000006820 +REG FFFC807FFFFC007F +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFDCCFFFC0 +REG 000000003C20FFC1 +REG 0000000000000000 +REG 6FFC7FF06FF00000 +REG FFF8001FFFFFFFE6 +REG 6FFC7FF06FF00000 +REG 0000000000000000 +REG FB29D6E5BF2FE040 +REG 0000000000000039 +REG 0000000000000011 +REG 1B29D6E5BF2FE040 +REG 0000000000000000 +REG 0000000000040000 +REG 0000000000000000 +REG 0000000000000006 +REG FFFFFFFFFFFC0000 +REG 0000000000000031 +REG 0000000000000040 +REG 0000000000000000 +REG 000000008040D040 diff --git a/tests/507.bin b/tests/507.bin new file mode 100644 index 0000000..bc724d0 Binary files /dev/null and b/tests/507.bin differ diff --git a/tests/507.out b/tests/507.out new file mode 100644 index 0000000..72df068 --- /dev/null +++ b/tests/507.out @@ -0,0 +1,32 @@ +REG FFFFFEFFE8B6E004 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000FFFFFFFFFC00 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG CFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000050111068 diff --git a/tests/508.bin b/tests/508.bin new file mode 100644 index 0000000..b659077 Binary files /dev/null and b/tests/508.bin differ diff --git a/tests/508.out b/tests/508.out new file mode 100644 index 0000000..2a4e6c7 --- /dev/null +++ b/tests/508.out @@ -0,0 +1,32 @@ +REG 4C59477C4501B4FA +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000004193 +REG 0000000000000000 +REG 0000000001FFFFFF +REG FFFFFFFFFFFFFEC0 +REG 0000000005000000 +REG FFFFFFFFFE000000 +REG FFFFFFFFFFFFF303 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFD80000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000017F +REG 00001F6A4C59477C +REG 0000000000000000 +REG 0000000000016740 +REG 0000000000000019 +REG 4C59477C4000003B +REG FFFFFFFFFFFFBE6D +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFBF +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000005000000 +REG 0000000000000000 +REG 000000008BE92646 diff --git a/tests/509.bin b/tests/509.bin new file mode 100644 index 0000000..a6b3f8a Binary files /dev/null and b/tests/509.bin differ diff --git a/tests/509.out b/tests/509.out new file mode 100644 index 0000000..a95fac8 --- /dev/null +++ b/tests/509.out @@ -0,0 +1,32 @@ +REG 00000000C0001B3D +REG 00000000FFFFE5E2 +REG 80000CE77FFFF2C9 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000FFFFF7EF +REG 00000000FFFFE5E2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000010000176F +REG FFFFFFFF00001A38 +REG 00000001FFFFCBC4 +REG 00000000FFFFE5C8 +REG 0000000000800000 +REG FFFFFFFF00001A38 +REG 0000000000000000 +REG 000000000000003F +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF37 +REG FFFFFFFFFFFFFFFF +REG 00000000FFFFE5C8 +REG 0000000000000000 +REG 0000000000000000 +REG 000000005F0491F0 diff --git a/tests/51.bin b/tests/51.bin new file mode 100644 index 0000000..cec769c Binary files /dev/null and b/tests/51.bin differ diff --git a/tests/51.out b/tests/51.out new file mode 100644 index 0000000..68b995c --- /dev/null +++ b/tests/51.out @@ -0,0 +1,32 @@ +REG FFFFFFFFBD43DEC0 +REG 000000000000000E +REG 00000000000059D0 +REG 00000000992B0000 +REG 00000000E0000000 +REG 0000000000000000 +REG 00000000000059F0 +REG FFFFFFFFFFFFFFFF +REG 0000000000000023 +REG 0000000000000000 +REG FFFFFFFFFFFFD0A5 +REG 0000000700000000 +REG 0000000000000020 +REG 0000000000000003 +REG FFFFFFFFFFFFFFE0 +REG FFFFFFFFBD73DEC0 +REG 0000000000000000 +REG 0000000000000090 +REG 200003B1207B2F5A +REG 0000000000000000 +REG 0000000000000000 +REG 000000000F000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000006BF4 +REG 0000000000000000 +REG 00000000000059EF +REG 0000000000000000 +REG FFFFFFFFFFCFFFFF +REG 0000000180000000 +REG 000000005E91411E diff --git a/tests/510.bin b/tests/510.bin new file mode 100644 index 0000000..175434e Binary files /dev/null and b/tests/510.bin differ diff --git a/tests/510.out b/tests/510.out new file mode 100644 index 0000000..39df5b2 --- /dev/null +++ b/tests/510.out @@ -0,0 +1,32 @@ +REG 9FFFFFFFFFC00046 +REG 0000000000000000 +REG 60000000003FFFFB +REG 0000000000000000 +REG FFFFFFFFFFFFFFA8 +REG 0000000000002C13 +REG FFFFFFFFFFFFED80 +REG 0000000000000020 +REG C928800000002C13 +REG 0000000087EB0040 +REG FFFFFFFFFFFFFFA9 +REG 0000000000000000 +REG 0000000000006C80 +REG 00000000000072A9 +REG 0000000000000000 +REG 36D77FFFFFFFC193 +REG 0000000000000040 +REG 0000000000000000 +REG 60000000E1BFFFFB +REG F000000000000000 +REG 36D7800000000000 +REG 00000000000072A8 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFA8A8 +REG F000000000005F87 +REG 60000000003FFFFB +REG 0000000000000000 +REG 0FFFFFFFFFFFFFBF +REG 00000000E1AAA533 +REG 0000000000000000 +REG 0000000051E91FE8 diff --git a/tests/511.bin b/tests/511.bin new file mode 100644 index 0000000..8f7c029 Binary files /dev/null and b/tests/511.bin differ diff --git a/tests/511.out b/tests/511.out new file mode 100644 index 0000000..dc86427 --- /dev/null +++ b/tests/511.out @@ -0,0 +1,32 @@ +REG 000000000070001E +REG 0000000000000000 +REG FFFFFFFFFFFF3A79 +REG 00000000CEFA0004 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF3A79 +REG 080818E8A69971FE +REG 0000000000000000 +REG FFFFFFFFFFFFFE90 +REG 0000000000000000 +REG 000012E5A0946FFE +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG FFFFFFFF7487FFFC +REG FFFFFFFFFFFFFFEF +REG FDD7C0000EFFFE90 +REG 0000000000000004 +REG 0000000000000000 +REG 0200060502000005 +REG FFFFFFFFFFFFFFEF +REG FFFFFFFFFFFFFB76 +REG 000000000000C586 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFF842F80044 +REG 0808060306050200 +REG 000000000000001F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001870 +REG 0000000088801E48 diff --git a/tests/512.bin b/tests/512.bin new file mode 100644 index 0000000..4247c31 Binary files /dev/null and b/tests/512.bin differ diff --git a/tests/512.out b/tests/512.out new file mode 100644 index 0000000..e9eeb50 --- /dev/null +++ b/tests/512.out @@ -0,0 +1,32 @@ +REG 07FFF94CFBB20693 +REG 0000000000000000 +REG FFFFFFFF67408900 +REG 0000000000000000 +REG 0000000067408900 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000067408900 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 000000000001FFF0 +REG FFFFFFFFFFFFFFFF +REG 0000000098BEFFFE +REG 00000262FC000000 +REG 000000001CF28900 +REG 740000000000002B +REG 0000000000000020 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0800000000000000 +REG FFFFFFFFFFFFF7BB +REG 000000009FFFFF01 diff --git a/tests/513.bin b/tests/513.bin new file mode 100644 index 0000000..8ceccab Binary files /dev/null and b/tests/513.bin differ diff --git a/tests/513.out b/tests/513.out new file mode 100644 index 0000000..9bef5e1 --- /dev/null +++ b/tests/513.out @@ -0,0 +1,32 @@ +REG 5EFFFFFFF701FD46 +REG 0000000000000002 +REG 0000000000000000 +REG 000000000000090B +REG 00000000000D5BFF +REG 0000000000000000 +REG 0000000000000013 +REG 0000000052AE0000 +REG C9A0000000001412 +REG 0000000000000000 +REG 0000000020804402 +REG A10001004BFEFFFF +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 000001004BDEFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 5EFFFFFFF701FD59 +REG 0000000000000000 +REG 0000000000080000 +REG 0000000000000000 +REG 0000000000240000 +REG FFFFFEFFB4210000 +REG E03FAB3EE03C0002 +REG 5EFFFEFFB4010001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG FFFFFFFFFFDBFFBF +REG 0000000000000000 +REG FFFFFEFFB4209F14 +REG 0000000000000000 +REG 0000000081008804 diff --git a/tests/514.bin b/tests/514.bin new file mode 100644 index 0000000..c11a9f7 Binary files /dev/null and b/tests/514.bin differ diff --git a/tests/514.out b/tests/514.out new file mode 100644 index 0000000..2972954 --- /dev/null +++ b/tests/514.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFE7DDC +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000018203 +REG FFFFFFFFA7A30AB1 +REG 0000000000000000 +REG 0000000000000040 +REG 6EFBFBE3EE81FBE0 +REG 6EFBFBE3EE81FBE0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0030000000000000 +REG 000000007FFFFFF6 +REG 30A0000000000000 +REG 00000000000036CE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000001BBEF800000 +REG FFFFFFFFFFFFFFF8 +REG 000000000000CB83 +REG 6EFBFBE3EE81FBE0 +REG 000000000001BBEF +REG 0000000000000000 +REG 0001FFFFFFFFFFF8 +REG 000000000000CB83 +REG 000000000000CB83 +REG 0000000000000000 +REG 0000000000000000 +REG 000000002B300020 +REG 0000000089188010 diff --git a/tests/515.bin b/tests/515.bin new file mode 100644 index 0000000..9902f52 Binary files /dev/null and b/tests/515.bin differ diff --git a/tests/515.out b/tests/515.out new file mode 100644 index 0000000..c428a0f --- /dev/null +++ b/tests/515.out @@ -0,0 +1,32 @@ +REG FFFFEC3B3A7B003E +REG 8708070086FD0021 +REG 00000000009E20EC +REG 800000FF8007FFDF +REG 7FFFFF007FF823FA +REG 0000000000000000 +REG BBFFFF52BB3FFF54 +REG 0000000000000003 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFB998 +REG 0000000000000000 +REG 038247D9038247D9 +REG 0000000000009DFC +REG FFFF00FF8007DC05 +REG 0000058700000187 +REG 7600000000004F10 +REG 0000000080844448 +REG 0708080007050001 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000AD440000 +REG FFFFF3C7FFFFBFC7 +REG 7FFFFF007FF87ED4 +REG 0000000080844448 +REG 00000C3800004038 +REG 440000AD440000AD +REG 00000000C0000000 +REG 0000000000BFFFFF +REG FFFFFFFFFFFFFFFF +REG 7FFFFF007FF823FA +REG 0000000108088890 diff --git a/tests/516.bin b/tests/516.bin new file mode 100644 index 0000000..ca9f4d8 Binary files /dev/null and b/tests/516.bin differ diff --git a/tests/516.out b/tests/516.out new file mode 100644 index 0000000..7b31a19 --- /dev/null +++ b/tests/516.out @@ -0,0 +1,32 @@ +REG 3E7FFFFF7FFFEDA3 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFE000003FFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG C180000000000000 +REG 0000000000000039 +REG 0000000000000000 +REG FFFFFFFFFF361049 +REG 0000000000000000 +REG 0000000070000000 +REG 000000000000125E +REG 0000000000000000 +REG FFFFFFFFBC9F0000 +REG 0000000050000000 +REG 8300000000000000 +REG FFFFFFFFFFFBE74A +REG 000000000000127F +REG 0000000000000049 +REG 000000000003FFF8 +REG 0000000000000020 +REG 00000000000416EE +REG C180000000000000 +REG 0000000000000000 +REG 0000000001800000 +REG 0000000000000000 +REG 0000000000C9F000 +REG 0000000000000000 +REG 0000000051005E50 diff --git a/tests/517.bin b/tests/517.bin new file mode 100644 index 0000000..31efe50 Binary files /dev/null and b/tests/517.bin differ diff --git a/tests/517.out b/tests/517.out new file mode 100644 index 0000000..13043bc --- /dev/null +++ b/tests/517.out @@ -0,0 +1,32 @@ +REG FFF180000000003F +REG 0000000000000000 +REG 00000013000086FF +REG 0000000100000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000DB3D0000 +REG 0000000070000000 +REG 0000000000004026 +REG FFFE000000000000 +REG 0000000000000000 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000023 +REG 0000000000000020 +REG 0000000070000000 +REG 0000000000000000 +REG FFF1800000000000 +REG FFFFFFFFFFFFE1D3 +REG 00013000086FF000 +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000E7FFFFFFFFFDB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040090800 diff --git a/tests/518.bin b/tests/518.bin new file mode 100644 index 0000000..bec1408 Binary files /dev/null and b/tests/518.bin differ diff --git a/tests/518.out b/tests/518.out new file mode 100644 index 0000000..f18f9ce --- /dev/null +++ b/tests/518.out @@ -0,0 +1,32 @@ +REG FFEE29419FEEF93F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000007F78 +REG 0000000000000000 +REG 00000000000048E5 +REG 00000000345300A5 +REG FFFFFFFCFFFFFFF3 +REG FFFFFFFFFFFFDCA5 +REG 0000000000000000 +REG 0000000000000020 +REG 100042161000AC1D +REG 3C000000000000B8 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFEE52FFAF4352FF +REG 0000000000000000 +REG E7FFFFFF9800003F +REG FFFFFFFFFFFFDCBD +REG 0000000000000000 +REG FFFFFFFFFFFFDCA5 +REG FFFFFFFFDD1F0BD1 +REG 0000000002E0F000 +REG 00000000000000A5 +REG 000000002000D07E +REG EFFFBDE9EFFFB001 +REG 0000000000000004 +REG 00000000E70148E5 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000005C1E +REG 00000000880101C8 diff --git a/tests/519.bin b/tests/519.bin new file mode 100644 index 0000000..285353b Binary files /dev/null and b/tests/519.bin differ diff --git a/tests/519.out b/tests/519.out new file mode 100644 index 0000000..3d7bf81 --- /dev/null +++ b/tests/519.out @@ -0,0 +1,32 @@ +REG F800064F4EFF5591 +REG 0000000000000001 +REG 000000000000A781 +REG FFFFF9B6FFFFF9B6 +REG 0000000000000000 +REG 0000000000000000 +REG FBF88FDFFB788FDF +REG FFFFFFFFFB788FE0 +REG 000000000000CFE0 +REG 0000000000077F5A +REG 0000000000000000 +REG 1800000017DF6551 +REG 0000000048804280 +REG 1800000017DF9087 +REG FFFFFFFFFFFF625C +REG 0000000000003BFA +REG FFFFE22BFFFFE22B +REG 0000000000000000 +REG F8419FFFFFDFBFF7 +REG 000000000000CFE0 +REG FFFFFFFFFFFF0000 +REG 0000000000000036 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000003BFA +REG 0000011800000118 +REG FFFFFD37FFFFFD37 +REG 5D571FFC74600000 +REG FFFFF9B6FFFFF9B6 +REG 0000000000000330 +REG 0000000000000000 +REG 0000000051008500 diff --git a/tests/52.bin b/tests/52.bin new file mode 100644 index 0000000..bde74c8 Binary files /dev/null and b/tests/52.bin differ diff --git a/tests/52.out b/tests/52.out new file mode 100644 index 0000000..f280555 --- /dev/null +++ b/tests/52.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFDC5E0000 +REG 0000000040000000 +REG 200C01F200000F7C +REG 0000000000000000 +REG 200C01F200000F7C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001D24 +REG F7F7F8F7F7F7FEFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000080040 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000080040000 diff --git a/tests/520.bin b/tests/520.bin new file mode 100644 index 0000000..dc866be Binary files /dev/null and b/tests/520.bin differ diff --git a/tests/520.out b/tests/520.out new file mode 100644 index 0000000..ca690df --- /dev/null +++ b/tests/520.out @@ -0,0 +1,32 @@ +REG FFFFFFFF213792CA +REG 0000000000000040 +REG 00000004001F5D60 +REG 0000000000000040 +REG 0000000000005D55 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFE +REG 0000000000000040 +REG 0000000000000000 +REG 00000000EB780000 +REG FFFFFFFFDEC8BE58 +REG 0000000000000000 +REG FFFFFFFFDEC8BE58 +REG FFFFFFFFFFFFA2EA +REG FFFFFFFFFF801DD9 +REG 0001FE0000000000 +REG FFFFFFFFFF801D99 +REG FFFFFFFFFFFFA2EA +REG 00000000014B6350 +REG 0000000020000000 +REG FFFFFFFFFFFFFFFC +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFDEC8BE97 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFE0000000 +REG FFFFFFFFFFFFFFFF +REG 0000000108080884 diff --git a/tests/521.bin b/tests/521.bin new file mode 100644 index 0000000..8cbda82 Binary files /dev/null and b/tests/521.bin differ diff --git a/tests/521.out b/tests/521.out new file mode 100644 index 0000000..7426ebd --- /dev/null +++ b/tests/521.out @@ -0,0 +1,32 @@ +REG 0000000000009FBC +REG 0000000000000000 +REG FFFFFFFFFFFFDB28 +REG FFFFFFFFFFFFFFF0 +REG 000000000000001A +REG 0000000000000000 +REG 0000000000004833 +REG 000000000000747D +REG 000000800000747D +REG 00000005000068FF +REG 000000831A1138B2 +REG 0000000000004833 +REG FFFFFFFFFFFFD12A +REG FFFFFF7FFFFF8BC2 +REG 013F780001300000 +REG 0000000000000040 +REG 01D1F40001D00000 +REG 000000FF00000000 +REG 0000000000030601 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0180000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000009FBC +REG 0000000000000020 +REG 01D1F40001D00000 +REG FFFFFFFFFFFFFFF0 +REG FFFFFFFFFFFF8B82 +REG 0002BAEE0002B800 +REG 0000000000000000 +REG 0000000080000800 diff --git a/tests/522.bin b/tests/522.bin new file mode 100644 index 0000000..444e2d4 Binary files /dev/null and b/tests/522.bin differ diff --git a/tests/522.out b/tests/522.out new file mode 100644 index 0000000..a85d990 --- /dev/null +++ b/tests/522.out @@ -0,0 +1,32 @@ +REG 00000000200011B2 +REG 0000000000000030 +REG 0000000020000000 +REG FFFFFFFFA80C0032 +REG 0000000000000001 +REG FFFFFFFFFFFFA02C +REG 0000000000000000 +REG 0000000000000002 +REG 00000000000046CD +REG 0000000000005777 +REG 000000009B7B0001 +REG 0000000000000000 +REG 00000000B9870000 +REG 000000009B7B0001 +REG 000000000000FFE1 +REG FFFFFFFFDFFFFFFF +REG 0000000000000032 +REG 00000000000046CD +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000FFE0 +REG FFFFFFF8DFFFFFF8 +REG 0000000000000000 +REG 000000007E430000 +REG 0000000000000000 +REG 00000000000FFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000B9870000 +REG 0000000000000032 +REG 0000000104085E5E diff --git a/tests/523.bin b/tests/523.bin new file mode 100644 index 0000000..cc9dd9e Binary files /dev/null and b/tests/523.bin differ diff --git a/tests/523.out b/tests/523.out new file mode 100644 index 0000000..40fe13b --- /dev/null +++ b/tests/523.out @@ -0,0 +1,32 @@ +REG 01030B80257DE180 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFA30088985D00 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000007FED +REG FFFFFFFFC67A1B22 +REG 0000000100000000 +REG 0000000000000000 +REG 00005CFF7767A2FF +REG DC1EF87EDC1EF87E +REG 0103838001038380 +REG 0000002000000016 +REG 0000000000000021 +REG 0000000028040400 +REG 0000000000000000 +REG FFFFFFDFDF8F9016 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000040E0E00 +REG 000000000000000B +REG 0000000000000001 +REG 0808080007070002 +REG 0000000000000000 +REG 00000000DCFF0001 +REG FFFFFFFFFFFFFF80 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000A39DABFE +REG 0000000000000000 +REG 0000000110080800 diff --git a/tests/524.bin b/tests/524.bin new file mode 100644 index 0000000..ae50218 Binary files /dev/null and b/tests/524.bin differ diff --git a/tests/524.out b/tests/524.out new file mode 100644 index 0000000..2b4c94e --- /dev/null +++ b/tests/524.out @@ -0,0 +1,32 @@ +REG 0000000C80000001 +REG 0000003F80000000 +REG FFFFFFCE01FFFFCE +REG FFFFFFFFD8154C50 +REG FBFBFFFFC0000000 +REG 26BC7FFFFF000000 +REG 0000000000000000 +REG FFFFFFEFDAF04B3D +REG 0000006C0000006C +REG 0000000000000000 +REG FFFFFFFFFFFFE750 +REG 000000000000007F +REG FEFFFFFEFEFFFFFE +REG 0000000000000002 +REG FF00000000000000 +REG FFFFFFEFDAF04B3C +REG E09679FFFF000000 +REG FFFFFFFFD8154C51 +REG 0000000000000000 +REG FFFFFFFFBB0770DB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000FE017259F +REG 0100000C80000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 000000000000007F +REG 000000000000007F +REG FFFFFFC080000000 +REG 000000000000753C +REG FFFFFFFFFFFFFFFF +REG 0000000100090090 diff --git a/tests/525.bin b/tests/525.bin new file mode 100644 index 0000000..18f3ed5 Binary files /dev/null and b/tests/525.bin differ diff --git a/tests/525.out b/tests/525.out new file mode 100644 index 0000000..d2fba8d --- /dev/null +++ b/tests/525.out @@ -0,0 +1,32 @@ +REG D81C00013894FFFD +REG 0001FFFFFFFFFFF0 +REG 0000000000000000 +REG 0000003900000039 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000005E05 +REG 0000000000000000 +REG 0000000000000000 +REG 0001FFFFFC001FF0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG D81C0001D81BFFFD +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000005973 +REG 0000000000000000 +REG FFFFFFFFFFFFE474 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000474D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0001FFFFFFFFFFF0 +REG 0000000000000000 +REG 00000000D4480000 +REG 00000000E08F0000 +REG 0000000041110854 diff --git a/tests/526.bin b/tests/526.bin new file mode 100644 index 0000000..09db437 Binary files /dev/null and b/tests/526.bin differ diff --git a/tests/526.out b/tests/526.out new file mode 100644 index 0000000..ce17cbd --- /dev/null +++ b/tests/526.out @@ -0,0 +1,32 @@ +REG FFFC818054F23093 +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 2BC9E18B01B3EA10 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 40FFFF004000080C +REG BF0000FFBFFFF7F3 +REG 0000000000000000 +REG 400000000000000B +REG 0000000000000001 +REG 0000000004000000 +REG 0000000084248A48 +REG 000000000000B070 +REG BFFFFFFFFFFFFFF4 +REG 0000000044248A48 +REG 00000000002C1C00 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000025580000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000000 +REG 000000000000000A +REG FFFC818000000030 +REG 0000000000000000 +REG 000000007FA01F40 +REG FFFFFFFFFFFFFFFF +REG 00037E7FFFFFFFCF +REG 0000000088491491 diff --git a/tests/527.bin b/tests/527.bin new file mode 100644 index 0000000..2ca8515 Binary files /dev/null and b/tests/527.bin differ diff --git a/tests/527.out b/tests/527.out new file mode 100644 index 0000000..1e943d8 --- /dev/null +++ b/tests/527.out @@ -0,0 +1,32 @@ +REG 8000188D000084E6 +REG 0808060500000002 +REG 0000000000000000 +REG 0100000001FF1175 +REG 0000000000000000 +REG 0000623400000004 +REG 0000000000000000 +REG 000000000000003F +REG 0000020400000001 +REG 0000000000000002 +REG 0000000001000205 +REG FFFFFFFFFFF6535F +REG 000000000F80144C +REG 00FFFFFFFFFF0000 +REG 0000000000000000 +REG 0000000002001175 +REG A000000000000000 +REG FC7800001FFFFFFF +REG 0000000010000000 +REG FFFFFFFFFFF6539E +REG 0000000010000000 +REG 00000000040022EA +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000008486 +REG 00000000000082B0 +REG 0000000008000000 +REG 0000001000000010 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00001A8F8FBC0001 +REG 0000000090002898 diff --git a/tests/528.bin b/tests/528.bin new file mode 100644 index 0000000..84a10fd Binary files /dev/null and b/tests/528.bin differ diff --git a/tests/528.out b/tests/528.out new file mode 100644 index 0000000..1e4ee89 --- /dev/null +++ b/tests/528.out @@ -0,0 +1,32 @@ +REG 0362D802266226EA +REG 0000000000000020 +REG 0000000000000020 +REG 0000000008000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFF98A9C717F840 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF6 +REG 000000000000A452 +REG 0000000000000000 +REG 000000000000A453 +REG 000000000000000D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFF77968 +REG 0000000000000000 +REG 000000000000A452 +REG 0000000000001802 +REG 0000000000000000 +REG 000000001A095AD2 +REG FFFFFFFFFFFFFFFD +REG 000000000000003E +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000089FE0809 diff --git a/tests/529.bin b/tests/529.bin new file mode 100644 index 0000000..6134204 Binary files /dev/null and b/tests/529.bin differ diff --git a/tests/529.out b/tests/529.out new file mode 100644 index 0000000..933a65a --- /dev/null +++ b/tests/529.out @@ -0,0 +1,32 @@ +REG 000000000019483C +REG 0000000000194801 +REG 000000000000000B +REG 0000000B22444EE5 +REG FFFFFFFFFFFFFFFB +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000004FCC +REG 00000000440D0000 +REG FFFFFFFFFFFFFFF4 +REG 8FE4000022444EEF +REG 00041FF000000000 +REG 188B20F3C946FBFC +REG 0000000B22444EE4 +REG 000000004F4424F8 +REG 0000000000000001 +REG 0000000000000000 +REG 00057FFFE7B10000 +REG 0000000000000000 +REG 00000000440D0000 +REG FFFFFFFF7FFFA48B +REG 00000000000040E8 +REG 0000000000000000 +REG 701C000000000000 +REG 000041FF000041FE +REG FFF800194801FFFF +REG 0000000000000000 +REG 188B20F4188B20F4 +REG 0000000000000000 +REG 0000000080005B74 +REG FFFFFFFFFFFF993F +REG 00000001088849F1 diff --git a/tests/53.bin b/tests/53.bin new file mode 100644 index 0000000..444ab0a Binary files /dev/null and b/tests/53.bin differ diff --git a/tests/53.out b/tests/53.out new file mode 100644 index 0000000..ebacce7 --- /dev/null +++ b/tests/53.out @@ -0,0 +1,32 @@ +REG 00000001FFFFDAE1 +REG 0000000000000001 +REG 00FF00FFFFFDF366 +REG 0000000000000001 +REG 0000000004000000 +REG 0000000000000002 +REG 000000000E590000 +REG 0000000027D62501 +REG 0000000000000000 +REG FFFFFFFFFE00027D +REG 00000000FFF7CD9C +REG 0000000000000004 +REG 000000000000D52C +REG FFFFFFFFFFFFFFFF +REG FFFFFFFE00002501 +REG FFFFFFFF497FAE9E +REG 7D6250127D620002 +REG 0000000000000000 +REG 0000000000000005 +REG FFFFFFFFA474003F +REG BACE8006BACEA000 +REG 0000000000000000 +REG 00FF00FFFFFDF367 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF497F4843 +REG 0000000000000000 +REG FFFFFFFE27D62501 +REG 00000000FFFF0000 +REG 00FF01000C1DF366 +REG FFFFFFFE00006C90 +REG 0000000000000004 +REG 0000000088910010 diff --git a/tests/530.bin b/tests/530.bin new file mode 100644 index 0000000..ab66885 Binary files /dev/null and b/tests/530.bin differ diff --git a/tests/530.out b/tests/530.out new file mode 100644 index 0000000..6a1c1a5 --- /dev/null +++ b/tests/530.out @@ -0,0 +1,32 @@ +REG F0000000000026D7 +REG 0FFFFFFFFFFFE350 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000003B2200003B2 +REG E000006EFFFFE26F +REG 0000000000000000 +REG 0000000000000004 +REG 0000000000000EC8 +REG 0000000000000000 +REG 00072C004CD20400 +REG FFC000000FA0BBBF +REG 00000000FFFFF000 +REG 0FFFFFFFFFFFE000 +REG 0000000000000303 +REG 0FFFFFFFFFFF9D3E +REG 0000000000000000 +REG 0000000000000000 +REG FFFFE00000000000 +REG FFFFFFFFFFFFE6FE +REG 00072C0000000400 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 00001D9100001D91 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFE6FE +REG 0FFFFFFFFFFFE350 +REG 00000000000000B2 +REG 0000FF0000000000 +REG 000000010A081100 diff --git a/tests/531.bin b/tests/531.bin new file mode 100644 index 0000000..239cd60 Binary files /dev/null and b/tests/531.bin differ diff --git a/tests/531.out b/tests/531.out new file mode 100644 index 0000000..b40f828 --- /dev/null +++ b/tests/531.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFD5 +REG 00000000000000FE +REG 0000000000000280 +REG 00000000000000FF +REG 00000000000000FF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFD800000 +REG 0000002000000280 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000018 +REG 0000000000000020 +REG 0000000000000040 +REG FFFFFFFFFFFFA79E +REG 0000001000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFF0000 +REG 0000000000000000 +REG 0100000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000008 +REG 0000000000010287 +REG 000000000001027F +REG 0000000000000000 +REG 000000004B108E11 diff --git a/tests/532.bin b/tests/532.bin new file mode 100644 index 0000000..3df520e Binary files /dev/null and b/tests/532.bin differ diff --git a/tests/532.out b/tests/532.out new file mode 100644 index 0000000..370f0fe --- /dev/null +++ b/tests/532.out @@ -0,0 +1,32 @@ +REG 000000100000697C +REG 0000000000000000 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000004 +REG FFFFFFFFFFFDFFFF +REG 0000000000000020 +REG C000000000000000 +REG FFFFFFFFDBFFFB7C +REG 0000000024000484 +REG 0000000000000002 +REG 0000000000000040 +REG 0000000004800024 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFEB9D +REG 0000000000000004 +REG 0000F8090000F809 +REG 0000000000000000 +REG FFFDC4E30004A7D3 +REG 0000000004804164 +REG 000000000007E000 +REG 0000000000000000 +REG C000000000000000 +REG 0200000000000000 +REG 0000000000000000 +REG 0000000024000000 +REG 0000000001A31F4D +REG 0000000004800023 +REG FFFFFFFFFFFFEB9D +REG 00000000000C0000 +REG 0000000080000010 diff --git a/tests/533.bin b/tests/533.bin new file mode 100644 index 0000000..d704d36 Binary files /dev/null and b/tests/533.bin differ diff --git a/tests/533.out b/tests/533.out new file mode 100644 index 0000000..1495d32 --- /dev/null +++ b/tests/533.out @@ -0,0 +1,32 @@ +REG 00000000195F1562 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000010000 +REG 0000000000000001 +REG 00000000000096FF +REG 0000000000000000 +REG 0010002400000000 +REG 0000000000000000 +REG FFFFFFFFFFFE69E0 +REG FFFFFFFFFFFFFFDF +REG 0000000000000040 +REG 0000000000000000 +REG 00000000195F236F +REG FFFFFFFFFFFE6A00 +REG 000000001FFFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 00000000000000FF +REG 0000000006A0C801 +REG FFFFFFFFFFFF3504 +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080809110 diff --git a/tests/534.bin b/tests/534.bin new file mode 100644 index 0000000..1819e21 Binary files /dev/null and b/tests/534.bin differ diff --git a/tests/534.out b/tests/534.out new file mode 100644 index 0000000..5b66375 --- /dev/null +++ b/tests/534.out @@ -0,0 +1,32 @@ +REG FFFFFFDF58A3D608 +REG 0000000000000000 +REG 28319E5A28309E5A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG B5F5396DC67968A0 +REG 0000000080000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF7FFFFFFF +REG 0000000000000003 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFD620 +REG FFFFFFFFFFFFD628 +REG 00000000000C8000 +REG FFFFFFFF000000FF +REG 0000000000000010 +REG FFFFFFFFFFFF58A0 +REG 0003FFFFFFFFFFFF +REG FFFFFFFF000000FF +REG FFFFFFFFFFFF58A0 +REG FFFFFFDFFFFFD608 +REG 0007FFFFFFFFF58A +REG 00000000B0BA0000 +REG 00000000000C7FFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFD628 +REG 0000000000000000 +REG 00000000000011C6 +REG 0000000000000000 +REG 000000011FF01001 diff --git a/tests/535.bin b/tests/535.bin new file mode 100644 index 0000000..66ec2d6 Binary files /dev/null and b/tests/535.bin differ diff --git a/tests/535.out b/tests/535.out new file mode 100644 index 0000000..4e467bd --- /dev/null +++ b/tests/535.out @@ -0,0 +1,32 @@ +REG 000006000101050D +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 000100000207B472 +REG 0000000000000000 +REG FFFFFFFFFFFFC8FF +REG 0000000000000002 +REG 0000000000000000 +REG 000000000000003D +REG 0000000000000000 +REG 0001000002080505 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000008 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000FC002C9E37AA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000007828 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0002020000000000 +REG 000000000000000C +REG 000000000000001C +REG 0000000048008480 diff --git a/tests/536.bin b/tests/536.bin new file mode 100644 index 0000000..d96bb72 Binary files /dev/null and b/tests/536.bin differ diff --git a/tests/536.out b/tests/536.out new file mode 100644 index 0000000..d1fd127 --- /dev/null +++ b/tests/536.out @@ -0,0 +1,32 @@ +REG 00000000D5D64C00 +REG 000000000000001F +REG 000000002A29E5CA +REG 0000000018F3251D +REG 0000000000000001 +REG FFFFFFFF886EC3CD +REG 0000000000005611 +REG AE06BC5680000000 +REG 00000060AEF10000 +REG FFFFFFFFFFFFFFFF +REG 0000000FFFFFFFFF +REG 435BFFFFFFFFFFFF +REG 0000000000000025 +REG 0000000042100020 +REG 00000000FFFFA9EF +REG 0000000000000700 +REG 0000000000000000 +REG 0000000000000108 +REG 000000004210006D +REG FFF7FFE000000000 +REG 0000006000000000 +REG FFF7FFE000000000 +REG FFFFFFFFFFFF90C9 +REG 0000000000000040 +REG 9E77FFEF43100020 +REG 9E77FFEF43100020 +REG 0000FC001FFFFC01 +REG 0000000000000001 +REG 0042100020000000 +REG FFFF03FF800003CC +REG 0000000000000000 +REG 000000009FE88448 diff --git a/tests/537.bin b/tests/537.bin new file mode 100644 index 0000000..da81cab Binary files /dev/null and b/tests/537.bin differ diff --git a/tests/537.out b/tests/537.out new file mode 100644 index 0000000..ffef627 --- /dev/null +++ b/tests/537.out @@ -0,0 +1,32 @@ +REG 0000200000051114 +REG 00000000000057E2 +REG 0000000000000000 +REG 00000000000057E2 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 00000000004DFFFD +REG FFFFFFFFFFFFFFFF +REG 00000000000057E2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000400000 +REG 0000000000000000 +REG 00000000001E0000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000000057E2 +REG 0000000000000040 +REG DE097D61BEC961D4 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0002000000000000 +REG 0000000000000000 +REG 00000000004DFFFD +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040806890 diff --git a/tests/538.bin b/tests/538.bin new file mode 100644 index 0000000..6f06559 Binary files /dev/null and b/tests/538.bin differ diff --git a/tests/538.out b/tests/538.out new file mode 100644 index 0000000..02eaa93 --- /dev/null +++ b/tests/538.out @@ -0,0 +1,32 @@ +REG 0000000100000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000012 +REG 0000000000000010 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000010 +REG FFFFFFFFFFFFC9D9 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF7FFFA39C +REG 0540000000000001 +REG 0000000000000001 +REG 0000000000000001 +REG 0000000100000001 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFEF +REG 000000008801E800 diff --git a/tests/539.bin b/tests/539.bin new file mode 100644 index 0000000..95af256 Binary files /dev/null and b/tests/539.bin differ diff --git a/tests/539.out b/tests/539.out new file mode 100644 index 0000000..5ecf475 --- /dev/null +++ b/tests/539.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFF9 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000700000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG 0000000000700000 +REG 0000000000000019 +REG 0000000000000000 +REG 0000000000000038 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000009480 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFB5C8 +REG 0000000000000040 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFF002 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000009EFFF008 diff --git a/tests/54.bin b/tests/54.bin new file mode 100644 index 0000000..13af6e6 Binary files /dev/null and b/tests/54.bin differ diff --git a/tests/54.out b/tests/54.out new file mode 100644 index 0000000..4c2ed8d --- /dev/null +++ b/tests/54.out @@ -0,0 +1,32 @@ +REG 0000000018230A82 +REG 0000000000000000 +REG FFFFFFFFE7DCF57D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG F0000FFFFFFFF7FB +REG F0000FFFFFFFF7FB +REG 0000000000D00000 +REG 0000000044008001 +REG 0000000000000000 +REG 0000000000007FBF +REG 0000000000000000 +REG 00000000000000FC +REG 000000004400B0FF +REG 0000000000000000 +REG 8000000000000000 +REG 0000000000000000 +REG 0000000000000017 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000400 +REG 0000000000000040 +REG FFFFFFFFFFFF7FBF +REG 0000000000003C40 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFA1E3 +REG 0000000101BF7511 diff --git a/tests/540.bin b/tests/540.bin new file mode 100644 index 0000000..c9de9a8 Binary files /dev/null and b/tests/540.bin differ diff --git a/tests/540.out b/tests/540.out new file mode 100644 index 0000000..2d9890d --- /dev/null +++ b/tests/540.out @@ -0,0 +1,32 @@ +REG FFFFFFFFECE7E7DF +REG FFFFFFFFFFF26B83 +REG 0000000000000000 +REG 0000000000000064 +REG 00000000732E0000 +REG 0000000003BBB828 +REG 0000000003BC0000 +REG 000000010016000F +REG FFFFFFFFFFFFFFFF +REG 0028E90DF3EB0100 +REG 0000000025200000 +REG 0000000020000000 +REG 000000002BFDFDF1 +REG FFFFFFFFFFFFFF00 +REG 0000000000EE1100 +REG 0000000000000000 +REG 000000002A0B0000 +REG 000000FF000000FF +REG 0000000000000013 +REG FFFFFF00FFFFFF00 +REG FFFFFFFFFFAD3C01 +REG FFFFFFFFFFFFF7C9 +REG FFFFFFFF935C1964 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFF11BF25 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF00FFFFFF00 +REG 0000000000000000 +REG 0000000000000013 +REG 000000011B008491 diff --git a/tests/541.bin b/tests/541.bin new file mode 100644 index 0000000..2a733fb Binary files /dev/null and b/tests/541.bin differ diff --git a/tests/541.out b/tests/541.out new file mode 100644 index 0000000..8476099 --- /dev/null +++ b/tests/541.out @@ -0,0 +1,32 @@ +REG 80000013BA9574A3 +REG 000000200000001A +REG 0000000000000000 +REG 0000000097800000 +REG 0000000000000000 +REG 0000000020004088 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001A +REG 0000000000000000 +REG FFFFFFFF9766FFFF +REG 00B73894FFFEFD95 +REG FFFFFFFF97670000 +REG 0000000000000000 +REG 0000008000000044 +REG 0000000000000000 +REG 0000000000000000 +REG 02DCE25000000000 +REG 0000000000007ED1 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFDFFFBF78 +REG 0000000700000007 +REG 7FFFFFFFFFFF88ED +REG 3648C1BAC90104BB +REG 0000000020004082 +REG 0000000000000017 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00B73894FFFEFD95 +REG 0000000000000001 +REG 0000000100008104 diff --git a/tests/542.bin b/tests/542.bin new file mode 100644 index 0000000..5c71f0f Binary files /dev/null and b/tests/542.bin differ diff --git a/tests/542.out b/tests/542.out new file mode 100644 index 0000000..2e7d1e3 --- /dev/null +++ b/tests/542.out @@ -0,0 +1,32 @@ +REG 000000004F004AEE +REG FF6FFFFFFFFFFE0B +REG FFFFFFFFFFFFFFB7 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFB0FFF800 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000003 +REG FFFFF939EA7FFFFF +REG 0000000000000000 +REG 0000000000006276 +REG FF6FFFFFFFFFFE00 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000004F000800 +REG FFFFFFEFFFFFFFEF +REG FFFFFFFFFFFF9D7F +REG 0000000000000000 +REG FFFFFFFFFFFFFFF5 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF9D7F +REG 000006C615800000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000B +REG 0000000000000003 +REG 000000004800080D diff --git a/tests/543.bin b/tests/543.bin new file mode 100644 index 0000000..a9490db Binary files /dev/null and b/tests/543.bin differ diff --git a/tests/543.out b/tests/543.out new file mode 100644 index 0000000..bcff270 --- /dev/null +++ b/tests/543.out @@ -0,0 +1,32 @@ +REG 000003FF917807FF +REG 0000000000000000 +REG 000000007FFF8000 +REG 0000000000000000 +REG 00000000888FF48F +REG 00000000000077A9 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000006 +REG 0000000000000000 +REG FFFFFFFFFFFFFF8F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000643F +REG 0000000000000001 +REG FFFFFFFFE0000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000011 +REG 00000000888FF48F +REG 0000000000000000 +REG 0000000000000000 +REG 00000001111FE91F diff --git a/tests/544.bin b/tests/544.bin new file mode 100644 index 0000000..93a62be Binary files /dev/null and b/tests/544.bin differ diff --git a/tests/544.out b/tests/544.out new file mode 100644 index 0000000..58d5252 --- /dev/null +++ b/tests/544.out @@ -0,0 +1,32 @@ +REG A7FFFFFFFEA9FDD9 +REG 000000000000D0BA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000004000002CAA +REG 0000000000000001 +REG 00000000FFFFD904 +REG 0000000000000031 +REG 0000000000000000 +REG 00000000000000F8 +REG 0340000000000000 +REG FFFFFFFFFFFFFFFF +REG 00003420B35E0040 +REG 0000000000000000 +REG 00000000FFFFD905 +REG FFFFFFFF000026FB +REG 0000000000000000 +REG 00000000B35E49D3 +REG 000000000000022A +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000F8000 +REG 0000000000000000 +REG 0000003F7EDB0000 +REG FFFFFFFFFFFFFFFF +REG 58000000015691E3 +REG 000000000000437F +REG 0800000000000000 +REG 000000000000359B +REG 0000000080084915 diff --git a/tests/545.bin b/tests/545.bin new file mode 100644 index 0000000..8bfc819 Binary files /dev/null and b/tests/545.bin differ diff --git a/tests/545.out b/tests/545.out new file mode 100644 index 0000000..e457255 --- /dev/null +++ b/tests/545.out @@ -0,0 +1,32 @@ +REG FFFFF8000002FA01 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000C +REG 0000000000000000 +REG FFFFFFFFFEFFFFFF +REG 0000000000001D3A +REG 0000000000000000 +REG FFFFFFDFFFFFFFE0 +REG 0000000000000000 +REG 000000000F00251F +REG 0000000000000B16 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFF801FF +REG 0000000000000000 +REG FFFFFFFFFFE07FFF +REG 0000000000000000 +REG FFFE277B5FAF5AE1 +REG 7E0763EC7E0763EC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000033 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000DEE1 +REG 00000000001F8001 +REG 000003C00E9E03C0 +REG FE07E0007E0763FC +REG 0000000089081080 diff --git a/tests/546.bin b/tests/546.bin new file mode 100644 index 0000000..91b2fca Binary files /dev/null and b/tests/546.bin differ diff --git a/tests/546.out b/tests/546.out new file mode 100644 index 0000000..dbb1a74 --- /dev/null +++ b/tests/546.out @@ -0,0 +1,32 @@ +REG F8C530F6F8C53029 +REG 0000000000000020 +REG 0007FFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG FFFFBFF7FFF83FF7 +REG 2000000000000000 +REG 0000000040000000 +REG FFFFFFFFFBFFFFDF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFC64E0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000A06C +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FE27AC0F38B50020 +REG 0000000000000005 +REG 0000000000000000 +REG 000000000000CF83 +REG 0000000000000000 +REG 0000000000000020 +REG 00000000CAEE0000 +REG 2000000000000000 +REG 0000000080000000 diff --git a/tests/547.bin b/tests/547.bin new file mode 100644 index 0000000..32ffbd4 Binary files /dev/null and b/tests/547.bin differ diff --git a/tests/547.out b/tests/547.out new file mode 100644 index 0000000..39991a3 --- /dev/null +++ b/tests/547.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFF3FFF +REG 0000000000000040 +REG 03FFFFFFFFFFFF01 +REG 0000000000000000 +REG FFFFFFFFFFFFF61F +REG FFFFFFFFFFFFFFFF +REG FFFFFE0100002BB7 +REG 000001FEFFFFB84E +REG 0000000000000000 +REG FFFFFFFFFFFFC0E0 +REG 00000000000049B8 +REG 000000000000084C +REG 0000000000000000 +REG 000000000000BD00 +REG 0000000047D80000 +REG 0000000000000000 +REG 0000000000080001 +REG 0000000000000000 +REG 03FFFFF8000074BA +REG 0000000000000000 +REG 0100002BB7FFF800 +REG 03FFFFFFFFFFFF01 +REG 00000000D8130000 +REG 000000000000670E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000017 +REG 000049B000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000003F1F +REG 0000000000000000 +REG 000000009FF48058 diff --git a/tests/548.bin b/tests/548.bin new file mode 100644 index 0000000..74e58d9 Binary files /dev/null and b/tests/548.bin differ diff --git a/tests/548.out b/tests/548.out new file mode 100644 index 0000000..f24ac60 --- /dev/null +++ b/tests/548.out @@ -0,0 +1,32 @@ +REG 000000000001000A +REG 0002000000020000 +REG 0000000000000000 +REG 0000000000000009 +REG 0000000000001FFF +REG 000000000000001D +REG 0000000000000000 +REG 000000000000239A +REG 000000000000000D +REG FFFCC0E2E242DF5B +REG 00000000001FFFF0 +REG FFFFFFFFFFFFFFF7 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFE28507AAC14 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFE000 +REG 00000003FF000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000010100 +REG FFC000000C000101 +REG 1EAB05141EAD5314 +REG 0000000000000008 +REG 0000000000000040 +REG 00000000001FFFF0 +REG 000001D7AF8553F8 +REG 0000000000000020 +REG 0000000000140000 +REG 0000000040860111 diff --git a/tests/549.bin b/tests/549.bin new file mode 100644 index 0000000..aabe4f2 Binary files /dev/null and b/tests/549.bin differ diff --git a/tests/549.out b/tests/549.out new file mode 100644 index 0000000..241d23b --- /dev/null +++ b/tests/549.out @@ -0,0 +1,32 @@ +REG 00000000000519A2 +REG FFFFFFFFFFFFFFF4 +REG 0000000000007684 +REG 0000000000000000 +REG 000000000000001F +REG 0000000000051983 +REG 0000000000000020 +REG 0000000000000000 +REG 000000000000002D +REG 000000000000001F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF4 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000001A +REG 000000000005199D +REG FFFFFFFFFFFFFFFF +REG 0000002000000020 +REG 000000000000001E +REG 0000000000002818 +REG FFFFFFFFFFFFFFFF +REG 0000000000007684 +REG 000000000000001F +REG 0000000000000017 +REG 0000000088891089 diff --git a/tests/55.bin b/tests/55.bin new file mode 100644 index 0000000..96db3cf Binary files /dev/null and b/tests/55.bin differ diff --git a/tests/55.out b/tests/55.out new file mode 100644 index 0000000..01abe93 --- /dev/null +++ b/tests/55.out @@ -0,0 +1,32 @@ +REG FFFFFF800007E10F +REG FFFFFFFFA0000000 +REG FFFFFF8000000001 +REG 0000000000000010 +REG 0000000000000000 +REG 0000000000280000 +REG 0000000048190BC9 +REG FFFFFFFFFFFFE03C +REG 0000000008000000 +REG FFFFFFFFFFF80010 +REG 0000000000000BC9 +REG FFFFFFFFFFFFFFFF +REG 0000000000007FFF +REG 000000000000800F +REG 000000000F3E0000 +REG 00000000000007F7 +REG 000000000000001F +REG 0000000000000037 +REG 0100000000000188 +REG FFFFFFFF00000000 +REG FFFFF92BB6771CF0 +REG 00000000FFFC0000 +REG 0000000000000BC9 +REG 0000000000000000 +REG 0000000000040000 +REG 00000000FFFFFFFF +REG 0000000060002E9F +REG 0000000000000007 +REG 07FFFFA107FFFFA1 +REG FFFFFFFFFFD00010 +REG 000000000000000F +REG 0000000050891090 diff --git a/tests/550.bin b/tests/550.bin new file mode 100644 index 0000000..518fee7 Binary files /dev/null and b/tests/550.bin differ diff --git a/tests/550.out b/tests/550.out new file mode 100644 index 0000000..31999f5 --- /dev/null +++ b/tests/550.out @@ -0,0 +1,32 @@ +REG 0000000000540169 +REG 00007F0000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFCEFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000BE69 +REG FFFFFFFFFFFFF000 +REG 0000000000000000 +REG D589639F30FFDF40 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 56258E7CC3FF0000 +REG 0000000000000020 +REG 00007F0000000000 +REG 0000000000000000 +REG 0000000010FFC000 +REG 0000000000000000 +REG D589639F30FFC041 +REG 0000000000001000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000002F008440 +REG 0000000000001000 +REG 0000000000000020 +REG 0000000000000000 +REG 000000009E010884 diff --git a/tests/551.bin b/tests/551.bin new file mode 100644 index 0000000..f6b993c Binary files /dev/null and b/tests/551.bin differ diff --git a/tests/551.out b/tests/551.out new file mode 100644 index 0000000..cc24d36 --- /dev/null +++ b/tests/551.out @@ -0,0 +1,32 @@ +REG 00000720DFFFFD0B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000003F0000003F +REG 00000000000014EF +REG FFFFFFFFFFFFF645 +REG 0000001000000000 +REG 0000000000000000 +REG 0000000000000009 +REG FFFFFFFFFFFFF65A +REG 0000000000000001 +REG 0000000800000008 +REG 0000000000000001 +REG FFFFFFFFFFFFF645 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG FFFFFFFFFFFFFFF6 +REG 0000000000000000 +REG 0000000000000370 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF2310 +REG 0000000000006828 +REG 0000051D2000051D +REG 0000000000000009 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFF6 +REG FFFFFF2300000000 +REG 8C00000000000000 +REG 0000000000000379 +REG 000000009E1E0000 diff --git a/tests/552.bin b/tests/552.bin new file mode 100644 index 0000000..f76e1b8 Binary files /dev/null and b/tests/552.bin differ diff --git a/tests/552.out b/tests/552.out new file mode 100644 index 0000000..2f24a0b --- /dev/null +++ b/tests/552.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFDEC2 +REG 0000000000000011 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFAD84 +REG FFFFFFFFFFFFFFFC +REG 0000000000005EAD +REG FFFFFFFFFFFFFFF4 +REG 0000000001931800 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFAD84 +REG 000000003AB5AD84 +REG 000000003AB60000 +REG 0000000000000000 +REG 000000000000C98C +REG 0000000000005EAD +REG 000000000000C55F +REG 0000000000000001 +REG 0000000084000509 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000007FD3 +REG 000000000000002A +REG 0000000000000000 +REG 03FFFFFFFFF00000 +REG FFFFFFFFFFFFE463 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFCA6A +REG 0000000000000000 +REG 0000000000000002 +REG 0000000109000A00 diff --git a/tests/553.bin b/tests/553.bin new file mode 100644 index 0000000..e0e1543 Binary files /dev/null and b/tests/553.bin differ diff --git a/tests/553.out b/tests/553.out new file mode 100644 index 0000000..2acfff7 --- /dev/null +++ b/tests/553.out @@ -0,0 +1,32 @@ +REG FFFFFFFFDF2CFFFE +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG 1800000218000002 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000007 +REG 0000000000000000 +REG 020000000000002B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFDBFB8206 +REG 0000000000000000 +REG 0000000006721240 +REG 0000000000000700 +REG FFFFFFFFDBFB8206 +REG 000000002444C880 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000002000000011 +REG 0000000000000001 +REG 00000000CE190000 +REG 0000000090891090 diff --git a/tests/554.bin b/tests/554.bin new file mode 100644 index 0000000..bdcf8e1 Binary files /dev/null and b/tests/554.bin differ diff --git a/tests/554.out b/tests/554.out new file mode 100644 index 0000000..a2f601c --- /dev/null +++ b/tests/554.out @@ -0,0 +1,32 @@ +REG 0000267300036216 +REG E0000003E0000000 +REG 000000000000003F +REG 0000000000000000 +REG FFFFD035FFFFD075 +REG 0000000000000000 +REG 0000000000007E00 +REG FFFFFFFFFFFFBB9A +REG 0000000000005672 +REG FE14FFFFF80007FF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFA98E +REG FFFFFFFFFFFFE14F +REG 0000000000000000 +REG FFFFD98CFFFFD98C +REG 0808080808080403 +REG 0000000000000403 +REG 0000000000007E00 +REG 0808080808080403 +REG 0000000000000000 +REG 0100000000000000 +REG 0000000000000000 +REG 0008000000001EAC +REG 00000000001FFE00 +REG 0000000000000000 +REG FFFFFFFFFF3FFFC0 +REG 0000000000000000 +REG FFFFFFFFFFFC9D8C +REG 0000000000000001 +REG FFFFFFFFFFFFBB9A +REG 0000000000000040 +REG 000000009F088009 diff --git a/tests/555.bin b/tests/555.bin new file mode 100644 index 0000000..9c22a05 Binary files /dev/null and b/tests/555.bin differ diff --git a/tests/555.out b/tests/555.out new file mode 100644 index 0000000..fa220b1 --- /dev/null +++ b/tests/555.out @@ -0,0 +1,32 @@ +REG DB4744CF08FFFF80 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFC00E0000000 +REG 0000000000000000 +REG 000000000FFFFFFC +REG 0000000000000000 +REG 0000000000005C96 +REG FFFFFFFF92565C96 +REG 0000000000000000 +REG 0000000000000000 +REG 9CA02408BFFFFF89 +REG 0000000000000000 +REG 0008000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0400000004000000 +REG 0000000000000000 +REG 000000FF1FFFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000B68A0000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 000000000000003F +REG 0008000000000020 +REG 0000000000000000 +REG 8000000000000000 +REG 0000000088909048 diff --git a/tests/556.bin b/tests/556.bin new file mode 100644 index 0000000..78b1321 Binary files /dev/null and b/tests/556.bin differ diff --git a/tests/556.out b/tests/556.out new file mode 100644 index 0000000..c002fa9 --- /dev/null +++ b/tests/556.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFF430 +REG 0000000000000000 +REG 0000000000000041 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000001E000000001 +REG FFFFFFFFFFFFF435 +REG 000000008800F005 +REG 0000000000000040 +REG 0800000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFF857 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 000000008418ADDB +REG 00000000000007A0 +REG 3FFFFFFFFFFF7FFF +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 000000000000000F +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFBE +REG 0000000000000040 +REG 0000000000000000 +REG 000001E000000000 +REG 0000000000000000 +REG 000000005001E00A diff --git a/tests/557.bin b/tests/557.bin new file mode 100644 index 0000000..a9dfb17 Binary files /dev/null and b/tests/557.bin differ diff --git a/tests/557.out b/tests/557.out new file mode 100644 index 0000000..91597f7 --- /dev/null +++ b/tests/557.out @@ -0,0 +1,32 @@ +REG FFFFFFDFFFFFB74C +REG 30CFF3193FFB6ED0 +REG FFFFFFFFFFFFFFFF +REG 000000200000001A +REG 00FC6E568E000000 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000001 +REG 541415FC4195FE74 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000004195FE74 +REG 0000000000000000 +REG 7FFC0CF57FFDDCF5 +REG 7FFC0CF57FFDDCF5 +REG 0000000000000000 +REG 000000004195BBE5 +REG FFFFFFFFFFFFFFFF +REG 0000000000004360 +REG 000000000000001A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG CF4FFFFFFFFFFFFF +REG FFFFFFFFBE6A018B +REG 30CFF3193FFB6ED0 +REG 00000000000082EF +REG 00000000991090A8 diff --git a/tests/558.bin b/tests/558.bin new file mode 100644 index 0000000..948da7d Binary files /dev/null and b/tests/558.bin differ diff --git a/tests/558.out b/tests/558.out new file mode 100644 index 0000000..ed1c208 --- /dev/null +++ b/tests/558.out @@ -0,0 +1,32 @@ +REG FFFFFFFFBE799A29 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000040 +REG 000000004186001E +REG 0000000000000000 +REG 0000000041DDC5AD +REG FE000207FFFFFFFF +REG 0000000000000000 +REG 0000000041DDA025 +REG 0000000000000000 +REG FFFFFFFFFFFE84AF +REG 0000000000200000 +REG 0000000000000000 +REG 0000000000000000 +REG FE000207FFFFF107 +REG 01FFFDF8EAAF0000 +REG 0000000000200000 +REG 000000004186001E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FE000207FFFFF107 +REG 0000000000000000 +REG 0000000000000481 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000044081100 diff --git a/tests/559.bin b/tests/559.bin new file mode 100644 index 0000000..2bb40d4 Binary files /dev/null and b/tests/559.bin differ diff --git a/tests/559.out b/tests/559.out new file mode 100644 index 0000000..3a5d58d --- /dev/null +++ b/tests/559.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000040 +REG 0000000007D00000 +REG FFFFFFFFFFFFFFD6 +REG FFFFFFFFFFFFFFFF +REG 00000000000005F4 +REG 0000000000000000 +REG 000000000000002A +REG FFFFFFFFFFFFFFD6 +REG 0000000000000000 +REG FFFFFFFFFFFFFFD6 +REG FFFFC00000007FFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 00000000000005F6 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000420 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000000 +REG 000000009E010948 diff --git a/tests/56.bin b/tests/56.bin new file mode 100644 index 0000000..416c35a Binary files /dev/null and b/tests/56.bin differ diff --git a/tests/56.out b/tests/56.out new file mode 100644 index 0000000..d9b7eb1 --- /dev/null +++ b/tests/56.out @@ -0,0 +1,32 @@ +REG FFFFFFFFE767CD69 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000040 +REG 0000000000000000 +REG 0000010000000000 +REG FFFFFFFFFFFF88AA +REG 0000000000000040 +REG FFFFFFFFFFFF906D +REG FFFFFFFFFFFF906D +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001AF4 +REG 0000000000009008 +REG 0000000000000020 +REG FFFFFFFFFFFFE50B +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000001AA340 +REG FFF0000000000000 +REG FFFFFFFFFFFFFFDF +REG 0000FFFFF8000000 +REG 0000000000000000 +REG FFFFFFFFD1F45026 +REG 0000000000000000 +REG 000000000001AA34 +REG FFFFFFFFFFFF88BF +REG 000000005E861084 diff --git a/tests/560.bin b/tests/560.bin new file mode 100644 index 0000000..a2d8d3c Binary files /dev/null and b/tests/560.bin differ diff --git a/tests/560.out b/tests/560.out new file mode 100644 index 0000000..610a6b1 --- /dev/null +++ b/tests/560.out @@ -0,0 +1,32 @@ +REG FFFFC0FFBFFFFC25 +REG 00000000000083F9 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000ED1C +REG 0000000000000000 +REG 00003F003FFF8001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00003F003FFF8000 +REG 00000000000083F9 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000A +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFBFFFFBFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000040 +REG FFFFC0FFC0007FFF +REG 00000000400003FA +REG 0000000040000400 +REG 0000000000000000 +REG FFFFFFFFDFFFFC00 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080000800 diff --git a/tests/561.bin b/tests/561.bin new file mode 100644 index 0000000..aa9814d Binary files /dev/null and b/tests/561.bin differ diff --git a/tests/561.out b/tests/561.out new file mode 100644 index 0000000..4a01deb --- /dev/null +++ b/tests/561.out @@ -0,0 +1,32 @@ +REG 4001FFF800007B75 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFF9265 +REG 0000000000000000 +REG 0001FFF800000000 +REG 0000000000000008 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 4000000000000DBB +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000022 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000006 +REG 0000000000000000 +REG 0000000044090004 diff --git a/tests/562.bin b/tests/562.bin new file mode 100644 index 0000000..5b909dd Binary files /dev/null and b/tests/562.bin differ diff --git a/tests/562.out b/tests/562.out new file mode 100644 index 0000000..599cd6f --- /dev/null +++ b/tests/562.out @@ -0,0 +1,32 @@ +REG F0E89FFC41FB0091 +REG 0F17600000000000 +REG 0000000000F1740D +REG 0000000000000000 +REG FFFFFFFFFFFF8989 +REG FFFFFFFFFFFFFFFF +REG 000000078BA06000 +REG FFFE1D17E9FFFFF8 +REG 0000000000000000 +REG 0000000000000078 +REG 0F176000000012D2 +REG 0000000000000001 +REG 0000000000000000 +REG 000000000000003C +REG 0000000057230000 +REG 0000000057230000 +REG 0000000000000000 +REG FFFFFFFFE9FFFFF8 +REG 0000000000000000 +REG 00000000110D0000 +REG 0000000000000000 +REG 00000000000F1740 +REG 0000000000000001 +REG 000000078BE06020 +REG 00000000F2450000 +REG 00258BE72040D2FF +REG 000000000000003C +REG 0000000000000001 +REG FFDA7418E0B0A10C +REG 0000000000000000 +REG D83103C5D83103C1 +REG 0000000110000102 diff --git a/tests/563.bin b/tests/563.bin new file mode 100644 index 0000000..6f46d0f Binary files /dev/null and b/tests/563.bin differ diff --git a/tests/563.out b/tests/563.out new file mode 100644 index 0000000..c36cfe1 --- /dev/null +++ b/tests/563.out @@ -0,0 +1,32 @@ +REG 01435D35798B6B96 +REG FF00000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000033 +REG FFFFFFFFFFFFFFFF +REG C000000CC0FFFE0C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000020425080 +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFE567 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG 6DDF003300000033 +REG FFFFFFFFFFFFFFFE +REG 0000000000000001 +REG CFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFD413B544B80 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 00000000000036A2 +REG 0000000000000000 +REG 000000010084A110 diff --git a/tests/564.bin b/tests/564.bin new file mode 100644 index 0000000..af0d989 Binary files /dev/null and b/tests/564.bin differ diff --git a/tests/564.out b/tests/564.out new file mode 100644 index 0000000..8977f77 --- /dev/null +++ b/tests/564.out @@ -0,0 +1,32 @@ +REG 73DC0400BFC1FC46 +REG 0000000000000000 +REG 000000007B770000 +REG FFFFFFFF000000FF +REG 0000000000000000 +REG 0000000D20C00000 +REG 0000000000000021 +REG 0000000000000000 +REG FFFFFFFFFFFFF9FB +REG 0000000000000000 +REG F000000000000009 +REG 0000000000000100 +REG 0FFFFFFFFFFFFFFF +REG 0000000000000008 +REG 0000000000000002 +REG 0000008000000000 +REG 0000000000600000 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000003620000 +REG 0FFFFFFFFFFFFFF7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000004 +REG 000000002C430000 +REG EC23FBFFFFFFFE4C +REG 0000000000000000 +REG 0000000000000000 +REG 00000000410B0E90 diff --git a/tests/565.bin b/tests/565.bin new file mode 100644 index 0000000..f7b4445 Binary files /dev/null and b/tests/565.bin differ diff --git a/tests/565.out b/tests/565.out new file mode 100644 index 0000000..f75b055 --- /dev/null +++ b/tests/565.out @@ -0,0 +1,32 @@ +REG 0000000000001560 +REG 0000000800000008 +REG 0000000000000000 +REG 0000000007FFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000018050000 +REG 0000000000000000 +REG 0000AD0000000000 +REG 0000000000000004 +REG 0000000018050001 +REG 0000000000000000 +REG 0000000000001539 +REG 0000000000000000 +REG 000000000000582D +REG 0000000000000007 +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFFE7FAFFFF +REG 8000000000000000 +REG FFFFFFFFFFFFCC00 +REG 0000000000000000 +REG 0000000004000000 +REG 0000000000000000 +REG 0000000008000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000008000000 +REG 0000000000000000 +REG 0000AD00BFAE0000 +REG 0000000089088905 diff --git a/tests/566.bin b/tests/566.bin new file mode 100644 index 0000000..26b8584 Binary files /dev/null and b/tests/566.bin differ diff --git a/tests/566.out b/tests/566.out new file mode 100644 index 0000000..047cb86 --- /dev/null +++ b/tests/566.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFF990A +REG 0000000000000000 +REG FFFFFFFFFFFFFFFC +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000002 +REG FFFFFFFFFFFFAA26 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000007047760 +REG 0808080807040500 +REG 0000000020009F00 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFB93D900 +REG 00000000000066F5 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000002 +REG 0000000000000002 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000005 +REG FFFFFFFFDFFF60FE +REG FFFFFFFFFFFFFFFD +REG 0000000007040500 +REG 0000000000000000 +REG 046C26BE03894107 +REG FFFFFFFFFFFFFFFC +REG 0000000000000001 +REG FFFFFFFFFFF7FFFF +REG 000000009E01E890 diff --git a/tests/567.bin b/tests/567.bin new file mode 100644 index 0000000..5a1bb6f Binary files /dev/null and b/tests/567.bin differ diff --git a/tests/567.out b/tests/567.out new file mode 100644 index 0000000..345cb1c --- /dev/null +++ b/tests/567.out @@ -0,0 +1,32 @@ +REG FFFFFFFF81998000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000400000005 +REG FFFFFFFFFE2AFFFF +REG 0000000000136000 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000003 +REG 0000000000000000 +REG 0000000000135FFF +REG 000000000000792C +REG 0000000000000000 +REG FFFFFFFBFFFFFFFA +REG 0808080808080808 +REG FFFFFFFFFE2AFFFF +REG 10D682976F27B061 +REG 0013DF4000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFECA000 +REG 00000000815001F7 diff --git a/tests/568.bin b/tests/568.bin new file mode 100644 index 0000000..f57ce06 Binary files /dev/null and b/tests/568.bin differ diff --git a/tests/568.out b/tests/568.out new file mode 100644 index 0000000..17cf19f --- /dev/null +++ b/tests/568.out @@ -0,0 +1,32 @@ +REG FDF05A0CCF4A1222 +REG 0000000000000000 +REG 000000000000806B +REG 0000000000000001 +REG FFFFFFFFBB78FFFF +REG FFFFFFFFD10071AD +REG FFFFFFFFFFFFFFFF +REG 0000000000003240 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000C783 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000000 +REG 0000000044870000 +REG 0000000000000000 +REG 0000000000000000 +REG 000100D600000016 +REG 0000000000000000 +REG 03FFFFFFEE54FFE0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 000000005E040100 diff --git a/tests/569.bin b/tests/569.bin new file mode 100644 index 0000000..8d5a2ce Binary files /dev/null and b/tests/569.bin differ diff --git a/tests/569.out b/tests/569.out new file mode 100644 index 0000000..5ceec7a --- /dev/null +++ b/tests/569.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFD +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000003FFFFF9F5A +REG 0000000100000000 +REG 0000000000000000 +REG 000000000000F463 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000F463 +REG 0000000000000000 +REG 000000003B2B0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000100000001 +REG FFFFFFFF644AFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000889FFFE9 diff --git a/tests/57.bin b/tests/57.bin new file mode 100644 index 0000000..9586284 Binary files /dev/null and b/tests/57.bin differ diff --git a/tests/57.out b/tests/57.out new file mode 100644 index 0000000..3e82d82 --- /dev/null +++ b/tests/57.out @@ -0,0 +1,32 @@ +REG 000000000000003E +REG 0000000070000000 +REG 0000000000000000 +REG 3FFFFFFFF0000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000FF5E +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFF8275 +REG 0000000000000800 +REG FFFFFFFFFFFFFFFE +REG 0000000000000040 +REG FFFFFFFFFF800040 +REG FFFFFFFFF6FFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000011 +REG 0000000000020000 +REG FFFFFFFFFF800040 +REG 0000000000000000 +REG 0000FFEAEADFFFFF +REG FFFFFFFFFFFFE719 +REG FFFFFFFFFFFFFF5E +REG 000000000000369C +REG 0000000000000000 +REG FFFFFFFFF6FFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000008F109092 diff --git a/tests/570.bin b/tests/570.bin new file mode 100644 index 0000000..4030c21 Binary files /dev/null and b/tests/570.bin differ diff --git a/tests/570.out b/tests/570.out new file mode 100644 index 0000000..92f5481 --- /dev/null +++ b/tests/570.out @@ -0,0 +1,32 @@ +REG 00000000FFFFCFAE +REG 0000000000000011 +REG 0000000000000000 +REG 00000000A3120000 +REG 0000000000000000 +REG 00000004BFF75FFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000002005 +REG 0000000000000F09 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001FFF +REG 00000004BFF71FFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFE000 +REG 0000000000000000 +REG 0000000000002E6E +REG 0000000000000780 +REG 0000000000000040 +REG 0000000040001B20 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000022BC +REG 0000000000000000 +REG 0801400000000000 +REG 0000000100800908 diff --git a/tests/571.bin b/tests/571.bin new file mode 100644 index 0000000..3419cce Binary files /dev/null and b/tests/571.bin differ diff --git a/tests/571.out b/tests/571.out new file mode 100644 index 0000000..d4f2992 --- /dev/null +++ b/tests/571.out @@ -0,0 +1,32 @@ +REG 00237E0100002A45 +REG 0000000080040400 +REG 000000000F000807 +REG 000040000000DF7F +REG 0000000000000001 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG FFFFE60FFFFFFFFF +REG 0000000000000000 +REG 00011BF000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFC0000001FFFFFF +REG 000000000000001A +REG FFFFFFFFFFFFFFFF +REG 0000000020000000 +REG FFFFFFFFFFFFFFE5 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFE60FFFFFFFFF +REG FFFFBFFFFFFFFF80 +REG 0000000000000000 +REG 460E9DFDA320D2E0 +REG FF34000000000225 +REG 0080000000000000 +REG 460E9DFDFFFDD2E0 +REG 0000000000000000 +REG 0000000000000000 +REG D7E5800000000000 +REG 3D00000028D99171 +REG 000000000000279D +REG 0000000090011011 diff --git a/tests/572.bin b/tests/572.bin new file mode 100644 index 0000000..17f5995 Binary files /dev/null and b/tests/572.bin differ diff --git a/tests/572.out b/tests/572.out new file mode 100644 index 0000000..2c2e3e9 --- /dev/null +++ b/tests/572.out @@ -0,0 +1,32 @@ +REG FFFFFFFFC0000BFE +REG 0000000000000020 +REG 00000000C8070000 +REG 0000000000000000 +REG 00000000D6710000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000FFFF001 +REG 00000000E8070006 +REG 0000000000000020 +REG 00000000C8070000 +REG 0000000000000020 +REG FFFFFFFFFFFFDCC1 +REG 0000000000000000 +REG 0000000000000BE0 +REG 0000000000000005 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000020 +REG 0000000000000000 +REG 000000008CF30006 +REG 000000008CF30006 +REG 00000000D670AA3A +REG 0000000000000040 +REG 000000008CF331A5 +REG FFFFFFFFF0000FFF +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000008110905C diff --git a/tests/573.bin b/tests/573.bin new file mode 100644 index 0000000..9e85575 Binary files /dev/null and b/tests/573.bin differ diff --git a/tests/573.out b/tests/573.out new file mode 100644 index 0000000..906e344 --- /dev/null +++ b/tests/573.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 00000000FFFFFDFC +REG FFFFFFFFE0000002 +REG 00000000FFFFFDFC +REG 0000000004040005 +REG 0000000000000203 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000001BC6003D +REG 0000000000000000 +REG 0808080804040803 +REG 0000000000000000 +REG 000000000000237A +REG FFFFFFFFFFBFBFBF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFB6410B00 +REG 000000000000B9C5 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000001016 +REG 0000000000000000 +REG 00000000FFFFFFFE +REG 00000000FFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 00000001117C0A80 diff --git a/tests/574.bin b/tests/574.bin new file mode 100644 index 0000000..98eee48 Binary files /dev/null and b/tests/574.bin differ diff --git a/tests/574.out b/tests/574.out new file mode 100644 index 0000000..d39bc3d --- /dev/null +++ b/tests/574.out @@ -0,0 +1,32 @@ +REG 0000000073FFB9BE +REG 0000000000000204 +REG 0000000000000000 +REG 0000000000000000 +REG 1190400000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFF87B601FFDF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 00000000000028A1 +REG 0000000000000000 +REG 0000000000000001 +REG 000000200000001B +REG 0000001FFFFFFFFF +REG 0000000000000203 +REG FFFFFFFFFFFFB99E +REG FFFFFFE000000000 +REG 0000000000000022 +REG 0000000000000000 +REG 0000001C00000016 +REG 0000001FFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000004641 +REG 0000000000000000 +REG FFFFFF87B601FFDF +REG 0000000084888688 +REG 0000000000004663 +REG 0000007849FE4641 +REG 0000001C00000016 +REG 0000001FFFFFF9FD +REG 0000000109110D10 diff --git a/tests/575.bin b/tests/575.bin new file mode 100644 index 0000000..27c0aa9 Binary files /dev/null and b/tests/575.bin differ diff --git a/tests/575.out b/tests/575.out new file mode 100644 index 0000000..f44b7c4 --- /dev/null +++ b/tests/575.out @@ -0,0 +1,32 @@ +REG 00000000884691E7 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG 000000000000001F +REG FFFFFFFFB7F777B8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000800 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000048088848 +REG 00000000000071FA +REG 0000000008000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFAC07 +REG 0000000000000000 +REG 0000000048111090 diff --git a/tests/576.bin b/tests/576.bin new file mode 100644 index 0000000..36d3fca Binary files /dev/null and b/tests/576.bin differ diff --git a/tests/576.out b/tests/576.out new file mode 100644 index 0000000..89238e9 --- /dev/null +++ b/tests/576.out @@ -0,0 +1,32 @@ +REG FFFD90A5FFC6467B +REG 0000000000000000 +REG FFFFFFFFFFFFE001 +REG 000000000000DCF2 +REG 0000000000000000 +REG FFFFFFFFFFFFB859 +REG 000000003FFB3C48 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000004 +REG 00000001FFFFFFFF +REG 0000006000000000 +REG 0000000000000000 +REG 0000000000304005 +REG 00000001FFFFFFFE +REG 0001000044410000 +REG 0000006030AD0000 +REG 000000000FFECF12 +REG 0000000000000000 +REG 0000000000000000 +REG FFFD90A5FFF6787A +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000088110111 diff --git a/tests/577.bin b/tests/577.bin new file mode 100644 index 0000000..68f7f58 Binary files /dev/null and b/tests/577.bin differ diff --git a/tests/577.out b/tests/577.out new file mode 100644 index 0000000..b01e200 --- /dev/null +++ b/tests/577.out @@ -0,0 +1,32 @@ +REG A80006210966AF5D +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 00000000B6110001 +REG 0000000000000000 +REG 0000000000000020 +REG 58B8082201FFAE21 +REG 0000000000000001 +REG 3FFFFFFFFC000000 +REG 0000000000003EE7 +REG 4000000000B80822 +REG 0000000000000005 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000800000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFC138 +REG 57FFAE2158B80822 +REG 0000000000000020 +REG 00000000000003EE +REG 0000000000000020 +REG 000000000000001F +REG 0000000000000005 +REG 0000000101FFE80C diff --git a/tests/578.bin b/tests/578.bin new file mode 100644 index 0000000..514e6c1 Binary files /dev/null and b/tests/578.bin differ diff --git a/tests/578.out b/tests/578.out new file mode 100644 index 0000000..71e3019 --- /dev/null +++ b/tests/578.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFF1842BA +REG 000000D8000000D8 +REG 000000000000003F +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFBF +REG FFFFFFFFFFFF7C71 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000001D38 +REG 0000000000001001 +REG FFFFFFFF00004007 +REG FFFFFFFFFF1842BC +REG 000000000F000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG FFFFFFFFFF1842BF +REG 000000000000001B +REG 0000000000002435 +REG FF1842BBFF1842BB +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFF2EC +REG FF1842BBFF1842BB +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFF1873BF +REG 0000000000000000 +REG 0000000000E7BD44 +REG 000000011E500111 diff --git a/tests/579.bin b/tests/579.bin new file mode 100644 index 0000000..34a0756 Binary files /dev/null and b/tests/579.bin differ diff --git a/tests/579.out b/tests/579.out new file mode 100644 index 0000000..a8b05b3 --- /dev/null +++ b/tests/579.out @@ -0,0 +1,32 @@ +REG 000000001842FFFE +REG FFFFFFFFE79D0000 +REG FFFFFF01FFE0BC71 +REG 0000000000000000 +REG FFFFFF01FFE0BC72 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000018630338 +REG 0000000200000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFEF30006 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFCFF5 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFEF3A827 +REG 0000000000000001 +REG 0252B5E774040000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF8C +REG 0000000000005A19 +REG 0000000051FE9F18 diff --git a/tests/58.bin b/tests/58.bin new file mode 100644 index 0000000..839d218 Binary files /dev/null and b/tests/58.bin differ diff --git a/tests/58.out b/tests/58.out new file mode 100644 index 0000000..855de18 --- /dev/null +++ b/tests/58.out @@ -0,0 +1,32 @@ +REG 0000000000000001 +REG 0400000004000000 +REG 000000000000ED09 +REG 0000000004000000 +REG 0000000024048F08 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 000000003FFF3742 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000003FFF3742 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000003FFF3742 +REG 0000000000000000 +REG 000000003EBF0000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000024048F08 +REG 0000000108091010 diff --git a/tests/580.bin b/tests/580.bin new file mode 100644 index 0000000..ad39edc Binary files /dev/null and b/tests/580.bin differ diff --git a/tests/580.out b/tests/580.out new file mode 100644 index 0000000..8b00045 --- /dev/null +++ b/tests/580.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000000007 +REG 0000000000000000 +REG 0000000006FFD7FD +REG 0004800000000000 +REG 0000002300000023 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF9 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF8000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000004 +REG FFFFFFFFFFFF0000 +REG FFFFFFFFFFFF99EB +REG 0000000007000001 +REG 0000000000000000 +REG 0000000000009121 +REG 0800000002040024 +REG 00000000004800E2 +REG 00003FFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000080 +REG FFFFFFFFFFFFFFFF +REG 0000000000000024 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000024484000 +REG FFFFFFFFFFFFFFC1 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000012 +REG 0000000041000903 diff --git a/tests/581.bin b/tests/581.bin new file mode 100644 index 0000000..2eff8a5 Binary files /dev/null and b/tests/581.bin differ diff --git a/tests/581.out b/tests/581.out new file mode 100644 index 0000000..361bf45 --- /dev/null +++ b/tests/581.out @@ -0,0 +1,32 @@ +REG 0000001F28411908 +REG 0000000000000021 +REG 0000000000000000 +REG FFFFFFFFAB85FFCE +REG FEEF7FF400000000 +REG 0000000000000021 +REG FFFFFFFFFFFFFFCF +REG 00000000003C7FC9 +REG 0000000000000031 +REG 00000000003C7FC9 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000007A41 +REG 08320600003C0000 +REG 00000000000FF900 +REG 0000000000000005 +REG 0000000000000055 +REG 0000000000000000 +REG 0000000000007EA3 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFC3FFFF +REG 000000000000000A +REG 0004200000000000 +REG 0000000000000020 +REG 00000000547A0000 +REG 0000000000000000 +REG 00000000547A0000 +REG 0000000000000000 +REG 0000000000000011 +REG 0000000000000021 +REG 0000000090001100 diff --git a/tests/582.bin b/tests/582.bin new file mode 100644 index 0000000..c5cf963 Binary files /dev/null and b/tests/582.bin differ diff --git a/tests/582.out b/tests/582.out new file mode 100644 index 0000000..34c2cf6 --- /dev/null +++ b/tests/582.out @@ -0,0 +1,32 @@ +REG FFFFFFFFE854375E +REG 0000000000004410 +REG 0000000000053858 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000CDE4C11 +REG FFFFFFFFFFFFFFFF +REG 000000000000A70F +REG 0000053878001000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000011 +REG 0000000000000000 +REG FFFFFFFFFFFF9F17 +REG 0808080808080803 +REG 0000000000001000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFC8A1 +REG FFFFFFFFFFFFFFFF +REG 0000000100000000 +REG 0000000000000000 +REG 0000000008080803 +REG 000000000000A70F +REG 0000000040F80F04 +REG 0808080808080802 +REG 0000000000053878 +REG FFFFFFFFFFFFF321 +REG 000000000000A70F +REG 0000000000000000 +REG 000000009E101061 diff --git a/tests/583.bin b/tests/583.bin new file mode 100644 index 0000000..782d62e Binary files /dev/null and b/tests/583.bin differ diff --git a/tests/583.out b/tests/583.out new file mode 100644 index 0000000..ce201e4 --- /dev/null +++ b/tests/583.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFDE5 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000044F60000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 12939C6436B39C64 +REG 50489D137FFFFFFF +REG 0000000000000000 +REG 0000000044F60000 +REG 0000000000000000 +REG 2FFD64D8A8000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 62BFF59360BFF593 +REG FFFFFFFFA8000000 +REG 0000000000000040 +REG 00000000A8000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFA8000000 +REG 0000000000DD87DA +REG 0000000000000000 +REG 0000000040091108 diff --git a/tests/584.bin b/tests/584.bin new file mode 100644 index 0000000..9bc70d3 Binary files /dev/null and b/tests/584.bin differ diff --git a/tests/584.out b/tests/584.out new file mode 100644 index 0000000..104cd8a --- /dev/null +++ b/tests/584.out @@ -0,0 +1,32 @@ +REG 0000043E8CF1AAFB +REG FFFFFFFFFFFFFFF2 +REG 0000000000000000 +REG FFFFFBC1730E5525 +REG FFFFFFFFFFFFD900 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000084048FF2 +REG FFFFFFFFFFFF782E +REG FFFFFFFFFFFFFF0A +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000083CA0000 +REG 0000000000000000 +REG 00000BB100000BB1 +REG 0000000000000000 +REG FFFFFFFFFFFFDBBB +REG 00000000000000D1 +REG 00FFFFFEF8004800 +REG 0000043E8CF1AAFB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000BB2 +REG 0000000000000000 +REG 00000BB100000BBC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000048091FE4 diff --git a/tests/585.bin b/tests/585.bin new file mode 100644 index 0000000..7f94031 Binary files /dev/null and b/tests/585.bin differ diff --git a/tests/585.out b/tests/585.out new file mode 100644 index 0000000..3b77ada --- /dev/null +++ b/tests/585.out @@ -0,0 +1,32 @@ +REG 00000002B0C20802 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020080804 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFE03 +REG FFFFFFFDFFFFCDD1 +REG 0000000000000000 +REG FFFFFFFDFFFFCDD2 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFDFFFFFFF8 +REG FFFFFFFFFFFF9F6F +REG 0000000000000000 +REG 000000000000001C +REG 0000000000000001 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFF90CA0001 +REG FFFFFFFDFFFFFFF8 +REG FFFFFFFDFFFFFFF8 +REG FFFFFFFFFFFFFFFF +REG 000000020000000A +REG 0000000080109010 diff --git a/tests/586.bin b/tests/586.bin new file mode 100644 index 0000000..29d7a71 Binary files /dev/null and b/tests/586.bin differ diff --git a/tests/586.out b/tests/586.out new file mode 100644 index 0000000..585efac --- /dev/null +++ b/tests/586.out @@ -0,0 +1,32 @@ +REG FFFFC00004000003 +REG FFFFFFFFFFFFFFD5 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFC00000000004 +REG 0000000000000001 +REG FFFFC000FCE30003 +REG 0000000000000000 +REG FFFFC00000000003 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00003FFFFFFFFFFC +REG 00003FFFFFFFFFFC +REG 0000000000000004 +REG 0000000000000020 +REG FFFFC00000000004 +REG 000000000000C1E9 +REG 00003FFFFFFFFB99 +REG FFFFFFFFFFFFFFD5 +REG 000000000000300F +REG 0000000000000002 +REG 0000000000000002 +REG 0000000000000000 +REG 00000000FCE2FFFF +REG 0000000056F1FFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFA90E0001 +REG 0000300F00000000 +REG 0000000004000000 +REG 0000000084800001 diff --git a/tests/587.bin b/tests/587.bin new file mode 100644 index 0000000..b6a261f Binary files /dev/null and b/tests/587.bin differ diff --git a/tests/587.out b/tests/587.out new file mode 100644 index 0000000..ff54963 --- /dev/null +++ b/tests/587.out @@ -0,0 +1,32 @@ +REG 0000000000000001 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFC00 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFD146 +REG FFFFFFFFFFFFC779 +REG FFFFFFFFFFFFFFFE +REG 00000000FFFFFFFF +REG 0000000000000000 +REG 000000000513CB38 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG FFFFFFFC00000000 +REG FFFFFFFFFFFFC779 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000C779 +REG 0000000000000000 +REG 0000000000006CB7 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000A +REG 0000000081000901 diff --git a/tests/588.bin b/tests/588.bin new file mode 100644 index 0000000..0eeb851 Binary files /dev/null and b/tests/588.bin differ diff --git a/tests/588.out b/tests/588.out new file mode 100644 index 0000000..01739ae --- /dev/null +++ b/tests/588.out @@ -0,0 +1,32 @@ +REG 0000000000000014 +REG FFFFFFFFFFFFFF7F +REG 0000000040048000 +REG 0000000000006239 +REG 0000000000840000 +REG 0000000000000010 +REG FFFFFFFFFFEF4734 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000FEFFEE +REG 0000000000000000 +REG FFFFFFFFD1310010 +REG 0000000000000010 +REG 0000000000000080 +REG 0000000000000000 +REG FFFFFFFFFF7BFFFF +REG 0000000000000013 +REG FFFFFFFFFFFFFF95 +REG 0000000000000010 +REG FFFFFFFFFFFFF4B9 +REG FFFFFFFFFFFFAD16 +REG 0000000058E10000 +REG FFFFFFFFFFFFFF80 +REG FFFFFFFFFFFFA292 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFB1B1 +REG 00000000A5D80000 +REG 0000000000000002 +REG 0000000000000013 +REG 0000000080090000 diff --git a/tests/589.bin b/tests/589.bin new file mode 100644 index 0000000..4fa1a6f Binary files /dev/null and b/tests/589.bin differ diff --git a/tests/589.out b/tests/589.out new file mode 100644 index 0000000..d1d1d4c --- /dev/null +++ b/tests/589.out @@ -0,0 +1,32 @@ +REG FFFFFFDFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0006FD1A00000000 +REG 0000000000000000 +REG 0000000000F00000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000F00000 +REG 0000001E00000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF8DD9FFFF +REG 00037E8C00000000 +REG 0000000000000001 +REG 0000000000040000 +REG 0000000000000000 +REG 00000011000087B3 +REG 00000000000028BF +REG 0000000000000000 +REG FFFFFFFFFFFF5110 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG FFFFFFFF8DD9FFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000090411F10 diff --git a/tests/59.bin b/tests/59.bin new file mode 100644 index 0000000..c5af37c Binary files /dev/null and b/tests/59.bin differ diff --git a/tests/59.out b/tests/59.out new file mode 100644 index 0000000..2b00e86 --- /dev/null +++ b/tests/59.out @@ -0,0 +1,32 @@ +REG 000000000000633A +REG FFFFFD48CB61C000 +REG 0000000000004587 +REG FFFFFFFFFFFFBA79 +REG 0000000000000000 +REG FFFF00FFFFFFA238 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000011 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000008000000 +REG 0000000042BA4587 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000009FFC000 +REG FFFF00FFFFFFFFFF +REG FFFFFFFFFFFFBA79 +REG FFFFFFFFFFFFBA78 +REG FFFFFFFFFFFFFBFB +REG FFFF00FFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000001 +REG 0000000100908010 diff --git a/tests/590.bin b/tests/590.bin new file mode 100644 index 0000000..e885a63 Binary files /dev/null and b/tests/590.bin differ diff --git a/tests/590.out b/tests/590.out new file mode 100644 index 0000000..541b0cd --- /dev/null +++ b/tests/590.out @@ -0,0 +1,32 @@ +REG FE1B7FFFFFFBECC4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000044FF8444 +REG 00000000000EE000 +REG 0000000000000000 +REG 0000000100000001 +REG 0000000000000000 +REG 00000000FFFFFBFB +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000EDFFF +REG 01E4800000040000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000EDFFF +REG 0000000000000000 +REG 0000000100000001 +REG 0000000000003904 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000012 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000045 +REG 01E4800000040000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000009000000012 +REG 00000000000EDFFF +REG 00000000491E9088 diff --git a/tests/591.bin b/tests/591.bin new file mode 100644 index 0000000..b56408b Binary files /dev/null and b/tests/591.bin differ diff --git a/tests/591.out b/tests/591.out new file mode 100644 index 0000000..5948a80 --- /dev/null +++ b/tests/591.out @@ -0,0 +1,32 @@ +REG 678CD7AC0060FFBA +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFBA +REG FABCB7400000005F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001D39 +REG 0000000019E35256 +REG 00000000D6C50000 +REG 0000000000000000 +REG 0000000000000020 +REG 678CD7AC003FFFFA +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFF486 +REG 678CD7AB8E41AFE3 +REG 0000000000000000 +REG FFD5E5BAFFD5E5BA +REG 00019E3000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFA9 +REG 0000000000000001 +REG FFFFFFFFFFFFE2C6 +REG 00000000000061ED +REG FFD5E5BAFFD647A7 +REG 018CD7AB8E400000 +REG FFFFFFFFFFFFFFA9 +REG 00000000408900C1 diff --git a/tests/592.bin b/tests/592.bin new file mode 100644 index 0000000..72e031d Binary files /dev/null and b/tests/592.bin differ diff --git a/tests/592.out b/tests/592.out new file mode 100644 index 0000000..d83668c --- /dev/null +++ b/tests/592.out @@ -0,0 +1,32 @@ +REG 0000000000000001 +REG 0000000000000000 +REG 0100000020FFFFFE +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000036 +REG 0000000000000000 +REG 0100000020FF9946 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFEB13B +REG 00000000267C0000 +REG 0000000000000000 +REG 000000000003EC82 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFC935AE02 +REG 0000000000000000 +REG 0000000000014EC5 +REG 0000000000000000 +REG FFFFFFFFFFFEB13B +REG 0000000020FFFFFE +REG 0000000000000000 +REG FFFFFFFFFFFEB105 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000014EC5 +REG 0000000049000100 diff --git a/tests/593.bin b/tests/593.bin new file mode 100644 index 0000000..096d9be Binary files /dev/null and b/tests/593.bin differ diff --git a/tests/593.out b/tests/593.out new file mode 100644 index 0000000..7d8a800 --- /dev/null +++ b/tests/593.out @@ -0,0 +1,32 @@ +REG 00003FFFF8000010 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000000066A5 +REG 0000000000000FD0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFA +REG 00000000000018B6 +REG 0000780BADC20197 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFF00000000 +REG FFFFFFFAFFFFFC1A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000055360000 +REG 0000000000005E94 +REG 0000000000000000 +REG 00000000AA6C0000 +REG 00000000316BFFFF +REG 0000000000000175 +REG 0000000007FFFFFA +REG 00000005080003DF +REG 0000000000000000 +REG 0000000000000000 +REG 00000000316C0000 +REG 0000000000000000 +REG 0000000040D80000 diff --git a/tests/594.bin b/tests/594.bin new file mode 100644 index 0000000..1ba8fe1 Binary files /dev/null and b/tests/594.bin differ diff --git a/tests/594.out b/tests/594.out new file mode 100644 index 0000000..41942a2 --- /dev/null +++ b/tests/594.out @@ -0,0 +1,32 @@ +REG 03000001FC7C0002 +REG FFFFFC1FFFFFFC1F +REG 0000000000000000 +REG FFFFFFFFFFFFBF2A +REG 0000001FFFFFFFF0 +REG FFFFFFFFEB7E4122 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFF000 +REG 00FFFFFEFF840000 +REG 0000000000000000 +REG 0000000000000006 +REG 000000000000003F +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFF87FFFF +REG 000000002E0A0000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFEFCA +REG FFFFFFE01FFFFFFD +REG FFFFFFFFFFFFFFFD +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFC1FFFFFFC1F +REG FFFFFFFFD1F5FFFF +REG 0000000000000000 +REG 00000000000030B4 +REG 0000000000001000 +REG FFFFFFFFFFFFEFC4 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFEFFF +REG 000000005C140001 diff --git a/tests/595.bin b/tests/595.bin new file mode 100644 index 0000000..6d7867f Binary files /dev/null and b/tests/595.bin differ diff --git a/tests/595.out b/tests/595.out new file mode 100644 index 0000000..a0eb6de --- /dev/null +++ b/tests/595.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFE1 +REG 0000000084F804EF +REG 0000000000000100 +REG FFFFFFFFFFFFFFE1 +REG 0000000000000005 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFF007D45 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040005EAA +REG FFFFFFFAFFFFFFFA +REG 0000000040000000 +REG 0000000000000005 +REG 0000000000000000 +REG 7FFFFFFFFF87FFF8 +REG FFFFFFFFE13E013B +REG FFFFFFFFBFFFA155 +REG 0000000000000000 +REG 00000000000003FE +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000018 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000115F0001E diff --git a/tests/596.bin b/tests/596.bin new file mode 100644 index 0000000..cad668d Binary files /dev/null and b/tests/596.bin differ diff --git a/tests/596.out b/tests/596.out new file mode 100644 index 0000000..bd3b693 --- /dev/null +++ b/tests/596.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 000000000000003A +REG 0000000000010000 +REG 1F85C0001F85C000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 000000060000FC2E +REG 0000000000000008 +REG 0000000000003C0C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000008 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000010020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000003C0B +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000014 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000003A +REG F8F7F7F7F8F7F7F7 +REG 0000000000000000 +REG FFFFFFFFFFFF8313 +REG 0000FFFF0000FFFF +REG 000000008001191D diff --git a/tests/597.bin b/tests/597.bin new file mode 100644 index 0000000..cd084ee Binary files /dev/null and b/tests/597.bin differ diff --git a/tests/597.out b/tests/597.out new file mode 100644 index 0000000..fabec5d --- /dev/null +++ b/tests/597.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000FF00000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 9728E80097280000 +REG 0000000000001000 +REG 9714E8009727FFFF +REG FFFFFFEA68D717FF +REG 9728E8009727FFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFC07FFF +REG 003C000000000000 +REG 0000000000000004 +REG 00000005F6000000 +REG 0000000000000000 +REG 0000000040000000 +REG 00000000003F8000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFB +REG 000000000000000F +REG FFFFFFFFFFFFDA4A +REG 0000000000000000 +REG FFFFFFFFFFC07FFF +REG 00000000490501E8 diff --git a/tests/598.bin b/tests/598.bin new file mode 100644 index 0000000..a5edeb8 Binary files /dev/null and b/tests/598.bin differ diff --git a/tests/598.out b/tests/598.out new file mode 100644 index 0000000..77d0bc8 --- /dev/null +++ b/tests/598.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFE632C0 +REG FFFFFFFFFFFFDC54 +REG FFFFFFFFFFFFFFFF +REG 00000000000051E4 +REG FFFFFFFFFFFFFFC0 +REG 00000000000023AC +REG E632FFFFE632FFFF +REG 0000000000000000 +REG 19CD000001CD0000 +REG 00000000000023B5 +REG 0000000000000800 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000B +REG 00000000000023B5 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 19CD000001CD0000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000023B4 +REG 00000000000023B4 +REG 0000000000000001 +REG 0000000D00000011 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFDD42FFFF +REG 0000000000000000 +REG 000000005E909F01 diff --git a/tests/599.bin b/tests/599.bin new file mode 100644 index 0000000..69293e1 Binary files /dev/null and b/tests/599.bin differ diff --git a/tests/599.out b/tests/599.out new file mode 100644 index 0000000..25479e7 --- /dev/null +++ b/tests/599.out @@ -0,0 +1,32 @@ +REG 02774F753982EC9E +REG 9FFFFFFFF0159597 +REG 8000000000000000 +REG 000000000E000000 +REG 6B4B7FFDD746FFFF +REG 00001BED56D58000 +REG EFB788261315FFFF +REG DA00000000000000 +REG FFFFFFFFFFFFDE6F +REG 0000000000000007 +REG EFB788A6000308AF +REG 00000080000108AF +REG 00000080000105DA +REG 3537E7BEF806CF71 +REG EFB788A6000309F9 +REG 0000000002000000 +REG 0000000000000002 +REG 0000000100010205 +REG FFFFF380D1BB5E05 +REG 84FCF7DBC4530000 +REG E605B28503A6DBF3 +REG 00000080000108AF +REG 0000000003461D98 +REG EFB788A6000308AF +REG 0000000000000000 +REG EFB788A6000309F9 +REG FFFFDE7FFFFFDE70 +REG 0000000020434483 +REG 00001BEDE2298000 +REG 0000000000010083 +REG FFFFFBC1D7FB7E8F +REG 0000000080868909 diff --git a/tests/6.bin b/tests/6.bin new file mode 100644 index 0000000..992f4af Binary files /dev/null and b/tests/6.bin differ diff --git a/tests/6.out b/tests/6.out new file mode 100644 index 0000000..3d5d6cf --- /dev/null +++ b/tests/6.out @@ -0,0 +1,32 @@ +REG C00000337FFFFFF1 +REG 0000000000000000 +REG 00000000000497C8 +REG FFFFFFFFFFFFD0D0 +REG 00000000000082FF +REG FFFFFFFFFFFFD0D0 +REG FFFFFFFFFFFFFFE3 +REG 000000000000001C +REG 0000000000000000 +REG 3FFFFFFF80000000 +REG 0000000000000034 +REG 0000000000000000 +REG 0000000000000000 +REG 3FFFFFFF80000001 +REG FFFFFFFFFFFFFFFF +REG C00000008000003D +REG FFFFFFDFF6330000 +REG FFFFFFFFFFFFFFFF +REG 0000002009CCFFFF +REG 0000000000000000 +REG 3FFFFFFF80000000 +REG C00000008000003D +REG FFFFFFFFC000318D +REG 0000000000000000 +REG C00000007FFFFFFF +REG 00000000B37A82FF +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000F56 +REG FFFFFFE000000000 +REG 0000000000000000 +REG 0000000100801001 diff --git a/tests/60.bin b/tests/60.bin new file mode 100644 index 0000000..d316717 Binary files /dev/null and b/tests/60.bin differ diff --git a/tests/60.out b/tests/60.out new file mode 100644 index 0000000..e2f9230 --- /dev/null +++ b/tests/60.out @@ -0,0 +1,32 @@ +REG 91349D81C000601A +REG 0000000000000000 +REG 00000000090540C4 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFEA +REG FFFFFFFFFFFF9FE5 +REG FFFFFFFFFFFF9FE5 +REG 0000000000000005 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0303040201000000 +REG E600004FC7FFFFFF +REG 0080000000000000 +REG 0000000000000039 +REG 0000000100000001 +REG 000B627E40007A3B +REG 0000000000002184 +REG FFF49D81BFFFBAB3 +REG FFFFFFFFFFFFFFFE +REG 0000000080000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000016 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFE0000 +REG FFFFFFFFFFFD9FE5 +REG FFFFFFFFFFFF9FE5 +REG 0000000000000000 +REG 0000000000000080 diff --git a/tests/600.bin b/tests/600.bin new file mode 100644 index 0000000..e510f4c Binary files /dev/null and b/tests/600.bin differ diff --git a/tests/600.out b/tests/600.out new file mode 100644 index 0000000..6f1e416 --- /dev/null +++ b/tests/600.out @@ -0,0 +1,32 @@ +REG DB7FFBFF52FEFBFD +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF0 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFBFFFFFFFC +REG 0000000000000018 +REG 01FFFFFF867EFFFE +REG 3FF8FF3FFE000DA3 +REG FFFFFFFFDB7FFBFF +REG FFFF9FFFFF8003FF +REG 0000000000000000 +REG 0000000000000000 +REG FE000000F0000000 +REG 01FFFFFF9BA9FFFE +REG FBFFFFFFFC000000 +REG FFFFFFFFF71EFFF0 +REG 0000000000000000 +REG 0000000400000004 +REG FFFFFFFFFFFFFFFF +REG 0000000000000018 +REG 00000000FFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 00000000767EFFFF +REG DB7FFBFF24800401 +REG 0000000108000880 diff --git a/tests/601.bin b/tests/601.bin new file mode 100644 index 0000000..b7ec474 Binary files /dev/null and b/tests/601.bin differ diff --git a/tests/601.out b/tests/601.out new file mode 100644 index 0000000..ada79fc --- /dev/null +++ b/tests/601.out @@ -0,0 +1,32 @@ +REG FFFFFFFFECB570B7 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000026 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000002BD2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000134A8F48 +REG 0000000000000000 +REG 0000001C00000000 +REG 0000000000005E50 +REG 0000000024488F48 +REG 0000000040008400 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000066 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000024488F22 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000040 +REG 003FFFF000000000 +REG FFFFFFFFCAE10000 +REG 0000000080010800 diff --git a/tests/602.bin b/tests/602.bin new file mode 100644 index 0000000..f8d52f8 Binary files /dev/null and b/tests/602.bin differ diff --git a/tests/602.out b/tests/602.out new file mode 100644 index 0000000..ed13741 --- /dev/null +++ b/tests/602.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 0000002000000000 +REG 000000000000001C +REG 00000000FF4C0040 +REG 0000000028404820 +REG 0000000200000000 +REG 00000000000093FB +REG 000000000000724F +REG 0000000075E90000 +REG FFFFFFFFEFFFFFFF +REG 0808080807080808 +REG 0000000000000800 +REG 0000000000000000 +REG 0098000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFD96F +REG 0000000000000000 +REG 0000002000000F6E +REG 0000000008000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000028404800 +REG 0000000000000000 +REG 00000000000093FB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000B3FFC0 +REG 0000010000000000 +REG 0000000050809041 diff --git a/tests/603.bin b/tests/603.bin new file mode 100644 index 0000000..bc27767 Binary files /dev/null and b/tests/603.bin differ diff --git a/tests/603.out b/tests/603.out new file mode 100644 index 0000000..3008d74 --- /dev/null +++ b/tests/603.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG FFFFFFFFFFFFFFED +REG 000000000000ACF0 +REG 4246000000003FFF +REG 0000000000000000 +REG FFFFFFFFFFDFFFDF +REG 0000000000000000 +REG 800112ED80200000 +REG 0000000000000000 +REG 000000000000494B +REG 0000000000000005 +REG 0000000000022FF6 +REG 0000000000000000 +REG FFFFFAAB2798D968 +REG 007FFE55A67EC000 +REG FFFFFFFFE0005EDC +REG 0000000000000020 +REG 00000000001F8000 +REG FFE0004FE0005EDD +REG 0000000000000000 +REG 000000001FFFA123 +REG 0000000000000020 +REG 8001AA598131FF59 +REG 000000000000001F +REG 0000000000000000 +REG 0000000000000000 +REG 8001AA59813F9E71 +REG 0000000000000000 +REG ED8021FFEC0021FF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000050410105 diff --git a/tests/604.bin b/tests/604.bin new file mode 100644 index 0000000..ee69c33 Binary files /dev/null and b/tests/604.bin differ diff --git a/tests/604.out b/tests/604.out new file mode 100644 index 0000000..27eb0f9 --- /dev/null +++ b/tests/604.out @@ -0,0 +1,32 @@ +REG 00AE800000AF4E58 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000110537 +REG 0000000000000001 +REG 0000000000005C2C +REG 0FFFFFFFFFFFA6A9 +REG 9D6D000000000003 +REG 0000000000000000 +REG 0000000040000000 +REG 18F8400000110537 +REG 0000000500000005 +REG 00000000880021B9 +REG 6558000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000022 +REG FF517FFFFFFF0839 +REG 00000004FFEEFACE +REG 0000000000000003 +REG 0000000000000000 +REG 18F83FFF9D6D0000 +REG 000000000000063A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000AE7FFE +REG E707BFFFFFFFCA3B +REG EA2DE8DAD1701B7A +REG 000000008000E01C diff --git a/tests/605.bin b/tests/605.bin new file mode 100644 index 0000000..33298dd Binary files /dev/null and b/tests/605.bin differ diff --git a/tests/605.out b/tests/605.out new file mode 100644 index 0000000..760e1d4 --- /dev/null +++ b/tests/605.out @@ -0,0 +1,32 @@ +REG 6BFFFFFFFFFF875A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000300000003 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000005024 +REG 0000000000000004 +REG 0000000000000040 +REG 000000005E33AD88 +REG 0000000000000000 +REG 0000000000005025 +REG FFFFFFFFFFFFFFBF +REG 013AD88000000005 +REG 0000000000000040 +REG 080808080808A9FE +REG 0808080808080808 +REG FFFFFFFFBB4378A6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0808080808080808 +REG 00000000015B1000 +REG 0808080808080808 +REG E07FFFFFFFFF3D5D +REG FFFFFFFFFFFF875A +REG 0808080808080807 +REG 0000000000000000 +REG 000000005E33C83A +REG 0000000000000000 +REG 0000000000000005 +REG 000000005D069099 diff --git a/tests/606.bin b/tests/606.bin new file mode 100644 index 0000000..6fcc606 Binary files /dev/null and b/tests/606.bin differ diff --git a/tests/606.out b/tests/606.out new file mode 100644 index 0000000..ec5adc0 --- /dev/null +++ b/tests/606.out @@ -0,0 +1,32 @@ +REG FFFFFFFF8C433E65 +REG 59EAAF7200000000 +REG FFFFFFFFFFFFC3B6 +REG 000000002CF557BA +REG FFFFFFFFFFFF9CCC +REG 0000000000000001 +REG FFFFFFFF73FE0020 +REG 00000000E3290000 +REG 0000000020F4442F +REG FFFFFFFFFFFFFFFF +REG 000000000000002D +REG FFFFFFFFFFFFFFFF +REG 0000000046EEFFFF +REG 000000000442F000 +REG FFFFFFFFFFFF9CCC +REG FFFFFFFFB9FF0010 +REG 0000000000000000 +REG FFFFFFFFFFFFF0ED +REG FFFFFFFFFFFF9CCC +REG 000000011CC5FFF0 +REG 00000000D6C50000 +REG 000000005EB19CCB +REG 0000000000000000 +REG 0000000000000000 +REG 000000004600FFEF +REG 000000000000442F +REG 0000000020F3CFCE +REG 0000000000002300 +REG FFFFFFFFFFFF9CCC +REG 00000000D6C56333 +REG FFFFFFFFACBEFFFF +REG 0000000041E88850 diff --git a/tests/607.bin b/tests/607.bin new file mode 100644 index 0000000..83c8403 Binary files /dev/null and b/tests/607.bin differ diff --git a/tests/607.out b/tests/607.out new file mode 100644 index 0000000..e988143 --- /dev/null +++ b/tests/607.out @@ -0,0 +1,32 @@ +REG FBDFFFFFFBDFFFDF +REG FFFFFFF7FFFFFFF7 +REG 0000000000007CBF +REG 0000000023041DA9 +REG FFF7FFFFFFF7E000 +REG 0000000000000000 +REG 0000000000000004 +REG 0004200000002000 +REG 0000000000000000 +REG 0000000023042822 +REG 0000000000000000 +REG 0000000000000001 +REG 000000006ED40000 +REG 0000000000000005 +REG 0000000000000020 +REG FFFFFFF7FFFFFFF7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0420000004200000 +REG 0000000000000000 +REG 0000000500000000 +REG 0000000000000021 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFB +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0210000002100000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000090900884 diff --git a/tests/608.bin b/tests/608.bin new file mode 100644 index 0000000..ae78f70 Binary files /dev/null and b/tests/608.bin differ diff --git a/tests/608.out b/tests/608.out new file mode 100644 index 0000000..d011524 --- /dev/null +++ b/tests/608.out @@ -0,0 +1,32 @@ +REG FFFFFFF4FFFFFFF2 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000CFE0CA9B +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFF3F834AB18E +REG 000000000000001D +REG 000000C07CB525A9 +REG 0000000000000000 +REG 0000000000000000 +REG 000581EFBFBCC000 +REG 0000000000000000 +REG 000000C07CB54E71 +REG 834AC0000F000000 +REG 000000000000001C +REG 000000000000001B +REG FFFFFF4A834ADA5C +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFF4FFFFFFF2 +REG FFFFFFFFFFFFFFFF +REG 000000C07CB525A9 +REG 581EFBFBCC000000 +REG 0000000000000000 +REG 0000000800000004 +REG 0000000000000004 +REG 000000000000001B +REG 581EFBFBCBFFFFFF +REG 0000000000000001 +REG 0000000041100508 diff --git a/tests/609.bin b/tests/609.bin new file mode 100644 index 0000000..d31f759 Binary files /dev/null and b/tests/609.bin differ diff --git a/tests/609.out b/tests/609.out new file mode 100644 index 0000000..83c824a --- /dev/null +++ b/tests/609.out @@ -0,0 +1,32 @@ +REG 7F8FBE5DEC9917EE +REG FFFFFFFFFFFF814F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 00000000000001C8 +REG 0000000000400000 +REG 0000000024484000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFF9016FFFF +REG 00004CE400007200 +REG FFFFFFFFFFFFFFFF +REG 000000000000C2D1 +REG 0000000000000000 +REG FFFFFFFFFFFFA979 +REG FFFFFFFF9016FFFF +REG 0000000000000000 +REG 0000000000000007 +REG 0000000000000000 +REG 0000000026720000 +REG 0000000000000002 +REG 0000000000007205 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFEDF62F47BC2D +REG 0000000000000001 +REG FFFFFFFFFFFFFFD1 +REG 0000000108908400 diff --git a/tests/61.bin b/tests/61.bin new file mode 100644 index 0000000..1cd9ab0 Binary files /dev/null and b/tests/61.bin differ diff --git a/tests/61.out b/tests/61.out new file mode 100644 index 0000000..20848a9 --- /dev/null +++ b/tests/61.out @@ -0,0 +1,32 @@ +REG FFFFFC060000D3E1 +REG 000000000000139A +REG FFFFFFFFD97C0040 +REG 4EF995FBD21D05FC +REG 0000000000000000 +REG 0000000000000000 +REG 000003F9FFFFFFF3 +REG 000000000000039A +REG FFFFFFF977F005ED +REG FFFFFFFF8629C0E0 +REG 4EF995FC4EF805FC +REG 0000000000000000 +REG 0000000000000040 +REG 003FFFFC003FEFCC +REG 0000000000004A8D +REG 000000060000000C +REG BE6513D3BE657F13 +REG 0000000000000000 +REG 0000000000007F22 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFF000 +REG 0000000000000000 +REG 003FFFFC003FF00C +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 419513D341950E72 +REG 00000000469007E8 diff --git a/tests/610.bin b/tests/610.bin new file mode 100644 index 0000000..3c4fdce Binary files /dev/null and b/tests/610.bin differ diff --git a/tests/610.out b/tests/610.out new file mode 100644 index 0000000..9778fe9 --- /dev/null +++ b/tests/610.out @@ -0,0 +1,32 @@ +REG 2F74FF58B625FE5F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000006 +REG 19D3F90C0682C22C +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFDFABC958FA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080000100 +REG 0000000000000000 +REG FFFFFFFFA4550000 +REG 0000002000000010 +REG FFFFFFFF7FFFFFFF +REG 0000000000000000 +REG FFFFFFDFA81B40F9 +REG 0000000000000000 +REG C958F9ABDFFAFFFF +REG 00000000051D89AA +REG 000000000000000A +REG 0000000000000000 +REG 8000000000000000 +REG 0000000080003A27 +REG 0000000007600000 +REG 0000000000000000 +REG 36A7065430A70654 +REG C958F9ABDF7EFFAF +REG 7FF8D5307FF8D530 +REG 000000205436A706 +REG 00000000508B0000 diff --git a/tests/611.bin b/tests/611.bin new file mode 100644 index 0000000..c8bb778 Binary files /dev/null and b/tests/611.bin differ diff --git a/tests/611.out b/tests/611.out new file mode 100644 index 0000000..ce9a6aa --- /dev/null +++ b/tests/611.out @@ -0,0 +1,32 @@ +REG 000000000310C001 +REG 57F1000000000058 +REG 0000000003100001 +REG FFFFFFFFFFFFFFFF +REG 57F1000000000058 +REG 0000000000000000 +REG 0000000300000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0007FFFF80000000 +REG 0000000300000003 +REG 0000000000000000 +REG FFFFC00000002000 +REG FFFFE0000000674F +REG FFFDFFFFFCEFFFFF +REG FFFFFFFFFFFFFFFF +REG 0002000003100000 +REG 000000000000C000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0002000003100000 +REG 00003FFFFFFFE000 +REG FFFFFFFFFFFFFFF4 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFF800000 +REG FFFDFFFFFCEFFFFF +REG 0000000108000091 diff --git a/tests/612.bin b/tests/612.bin new file mode 100644 index 0000000..9c7c487 Binary files /dev/null and b/tests/612.bin differ diff --git a/tests/612.out b/tests/612.out new file mode 100644 index 0000000..8dc6e35 --- /dev/null +++ b/tests/612.out @@ -0,0 +1,32 @@ +REG 000000000000FFC0 +REG 000000000000001F +REG FFFFFFFFFFFFC002 +REG 0000000000001D2C +REG 0000200000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFC41A +REG FFFFFFFFFFFFFFFE +REG 0000000000000100 +REG 000000007D950100 +REG 0000000000000018 +REG 000000000000000E +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000001F +REG 0000000000000000 +REG 00000000000C0000 +REG FFFFFFFFFFFFFFFF +REG 00000000000050A3 +REG 0000000000003FFD +REG 8000000F80000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFE20D7FFFFE000 +REG FFFFFFFFDFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 00000000080050A3 +REG 4000000040003586 +REG 0000000010000001 +REG FFFFFFFFFFFFFEFF +REG 0000000000003FFD +REG 0000000081E91100 diff --git a/tests/613.bin b/tests/613.bin new file mode 100644 index 0000000..156f5d8 Binary files /dev/null and b/tests/613.bin differ diff --git a/tests/613.out b/tests/613.out new file mode 100644 index 0000000..06e7801 --- /dev/null +++ b/tests/613.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFF8 +REG 000000005E510000 +REG 0000000000000000 +REG 000000000000002D +REG 00000000001FFFFF +REG EFFE101FF0788766 +REG 00000000001FFFDF +REG 0000000000000000 +REG EFFDFFFFFDFE1680 +REG 0000000000000001 +REG 0000000000000000 +REG 00001020007A708A +REG 0000000000001FFF +REG 0000000000520000 +REG 0000000000520001 +REG 0000000000000000 +REG 0000000000000000 +REG 00000A3FF58DFFDF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFE00000 +REG FFFFFFFFFFFFFFE8 +REG 4000000000000000 +REG FFFFFFFFFFFFFF8A +REG 100200000201E980 +REG 000000000000207B +REG 0000000000000000 +REG F8000000003FFFFF +REG 0000000000050000 +REG 0000000048008082 diff --git a/tests/614.bin b/tests/614.bin new file mode 100644 index 0000000..a7d2a66 Binary files /dev/null and b/tests/614.bin differ diff --git a/tests/614.out b/tests/614.out new file mode 100644 index 0000000..a1d229b --- /dev/null +++ b/tests/614.out @@ -0,0 +1,32 @@ +REG 010600FFFFFFFFFD +REG 0000000000000000 +REG 0000000048000000 +REG D5D8004000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 010600FFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFF2ED4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF00000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000007564 +REG FFFFFFFFFFFFFFFF +REG 000000000D5D8004 +REG 0000000000480880 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080008008 diff --git a/tests/615.bin b/tests/615.bin new file mode 100644 index 0000000..08a0660 Binary files /dev/null and b/tests/615.bin differ diff --git a/tests/615.out b/tests/615.out new file mode 100644 index 0000000..ae061f5 --- /dev/null +++ b/tests/615.out @@ -0,0 +1,32 @@ +REG 202319A9241D5EDB +REG 0000000000000000 +REG 000000000001D9E9 +REG 0000000000000000 +REG 0000000000000000 +REG 00FF000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000040 +REG 0000000000008000 +REG FFFFFFFFFFFFFF01 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000040000000 +REG FFFFFFFFFFFFD4C1 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 202319A9241C5ED9 +REG 0000000000010000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 202319A9241C5ED9 +REG FFFFFFFFFFFFFFFF +REG FFFFFFC0FFFFFFC0 +REG 0000000051101091 diff --git a/tests/616.bin b/tests/616.bin new file mode 100644 index 0000000..dc0300e Binary files /dev/null and b/tests/616.bin differ diff --git a/tests/616.out b/tests/616.out new file mode 100644 index 0000000..7b92142 --- /dev/null +++ b/tests/616.out @@ -0,0 +1,32 @@ +REG 000001FFDF90FABE +REG 0B80000000000000 +REG 000001FFDF82FFFE +REG 000001FFDF830001 +REG 000001FFDF830001 +REG 0000000000000040 +REG 0000000000003000 +REG FFFFFFFFFFFFFFFF +REG 0000000000002BC7 +REG 0000000000000000 +REG 000001FFDF828B8B +REG FFFFFFFFFFFFCDF3 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000001 +REG 000000000000000C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000FFFF +REG 0011000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000005C11 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000001100481FE diff --git a/tests/617.bin b/tests/617.bin new file mode 100644 index 0000000..ad4e544 Binary files /dev/null and b/tests/617.bin differ diff --git a/tests/617.out b/tests/617.out new file mode 100644 index 0000000..c4099db --- /dev/null +++ b/tests/617.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFA1 +REG FFFFFFFFFFFFFFBC +REG 0000000000000020 +REG FFFFFFB1FFFFFFB1 +REG 0000000042048048 +REG 0000000000000000 +REG 633C002463380004 +REG FFFFFFFFFFFF2E4D +REG 0000000000000040 +REG 1A3640001A000000 +REG FFFFFFFFFFFFFF9C +REG 00000000000008ED +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000D1B2 +REG FF000000FF000000 +REG 000000000000142D +REG 000000001810C4C0 +REG 00000000000008ED +REG 0000000000000000 +REG 0000000000050B40 +REG 0008A163000918CF +REG 0008A1630008A023 +REG FFF75E9CFFF75FDC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000D1B2 +REG 0000000000000000 +REG 0000000084000011 diff --git a/tests/618.bin b/tests/618.bin new file mode 100644 index 0000000..949b9e7 Binary files /dev/null and b/tests/618.bin differ diff --git a/tests/618.out b/tests/618.out new file mode 100644 index 0000000..441316d --- /dev/null +++ b/tests/618.out @@ -0,0 +1,32 @@ +REG FFFFFFFE00000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000180 +REG 0000009E0000009E +REG 0000000069C20000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFF00000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000003FFFFFFE00 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFE000800 +REG 00000000B89E84D4 +REG 0000000000000000 +REG 0000100000000000 +REG 0000000000000000 +REG 3840000D3000000D +REG FFFFFFFFFFFFFFFF +REG A0000001A6000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFE00000000 +REG FFFFF00000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040090901 diff --git a/tests/619.bin b/tests/619.bin new file mode 100644 index 0000000..5800536 Binary files /dev/null and b/tests/619.bin differ diff --git a/tests/619.out b/tests/619.out new file mode 100644 index 0000000..cfa1afc --- /dev/null +++ b/tests/619.out @@ -0,0 +1,32 @@ +REG FFFFFFFFF489FFFE +REG 0000000000426B9D +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000040000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000426B9D +REG FFFFC00000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFDFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000081080808 diff --git a/tests/62.bin b/tests/62.bin new file mode 100644 index 0000000..6b10d40 Binary files /dev/null and b/tests/62.bin differ diff --git a/tests/62.out b/tests/62.out new file mode 100644 index 0000000..b12b901 --- /dev/null +++ b/tests/62.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFD +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 000000007AE10000 +REG 0000000000000000 +REG 0000000000000031 +REG FFE6AF6FFFE6AF70 +REG CCCCCCCCCCCC7D13 +REG 0000000000000009 +REG 0000000000000E72 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFECD8D7F90000 +REG 0000000000000000 +REG FFFFFFFF851F0000 +REG 000000007AE0F82B +REG 0000000000004010 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000009 +REG 0000000000000000 +REG 0000000040848048 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 000000007FFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000090900490 diff --git a/tests/620.bin b/tests/620.bin new file mode 100644 index 0000000..79925c9 Binary files /dev/null and b/tests/620.bin differ diff --git a/tests/620.out b/tests/620.out new file mode 100644 index 0000000..5b71ad3 --- /dev/null +++ b/tests/620.out @@ -0,0 +1,32 @@ +REG 21C9311328C93112 +REG FFFFFFFFFFFF9C13 +REG 000000000000D296 +REG 0000000000000020 +REG 0FFFFFFFF5FF96FE +REG FFFFFFFFFFFFFFFF +REG 000000000000001C +REG 0000000000FFFFFF +REG FFFFFFFFFA800000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000005B000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFBFFFFFFFC000A +REG F00000000000000B +REG FFFBFFFFFFFC000A +REG FFFFFFFFFFFF2D69 +REG FFFFFFFFFFFFFFFF +REG 74B8000074B6DC34 +REG FFFFFFFFFF00D296 +REG 0000000000000000 +REG FFFFFFFFFD006900 +REG FFFFFFFFFFFF2D69 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0100000020EA0000 +REG 00800000008034D3 +REG 0000000000FFFFFF +REG 0000000088805E01 diff --git a/tests/621.bin b/tests/621.bin new file mode 100644 index 0000000..613f5ed Binary files /dev/null and b/tests/621.bin differ diff --git a/tests/621.out b/tests/621.out new file mode 100644 index 0000000..a9b6b8b --- /dev/null +++ b/tests/621.out @@ -0,0 +1,32 @@ +REG 005184000000001F +REG FFFFFFFFFFFFAC54 +REG BFFFFFFFFFFFE13F +REG 0000104000000001 +REG 0000000000000020 +REG 0020000040000000 +REG 0000000080007476 +REG 0000000000000E2A +REG 0000103FFFFF8B53 +REG 0000000000000040 +REG 0000000000000000 +REG FFFF8B32FFFF8B32 +REG 0000000000000000 +REG FFFFEFBFFFFFFFFF +REG 000010407FFFFFC9 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG 000000000000DF91 +REG 0000000000000001 +REG 0000104000000022 +REG 003FFFFFFFFF84FC +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000002 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000084808080 +REG 0000000049010101 diff --git a/tests/622.bin b/tests/622.bin new file mode 100644 index 0000000..5198354 Binary files /dev/null and b/tests/622.bin differ diff --git a/tests/622.out b/tests/622.out new file mode 100644 index 0000000..d8dc36c --- /dev/null +++ b/tests/622.out @@ -0,0 +1,32 @@ +REG 0000000040005DA5 +REG 0000000000000000 +REG 00000000000055E5 +REG FFFFFFFFFFFFFFF2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000007 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000004ACCFFF2 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040001080 diff --git a/tests/623.bin b/tests/623.bin new file mode 100644 index 0000000..15658a5 Binary files /dev/null and b/tests/623.bin differ diff --git a/tests/623.out b/tests/623.out new file mode 100644 index 0000000..890528a --- /dev/null +++ b/tests/623.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFAC26 +REG FFFFFFFFFFFFFFF0 +REG 000000000000351D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000322 +REG 0000000000000007 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 000000000000351C +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFCFDFA30 +REG 0000000000000000 +REG 00000000000053DB +REG FFFFFFFFFFFFFFFF +REG 000000001B77BD59 +REG 00000000000053DC +REG 0000000000001913 +REG 00000000000053DB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000008010846A diff --git a/tests/624.bin b/tests/624.bin new file mode 100644 index 0000000..c624917 Binary files /dev/null and b/tests/624.bin differ diff --git a/tests/624.out b/tests/624.out new file mode 100644 index 0000000..8cc0138 --- /dev/null +++ b/tests/624.out @@ -0,0 +1,32 @@ +REG 0000000014230003 +REG FFFFFFFFFFFFFE81 +REG 0000000000000000 +REG F4CECA4A2D61676E +REG 00000000912832E3 +REG 000000000D800000 +REG 00000000000032E3 +REG 04365E92FBA60003 +REG 0000000000000000 +REG FFFFFFFFA059FFFF +REG 0000008F195A017F +REG 24365E92F82E8000 +REG 000000005FA60002 +REG 0BAE79C90D97A4BE +REG 000000000000BF4C +REG 0000000000000000 +REG 0000000000192000 +REG 5FA67AA1BF4E5A09 +REG 0000000000000000 +REG F4CECA4A2D61676E +REG 000000000000001F +REG FFFFFFFFFFFF829A +REG 000000005FA60002 +REG 0000000000000000 +REG 0000000062480000 +REG 8000000080000000 +REG 0000000008000000 +REG 0000000000000C62 +REG FFFE8167FFF80000 +REG 001C00000000BF4C +REG 000000005FA60002 +REG 00000000911101F3 diff --git a/tests/625.bin b/tests/625.bin new file mode 100644 index 0000000..9135300 Binary files /dev/null and b/tests/625.bin differ diff --git a/tests/625.out b/tests/625.out new file mode 100644 index 0000000..cf02381 --- /dev/null +++ b/tests/625.out @@ -0,0 +1,32 @@ +REG 0004C390C6C0000E +REG 00000062FFFFFFA4 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 00000062FFFFFFA4 +REG FFFB3C673940F4A0 +REG FFFFFFFFFFFFFFA4 +REG FFFFFFFFFFFFFFFF +REG 00000000000006BC +REG 00001AF000000000 +REG 000000000007281E +REG 000000080000000E +REG 000000000007FFFF +REG 0000000000072804 +REG 000000000003940F +REG 00000003940F0000 +REG 0000000800000000 +REG 0000000000006971 +REG 0000000000000010 +REG 0000000000EC0000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000096710020 +REG FFFFFFFFFFC00000 +REG 0000000000000000 +REG 0000000800000000 +REG 00000F9A00000F9A +REG 0000000000000000 +REG 0000000000000020 +REG 000000008870109E diff --git a/tests/626.bin b/tests/626.bin new file mode 100644 index 0000000..2f62eca Binary files /dev/null and b/tests/626.bin differ diff --git a/tests/626.out b/tests/626.out new file mode 100644 index 0000000..5c4e9f4 --- /dev/null +++ b/tests/626.out @@ -0,0 +1,32 @@ +REG 7FED3FD07FFFA6D5 +REG 0000000000000000 +REG 0000000000000018 +REG 0000000000000020 +REG 0012C03200000000 +REG 0000000000007649 +REG 0000000032000000 +REG 0000000077F119E2 +REG 03FFFFFF13FFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFC8B0 +REG FFFFFFFF880F4420 +REG 000007F9BFFFFFFF +REG 0000040000600400 +REG 0000000000000000 +REG FFFFFFFF8BE00038 +REG 8000001E080F443D +REG 0000000000000000 +REG 00001D0000001D80 +REG 0000000000000000 +REG FFFFFFFFFFFF1908 +REG FFFFFFFFF0FF1900 +REG 000000000F000007 +REG 0000000044824440 +REG 0000000000000000 +REG 00000E8000000EC0 +REG 0000000000000000 +REG 000000000F000007 +REG 8000001D8000001D +REG 0000000000000000 +REG 0000000032000000 +REG 0000000049050881 diff --git a/tests/627.bin b/tests/627.bin new file mode 100644 index 0000000..5659a36 Binary files /dev/null and b/tests/627.bin differ diff --git a/tests/627.out b/tests/627.out new file mode 100644 index 0000000..c1f662e --- /dev/null +++ b/tests/627.out @@ -0,0 +1,32 @@ +REG FFFFFFFF08FA952C +REG 0000000000000000 +REG 000000009291FFFF +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFF8 +REG 0042600000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000092920000 +REG 0000000000000008 +REG 0000000000000000 +REG 0000000000000000 +REG 00895C0000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFEEDE03F6D6854 +REG 0000000000000000 +REG 0000000000000005 +REG 0042600000000000 +REG 00000000FFFFF7D6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000BDD +REG 0000000000000000 +REG 00000000000084C0 +REG 00000000891E0081 diff --git a/tests/628.bin b/tests/628.bin new file mode 100644 index 0000000..f69f1db Binary files /dev/null and b/tests/628.bin differ diff --git a/tests/628.out b/tests/628.out new file mode 100644 index 0000000..e670833 --- /dev/null +++ b/tests/628.out @@ -0,0 +1,32 @@ +REG 0000000000315400 +REG 00000000000059D4 +REG 0000000000000000 +REG 000000087FFFFF34 +REG 000FFFFFFFFFCED0 +REG 0000000000000000 +REG 0000000000000000 +REG 080808080808871F +REG F7F7F7F7F7F778E0 +REG 0000000000000000 +REG 000400000002E768 +REG 0000000000000000 +REG FFFFFFFFFFFFFFEF +REG 0000000000000001 +REG 0000000000000000 +REG 00000000B9DA5AC8 +REG 0000000000000000 +REG 080808080808871F +REG FFFFFFFFFFFF0000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000059D4 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000087FFFFF34 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000045048905 diff --git a/tests/629.bin b/tests/629.bin new file mode 100644 index 0000000..38b6706 Binary files /dev/null and b/tests/629.bin differ diff --git a/tests/629.out b/tests/629.out new file mode 100644 index 0000000..6de7d3b --- /dev/null +++ b/tests/629.out @@ -0,0 +1,32 @@ +REG 0000000020001000 +REG 0000000000000404 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000001419E +REG 0000003FFFFF868E +REG 0000000000000000 +REG FFFFFFFFFFFFFFFC +REG 3BFFFFFFF24CFFF7 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFF0D1C000 +REG 00000000FFE00000 +REG FFFFFFFFFFFFFFFC +REG 0000000000000000 +REG 0000000000000000 +REG 1FFFFFFFFF800000 +REG FFFFFFFFF0D1F786 +REG 0000000000000000 +REG 0000000000011DBD +REG FFFFFFFFFFFFEA71 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000C4830000 +REG 0000000000000000 +REG FFFFFFFFF823CEF6 +REG 0001FFFFFF800000 +REG FFFFFFFFFFFFFFFF +REG 00000000000163BF +REG 0000000000000000 +REG 0000000000000000 +REG 000000008F10489D diff --git a/tests/63.bin b/tests/63.bin new file mode 100644 index 0000000..6318142 Binary files /dev/null and b/tests/63.bin differ diff --git a/tests/63.out b/tests/63.out new file mode 100644 index 0000000..ad0a4c1 --- /dev/null +++ b/tests/63.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFCC11 +REG 0000000000000000 +REG 0000000000000019 +REG 0000000000000000 +REG FFFFFFFFFFFF2E0B +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000020000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFCC11 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000D1D67A0ED1F6 +REG 000000005101A424 diff --git a/tests/630.bin b/tests/630.bin new file mode 100644 index 0000000..60b3450 Binary files /dev/null and b/tests/630.bin differ diff --git a/tests/630.out b/tests/630.out new file mode 100644 index 0000000..ac04baf --- /dev/null +++ b/tests/630.out @@ -0,0 +1,32 @@ +REG FDAEF7FDDAEF7FEE +REG FFFFFFFFFFFF9F2F +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000000CF +REG FFFFFFFFFFFFFFFF +REG FDAEF7FFDAEF7FEC +REG 000000A00001FFFF +REG 000000000000003E +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFE007F +REG 6000000000000000 +REG 0000000000000000 +REG 000000000000000F +REG 0020008000000009 +REG 025108002510004A +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFA20702DE +REG FDAEF7FFDAEF7F35 +REG FFFFFFFFA20702DE +REG D80000000005DEFF +REG 0000007FFFE26300 +REG 0000000000000000 +REG 0000007FFFE26300 +REG FFFFFFFFFFFFFFFF +REG FDAEF7FFDAEE80B5 +REG 000000004000EAA4 +REG 0000000048111045 diff --git a/tests/631.bin b/tests/631.bin new file mode 100644 index 0000000..8153cd9 Binary files /dev/null and b/tests/631.bin differ diff --git a/tests/631.out b/tests/631.out new file mode 100644 index 0000000..864bca6 --- /dev/null +++ b/tests/631.out @@ -0,0 +1,32 @@ +REG 10793FFFEFFF53F2 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000040 +REG FF7FFFFFFFFFFFFF +REG 0000000000006C76 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 39FFFFFFFFFFD674 +REG CABFF800000009C0 +REG 0000000000000000 +REG 0000000044F4CF08 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000068A3 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000049E99E10 diff --git a/tests/632.bin b/tests/632.bin new file mode 100644 index 0000000..8718d19 Binary files /dev/null and b/tests/632.bin differ diff --git a/tests/632.out b/tests/632.out new file mode 100644 index 0000000..9a9bc2f --- /dev/null +++ b/tests/632.out @@ -0,0 +1,32 @@ +REG FFFFFFFFBFE00000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFE000080 +REG FFFFFFFFFFFFEFEB +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFA62F0000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000010 +REG FFFFFFFF94F90000 +REG 0000000000000000 +REG 000000000000003C +REG 0000000000000000 +REG 000000000000003B +REG FFFFFFFFFFED0401 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFDA0803FF +REG 0000000001FFFF80 +REG 0000000000000000 +REG 0000000000000000 +REG FC000FFF80000FFF +REG F000000000000000 +REG 0000000100110080 diff --git a/tests/633.bin b/tests/633.bin new file mode 100644 index 0000000..b2a0d75 Binary files /dev/null and b/tests/633.bin differ diff --git a/tests/633.out b/tests/633.out new file mode 100644 index 0000000..94d78c1 --- /dev/null +++ b/tests/633.out @@ -0,0 +1,32 @@ +REG 00000000000058FA +REG 00139D00007FFFBE +REG 0000000007FFFFFF +REG F7F7F7F7FEFBAF01 +REG F7F7F7F7FEFBAF06 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0808080801040804 +REG 08080808010450FE +REG 0000000000000000 +REG 0000000000000007 +REG FFFFFFFFE000D283 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0808080801040805 +REG 0000000000000040 +REG 0808080843A550FE +REG 0000000000000000 +REG 0000000000000000 +REG 08080808010450FE +REG 232306005A147C3C +REG 00139D00007FFFBE +REG 0808080843A550FE +REG 0000000090001048 diff --git a/tests/634.bin b/tests/634.bin new file mode 100644 index 0000000..3d9cf45 Binary files /dev/null and b/tests/634.bin differ diff --git a/tests/634.out b/tests/634.out new file mode 100644 index 0000000..1201f5a --- /dev/null +++ b/tests/634.out @@ -0,0 +1,32 @@ +REG 00800000007D62B6 +REG 000000004F100000 +REG FFFFFFFFC5CD002E +REG 0000003E010F783F +REG 000000007BD86297 +REG 000000009E200000 +REG 0000000041EA9D49 +REG 0000000000000008 +REG 0000000000000000 +REG 000000000000583A +REG 000000001F006BCA +REG 00000000058F0001 +REG FFFFFFFFFFCC0000 +REG 00000000FE000000 +REG 000000004F100000 +REG 000000003A32FFDF +REG 000000000000000D +REG 000000000009E200 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000041EA9D56 +REG 000000004F100000 +REG 000000000000DB19 +REG 000000001800A702 +REG 0000000000000008 +REG FFFFFFFFFFFF6124 +REG 0000000000000002 +REG 0000000000000000 +REG 7FEF800000001D19 +REG FF7FFFFFC0000000 +REG 0000000000000000 +REG 000000009E108096 diff --git a/tests/635.bin b/tests/635.bin new file mode 100644 index 0000000..151065f Binary files /dev/null and b/tests/635.bin differ diff --git a/tests/635.out b/tests/635.out new file mode 100644 index 0000000..c4f150b --- /dev/null +++ b/tests/635.out @@ -0,0 +1,32 @@ +REG 80003FFF80000040 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFCC0000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000040000000 +REG 0000000000000000 +REG FFFFFFF080000000 +REG 0000000000000012 +REG 0000000000000FFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000F80000000 +REG FFFFFFFFFFFF0011 +REG FFFFFFFFFFFF0011 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000042F0 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFF80000000 +REG 0000000000000000 +REG 000000000000000E +REG 0000000035040000 +REG 0000000000000011 +REG 0000000000000040 +REG 0000000080009E44 diff --git a/tests/636.bin b/tests/636.bin new file mode 100644 index 0000000..f9da43b Binary files /dev/null and b/tests/636.bin differ diff --git a/tests/636.out b/tests/636.out new file mode 100644 index 0000000..f6309e2 --- /dev/null +++ b/tests/636.out @@ -0,0 +1,32 @@ +REG 0000FB618BD42439 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000589 +REG 0000000000000000 +REG FFFFFFFF00000000 +REG 0000000100000000 +REG 0000000000000000 +REG 000000000000588E +REG FFFFFF00FFFFFEFF +REG FFFFFFFFFFFFFEFF +REG 0000000000000004 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000242E0F00 +REG 0000000000000000 +REG FFFFFFFFFFFFFEFE +REG 00000000000008DD +REG 0000FFFFFFFFFF7E +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF00FFFFFF00 +REG 0000000000000006 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFF00000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG F000000000000000 +REG 00000000000008DD +REG 0000000050088400 diff --git a/tests/637.bin b/tests/637.bin new file mode 100644 index 0000000..c6e7957 Binary files /dev/null and b/tests/637.bin differ diff --git a/tests/637.out b/tests/637.out new file mode 100644 index 0000000..c6ebec9 --- /dev/null +++ b/tests/637.out @@ -0,0 +1,32 @@ +REG 0000088F00002987 +REG FFFFFFFFFFFFFFFE +REG 0000088F0000108E +REG 00000000000007FF +REG 000422C07A97A445 +REG 0000088EFFFFA8AB +REG FFFFF77100001112 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000019A1 +REG 0000000000000028 +REG 0000000000000008 +REG FFFBDD3F85685BBA +REG FBEFFFFFFBEFC00F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000088F0000108E +REG 0808080808080807 +REG 0400000000000DFB +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFBE +REG 0000000000000020 +REG FFFFFFFFFFFFFFFE +REG 00000000121F07FF +REG 0000000101008011 diff --git a/tests/638.bin b/tests/638.bin new file mode 100644 index 0000000..62ccd9f Binary files /dev/null and b/tests/638.bin differ diff --git a/tests/638.out b/tests/638.out new file mode 100644 index 0000000..2f8bbff --- /dev/null +++ b/tests/638.out @@ -0,0 +1,32 @@ +REG 0000000000008181 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000412F +REG 0000000000000000 +REG 0000000000000000 +REG 0000001900000000 +REG FE000000249FFFFB +REG 0000000000000040 +REG 0000000024800004 +REG 0000000000000000 +REG 0000000000000000 +REG 0808080808080807 +REG 0000000000000000 +REG 00000007F48287F8 +REG FFFFFFFFFFFFFFFC +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 00000007F4820675 +REG FFFFFFFFFFFFFFFF +REG FFFFFFC3BA1FFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000007F48287F7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000049000001 diff --git a/tests/639.bin b/tests/639.bin new file mode 100644 index 0000000..26dd7f0 Binary files /dev/null and b/tests/639.bin differ diff --git a/tests/639.out b/tests/639.out new file mode 100644 index 0000000..3304b81 --- /dev/null +++ b/tests/639.out @@ -0,0 +1,32 @@ +REG FFFFFFFFEDF4003D +REG 00000000120BFFCE +REG 0000FFFFE57B0580 +REG 0000000000000040 +REG 00000000120BFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFEFFFFEF88 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFF27FFFFF +REG 00000001120BFFCE +REG 00000000F2800000 +REG FFFFFFFFEDF40032 +REG FFFFFFFEFFFFFFCF +REG 0000000000000000 +REG FFFFFFFFEDF40032 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000D800000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000200000000E +REG 0000000000001047 +REG 0000000070200000 +REG FFFFFFFFFFFFC250 +REG 0000000000000000 +REG 00000000409084D1 diff --git a/tests/64.bin b/tests/64.bin new file mode 100644 index 0000000..741508f Binary files /dev/null and b/tests/64.bin differ diff --git a/tests/64.out b/tests/64.out new file mode 100644 index 0000000..afdf0c4 --- /dev/null +++ b/tests/64.out @@ -0,0 +1,32 @@ +REG FFFFFFFFC7EFFFF0 +REG 0000000000060000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001446 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFD54DC12FD353 +REG FFFFFFFFFFFFEBB9 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFC326FFF0 +REG FFF55370E8F4D4C0 +REG 0000000000000040 +REG FFFFFFFF864DFFE3 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000018000 +REG 0000000000000000 +REG 00002AB23ED02CEC +REG 0000000000000040 +REG 0000000000000000 +REG FFFFD54DC3A3D353 +REG 0000000088108924 diff --git a/tests/640.bin b/tests/640.bin new file mode 100644 index 0000000..8b49ca4 Binary files /dev/null and b/tests/640.bin differ diff --git a/tests/640.out b/tests/640.out new file mode 100644 index 0000000..6bd2ef4 --- /dev/null +++ b/tests/640.out @@ -0,0 +1,32 @@ +REG FFFFFB91BFFC0A7A +REG FFE1FFFFFFFFFFFF +REG 0000000000000000 +REG 000000000003227C +REG 0000000000000040 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000012 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000003 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000009B +REG 0000000000000000 +REG 0000000046E40000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000020 +REG FFFFFFFFFFFFFFFF +REG 000000000003227C +REG 0000000000000000 +REG 0000000040000500 diff --git a/tests/641.bin b/tests/641.bin new file mode 100644 index 0000000..594d0b7 Binary files /dev/null and b/tests/641.bin differ diff --git a/tests/641.out b/tests/641.out new file mode 100644 index 0000000..cbf13c0 --- /dev/null +++ b/tests/641.out @@ -0,0 +1,32 @@ +REG 088E196C5898BCB5 +REG 0000000000000000 +REG 000000000000000C +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFF000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG F18200F9F18200F9 +REG FFFFFFFFFFE0003F +REG 0000000000000000 +REG 043FFFFFFFFFFF80 +REG 0000000000000010 +REG FF8C1007CF8C1007 +REG 0000000000000000 +REG 8000000000000080 +REG 0000000000000080 +REG 0000000000000080 +REG 000000000000CD4A +REG FFFFFFFF0401F3E3 +REG 000000000000BE1E +REG FFFFFFFFA5D90000 +REG FFFFFFFFFFFFFF7F +REG FFFFFFFFF8DCFFE9 +REG 000000000000000C +REG 8000000000000000 +REG 0000000000000000 +REG 0000CD4A0000CB4A +REG FFFFFFFFF8DCFFDD +REG 0000000000000000 +REG 0000000110110281 diff --git a/tests/642.bin b/tests/642.bin new file mode 100644 index 0000000..d65b75c Binary files /dev/null and b/tests/642.bin differ diff --git a/tests/642.out b/tests/642.out new file mode 100644 index 0000000..aa62dec --- /dev/null +++ b/tests/642.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFCD06 +REG 0000000000000001 +REG FFFFFFFFFFFFE683 +REG 0000000067980000 +REG 0000000000000000 +REG 003C0010736FD97C +REG 0000000000000000 +REG 0000000000000014 +REG 00000F2C00000F2C +REG 00000000541FFFFA +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000A00000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFC3FFF0000037C6 +REG FFFFFFFFFFFFE683 +REG 0000000000000000 +REG 0009FFFFFFFFFFC0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FF880F0B6D405C2D +REG 0000000000000001 +REG 000A000000000000 +REG 0000000000000504 +REG 0000000000004799 +REG 0000000000000000 +REG 00037C6000037C60 +REG 003C000FFFFFC839 +REG FFFFFFFFFFFFFFFF +REG 0000000050010710 diff --git a/tests/643.bin b/tests/643.bin new file mode 100644 index 0000000..8deaeaf Binary files /dev/null and b/tests/643.bin differ diff --git a/tests/643.out b/tests/643.out new file mode 100644 index 0000000..0f85b9e --- /dev/null +++ b/tests/643.out @@ -0,0 +1,32 @@ +REG 47A0000147A00041 +REG 0000001E80000800 +REG 0000000043F50000 +REG 004D000000400000 +REG 0000000000000000 +REG C0013FFFFFFFFFFF +REG FFFFFFFF898AFFFF +REG 000000003D45FFFF +REG 0000000000000000 +REG 0000000043F50000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020F44F80 +REG 0000000000000000 +REG 0000000000000000 +REG 47A0000147A00001 +REG 000000000000D421 +REG 000000000000D422 +REG FFFFFFFF80000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000800 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 47A0000147A00001 +REG 0007C00000000000 +REG 0000000000000000 +REG 0000000041E89E80 diff --git a/tests/644.bin b/tests/644.bin new file mode 100644 index 0000000..9f9a8af Binary files /dev/null and b/tests/644.bin differ diff --git a/tests/644.out b/tests/644.out new file mode 100644 index 0000000..7236eba --- /dev/null +++ b/tests/644.out @@ -0,0 +1,32 @@ +REG 0000800000008000 +REG A8780000000007FF +REG 0000000000009FC0 +REG 0000000000000000 +REG 0000000000004658 +REG 0000000000000007 +REG 0000000000009FC0 +REG 0000000000000000 +REG 00000000000060D8 +REG 000000000000003D +REG FFFFFFFFFFFFEA1E +REG 0000000000000000 +REG 000000007ADBFFFF +REG 00000000000060D9 +REG 0000000000000000 +REG FFFFE8424CE1D24B +REG 0000000000000000 +REG 000000000000468B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000017BDB31E7440 +REG 000000007ADBFFF8 +REG FFFFFFFFF64DD6E4 +REG FFFFFFFFFFFFFFFF +REG 0000000000800000 +REG 0000000000000400 +REG 0000000000000000 +REG 0000000000000035 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG 0000000041108911 diff --git a/tests/645.bin b/tests/645.bin new file mode 100644 index 0000000..aeb442e Binary files /dev/null and b/tests/645.bin differ diff --git a/tests/645.out b/tests/645.out new file mode 100644 index 0000000..0d2ff66 --- /dev/null +++ b/tests/645.out @@ -0,0 +1,32 @@ +REG AFFFFFFFFFFFFFFD +REG 00000000000005F0 +REG 00000294DE000000 +REG FFFFFFFF6B97D237 +REG 0000000000000000 +REG 00000000957A0000 +REG 6B97D2356B97D235 +REG 00000000957A0000 +REG 0000000000000040 +REG AFFFFFFFFFFFFFFD +REG 00000000D8B40000 +REG 0000000000000000 +REG 0000000000000018 +REG 0000000094000000 +REG 00000294DE000000 +REG FFFFFFFFFFFFFFFD +REG 50000000957A0002 +REG 0000000000234100 +REG 0000000000000001 +REG 6B97D2356B97D7C5 +REG AFFFFFFFFFFFFFFD +REG 00000000957A0000 +REG FFFFFFFF6B97D234 +REG 0000000A51000000 +REG 000000000000429D +REG 0000000000000000 +REG 0000000000000001 +REG 0002200000040000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000091E81C80 diff --git a/tests/646.bin b/tests/646.bin new file mode 100644 index 0000000..37722c9 Binary files /dev/null and b/tests/646.bin differ diff --git a/tests/646.out b/tests/646.out new file mode 100644 index 0000000..d48641d --- /dev/null +++ b/tests/646.out @@ -0,0 +1,32 @@ +REG 00007FFFFFFFFFB8 +REG 000000002800184F +REG FFFFFFFFF7FFFFF8 +REG 000000000000D19C +REG 000000000A5FFFFF +REG 0808080808080808 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 08080808080846F3 +REG 0000000000000000 +REG 0000000000600000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000008CFF01 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFD300FF +REG 0000000000000000 +REG 0000000050000001 diff --git a/tests/647.bin b/tests/647.bin new file mode 100644 index 0000000..7928a6d Binary files /dev/null and b/tests/647.bin differ diff --git a/tests/647.out b/tests/647.out new file mode 100644 index 0000000..1690da4 --- /dev/null +++ b/tests/647.out @@ -0,0 +1,32 @@ +REG 404C00006B6A5CD8 +REG 0000000000000001 +REG FFFFFFFF000163FF +REG 0000000000000040 +REG 0000000000000000 +REG 000000000000344D +REG 0000000000000000 +REG 00000000FFFE810D +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 2C003FFFFFFFA5DA +REG 0000000000005CDA +REG 0000000000000000 +REG 0000000072C30000 +REG 00000CB6E1EC0000 +REG 0000000000000000 +REG 0000000000000012 +REG 0000000008070203 +REG FFFFFFFF00017EF2 +REG 0000000000000000 +REG 2C003FFFFFFFA5DA +REG 0000000000000001 +REG 00000000FFFF0000 +REG 0000000008080000 +REG 00000CB6E1EBFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000041F1E989 diff --git a/tests/648.bin b/tests/648.bin new file mode 100644 index 0000000..4bfa4de Binary files /dev/null and b/tests/648.bin differ diff --git a/tests/648.out b/tests/648.out new file mode 100644 index 0000000..d774e6d --- /dev/null +++ b/tests/648.out @@ -0,0 +1,32 @@ +REG 0808080608000018 +REG 0000000000000040 +REG FFFFFFF6FF0067B3 +REG 0000000800000008 +REG 0000000000000000 +REG 000000000003FFFC +REG 0000000000000023 +REG FFFFFFFAFF000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000002 +REG 0000000000000000 +REG 00000000000088B4 +REG FFFFFFFFFFFFFFFE +REG 0000000400000004 +REG 0000000000000000 +REG 0000000000FFFFC0 +REG 0000000000000000 +REG 0000000000000021 +REG FFFFFFFC0000679F +REG 0000000000000005 +REG 0000000000000000 +REG 0000000000000001 +REG 0000008000FFFFC0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFF540 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 00000000504910E8 diff --git a/tests/649.bin b/tests/649.bin new file mode 100644 index 0000000..bf0e0d9 Binary files /dev/null and b/tests/649.bin differ diff --git a/tests/649.out b/tests/649.out new file mode 100644 index 0000000..ecac144 --- /dev/null +++ b/tests/649.out @@ -0,0 +1,32 @@ +REG 007FFFFFE1000027 +REG 00000000000047B2 +REG FFFFFFFF86530000 +REG FFFFFFFFFFFFA15F +REG 0000000000000009 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000013 +REG 0000000000000013 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFEF7 +REG 0000080800000000 +REG 0000000000000005 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000005 +REG 0000000000000040 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000001466 +REG 0000000000006598 +REG 0000000000000009 +REG 0000000000000040 +REG 000000009F1000BE diff --git a/tests/65.bin b/tests/65.bin new file mode 100644 index 0000000..c9021de Binary files /dev/null and b/tests/65.bin differ diff --git a/tests/65.out b/tests/65.out new file mode 100644 index 0000000..8376f17 --- /dev/null +++ b/tests/65.out @@ -0,0 +1,32 @@ +REG FFFF01FFFFFFFA64 +REG FFFFFFFFFC400000 +REG 0000000000000000 +REG 00000010000024B3 +REG 0000000000000000 +REG 0000000FFDBFDF49 +REG FFFFFFFFFC400000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000AAC5 +REG 0000000000000000 +REG 0000002100000021 +REG 0000000000000000 +REG 0000000000000000 +REG 0EFFFFFC00000000 +REG 0080000FF9FF0000 +REG 0000000001DFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000001 +REG FFFFFFF0024020B6 +REG 0000000000000000 +REG 0000000FF63FDF4A +REG FFFFFFFFFFFFFFFF +REG FFFFFFDFFFFFFFDF +REG FFFFFFFFFFFFFF4D +REG 0000000000000000 +REG 00000010000024B3 +REG 0000000FFDBFDF49 +REG 0000000000000000 +REG 0000000048400800 diff --git a/tests/650.bin b/tests/650.bin new file mode 100644 index 0000000..e20c36c Binary files /dev/null and b/tests/650.bin differ diff --git a/tests/650.out b/tests/650.out new file mode 100644 index 0000000..b258f7f --- /dev/null +++ b/tests/650.out @@ -0,0 +1,32 @@ +REG 00C0FFD000C73F73 +REG 0000000000000000 +REG FFFFFFFFFFFFF000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000F00000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFE1B30000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG CF3F7FFC7CBC0000 +REG FFFFFFE000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000020000 +REG 0000000000186500 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBE +REG FFFFFFFFCC2A0000 +REG 0000000000000000 +REG FFFFFFFFCC2A0000 +REG FFFFFFFFFFFFFFFF +REG 0000000000518000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000050010001 diff --git a/tests/651.bin b/tests/651.bin new file mode 100644 index 0000000..5da6446 Binary files /dev/null and b/tests/651.bin differ diff --git a/tests/651.out b/tests/651.out new file mode 100644 index 0000000..1c85e73 --- /dev/null +++ b/tests/651.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFE20 +REG 0000000000000020 +REG 000000031D03FFFC +REG 0000000000000000 +REG 0000000000000000 +REG 00000000DF1C2E9B +REG 0000000000008000 +REG 0000000000000000 +REG 00000000DF1C2E9B +REG 0000000000000000 +REG FFFFFFFCE2F72624 +REG FFFFFFFF10000003 +REG FFFFFFFFFFFB2620 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000DF1C9C17 +REG FFFFFFFF20E2D981 +REG FFFFFFFF10003466 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFA0FC0000 +REG 000000000000001C +REG 0000000000000020 +REG FFFFFFFF0FFFC2BA +REG 0000000000000020 +REG 0000000000000000 +REG 000000740FFFF000 +REG 0000000000000000 +REG 00000000DF1C2E9B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080098080 diff --git a/tests/652.bin b/tests/652.bin new file mode 100644 index 0000000..a51a702 Binary files /dev/null and b/tests/652.bin differ diff --git a/tests/652.out b/tests/652.out new file mode 100644 index 0000000..fa91cfa --- /dev/null +++ b/tests/652.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFF61C +REG 0000000000000000 +REG 00000000FFBF9F61 +REG 000000004E000000 +REG 0000000000000008 +REG 0000000007FFFFFF +REG FFFFFFF1AB73019F +REG FFFFFFF1B052019F +REG FFFFFFFFFFFFFFF7 +REG 00000000000005C9 +REG 0000000000000000 +REG 0FFFFFF13403019E +REG 000000000000000D +REG 0000000000006C00 +REG 0000000000000000 +REG 0000000000000018 +REG 00000000FFBF9F61 +REG 05AEE71805AEE718 +REG 000000000840609E +REG 0000000040000000 +REG 0000000000000000 +REG FFFFFFFFFFFF9DE7 +REG 000000000000003F +REG 00000000B052019F +REG 0000000007FFFFFF +REG FFFFFFFFFFFF00FF +REG 0000000080000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFF1B052019F +REG 000000000000003B +REG FFFFEE1F3EAB6C00 +REG 0000000089E9009D diff --git a/tests/653.bin b/tests/653.bin new file mode 100644 index 0000000..89a5e5f Binary files /dev/null and b/tests/653.bin differ diff --git a/tests/653.out b/tests/653.out new file mode 100644 index 0000000..e368fd7 --- /dev/null +++ b/tests/653.out @@ -0,0 +1,32 @@ +REG FFFFC3FD63BEF29A +REG 000000000001B93F +REG 000000002D0F00F7 +REG 0000000000000020 +REG FFFFFFFFFFFFFFCD +REG 00001E01615FB0F4 +REG FEEADB4BFEEADB4B +REG 001FE00000002000 +REG 0F6000000002D0EE +REG 00001E01615FB0F4 +REG 0000015017FFABFA +REG FFFFFFFFFC004746 +REG FFFFFFFE2CE7BFFF +REG 0000000000000000 +REG 00000001D3184000 +REG 0000000000000000 +REG FFFFFEAFE8005406 +REG 001FE00000002000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFCD +REG FFFFFFFFFFEBBFFF +REG FFE020002D0EE0F6 +REG FFFFFFFFFC002E39 +REG 000000000000EA78 +REG 0000000003FFB886 +REG 0000000000004A45 +REG 00001E01615FA510 +REG 0000000000144000 +REG 0000000000000000 +REG 0000000003FFB8B9 +REG 00000000871E01F0 diff --git a/tests/654.bin b/tests/654.bin new file mode 100644 index 0000000..0f9c9b8 Binary files /dev/null and b/tests/654.bin differ diff --git a/tests/654.out b/tests/654.out new file mode 100644 index 0000000..c7e258a --- /dev/null +++ b/tests/654.out @@ -0,0 +1,32 @@ +REG FFFB428DD080C0AD +REG 0000022000000220 +REG 0000000100000000 +REG 0000000000000020 +REG 0044100040000000 +REG 0000002E0000002F +REG FFFFAA14FFFFAA14 +REG FFFFFFFFFFFFD4E5 +REG 0000000000000000 +REG 0000020000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0ACD000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000441 +REG FFBBEFFFC0000000 +REG 0000000040000000 +REG DF6800000080FFFE +REG 0000002E0000002E +REG 000000000000002F +REG 0808040208080402 +REG 00000003FF6653A1 +REG 0000000000000000 +REG 0000000000000000 +REG FE00000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000053FC0000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000021 +REG 00000000401F0109 diff --git a/tests/655.bin b/tests/655.bin new file mode 100644 index 0000000..a6a2436 Binary files /dev/null and b/tests/655.bin differ diff --git a/tests/655.out b/tests/655.out new file mode 100644 index 0000000..a9f6c05 --- /dev/null +++ b/tests/655.out @@ -0,0 +1,32 @@ +REG FFFFFFDBFFFFFFDB +REG FFFFFFFFE1FFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000008000E57B +REG 0000000400000004 +REG 0000000088043DE8 +REG 03F2003DC4000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFC800F71 +REG FFFFFFFFFFFC07FF +REG FFFFFFFFFFFFFFFF +REG 0000000000000071 +REG 000000000000E006 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000088044844 +REG 0000000000000002 +REG FFFFFFFFFFFFFFFE +REG 000000000000001F +REG FFFC07FF00000000 +REG 0000000028044844 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFF8 +REG 000000000003F800 +REG FFFFFFFFFFFFFFFD +REG 0000000110109088 diff --git a/tests/656.bin b/tests/656.bin new file mode 100644 index 0000000..574a120 Binary files /dev/null and b/tests/656.bin differ diff --git a/tests/656.out b/tests/656.out new file mode 100644 index 0000000..ecb104a --- /dev/null +++ b/tests/656.out @@ -0,0 +1,32 @@ +REG 0000000044FF0FB2 +REG 0000000000000006 +REG 0000000000000000 +REG 0000000006890000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFE6F69FFDF +REG 0000000000000000 +REG FFFFFFFE6F69FFDF +REG 00000000000047A0 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000011BC20A3 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000C84B0000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000008F40 +REG FFFFFFFF83FFB860 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000109FF1023 diff --git a/tests/657.bin b/tests/657.bin new file mode 100644 index 0000000..e2978d2 Binary files /dev/null and b/tests/657.bin differ diff --git a/tests/657.out b/tests/657.out new file mode 100644 index 0000000..af3d70d --- /dev/null +++ b/tests/657.out @@ -0,0 +1,32 @@ +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000020 +REG 2C59128762AF0007 +REG 0943B1578003962C +REG FFFFFFFFFFFFFFFF +REG 0000000000100000 +REG D721130943FFFFF9 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000003A96 +REG 00000000FFFFBF06 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFBF06 +REG FFFFFF00FFFFFFFF +REG 0000000000000040 +REG 0000100000001000 +REG 0000000000000000 +REG 28DEECF6BC000007 +REG 0000000000400000 +REG FFFFFFFFFFFFFF00 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFE0000018FF6 +REG 000000000000DEF1 +REG 000000000000464E +REG 0000000020000000 +REG 0000000080888841 diff --git a/tests/658.bin b/tests/658.bin new file mode 100644 index 0000000..5606a4c Binary files /dev/null and b/tests/658.bin differ diff --git a/tests/658.out b/tests/658.out new file mode 100644 index 0000000..d0fd896 --- /dev/null +++ b/tests/658.out @@ -0,0 +1,32 @@ +REG 00000000611D4DE0 +REG 00000000FC000000 +REG 0000000000000010 +REG 000103D74E591577 +REG FFFFFFFFB76E0000 +REG 00000000000065F4 +REG FFFFBFFE000017FF +REG 0000000000000000 +REG 00000000611D0020 +REG 00000002CF380003 +REG 0000000000004DC0 +REG 0000000000000020 +REG 00000000000065F4 +REG 0000000000000000 +REG FFFFFFFFB76E0000 +REG 000000000000000D +REG 0000000000000000 +REG 0000000000000019 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000000000020 +REG FFFFFFFF20000002 +REG 0000000000000000 +REG FFFFFFFF68920002 +REG 3CE0000C0000000B +REG 00000000FBFFFFFF +REG FFFFFFFD30C7FFFD +REG FFFFFFFD30C7FFFD +REG 00000000F97D0020 +REG 0000000000000000 +REG FFFFFFFFFBFFFFFF +REG 0000000040089090 diff --git a/tests/659.bin b/tests/659.bin new file mode 100644 index 0000000..2566ab6 Binary files /dev/null and b/tests/659.bin differ diff --git a/tests/659.out b/tests/659.out new file mode 100644 index 0000000..697f88e --- /dev/null +++ b/tests/659.out @@ -0,0 +1,32 @@ +REG 0003FFFFFFFF847D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040880203 +REG 0000000000000020 +REG 0003FFFFFFFFFFEC +REG 000000000000001B +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000E8CE +REG FFFFFFFFFFFFFFFF +REG 0000000040000203 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000053DCE8CE +REG FFFFFFFFFFFFFFFF +REG 0000000000000013 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFC7E69C +REG 0000000000000032 +REG 000000000000136E +REG 0000000002000001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF8A20 +REG FFFFFFFFFE00001F +REG 00000000000013A3 +REG 0000000000000000 +REG 0000000041100406 diff --git a/tests/66.bin b/tests/66.bin new file mode 100644 index 0000000..5002ec3 Binary files /dev/null and b/tests/66.bin differ diff --git a/tests/66.out b/tests/66.out new file mode 100644 index 0000000..88545c5 --- /dev/null +++ b/tests/66.out @@ -0,0 +1,32 @@ +REG 0000000000000FC0 +REG 0000000000000000 +REG 00000000000005C1 +REG 5A860000000005B8 +REG 00000000000000EF +REG 5A860000000005B7 +REG 0000000000000000 +REG 0000000000000256 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFDA8 +REG 00000000000005C1 +REG 42D4300000000000 +REG 0000000000000A00 +REG FFFFFFFFFF4D42E6 +REG FFFEFFFFC486FFFF +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFD5EF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000001E39 +REG 0000000000000000 +REG 42D4300083740000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFF000250005BA +REG FFFFFFFFFFEC2B10 +REG 0000000000000A00 +REG FFFFFFFFFFFFD5F0 +REG 0000000090010801 diff --git a/tests/660.bin b/tests/660.bin new file mode 100644 index 0000000..7ef6a5a Binary files /dev/null and b/tests/660.bin differ diff --git a/tests/660.out b/tests/660.out new file mode 100644 index 0000000..780f3bc --- /dev/null +++ b/tests/660.out @@ -0,0 +1,32 @@ +REG B9BFF665B9BE4C60 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000009 +REG 001000000010000A +REG 4640099A4641B3ED +REG 0000000000000013 +REG 0000000000C90000 +REG FFFFFFFFFFFFFFFF +REG 00000000000017D4 +REG FFFFFFFFFFFFFFFB +REG FFFFFFFFFFFFFFFF +REG 400000003FFFFFAE +REG 400000003FFFFFAD +REG FFFFFFFFFFFFFFF8 +REG 40000000400028C1 +REG 62EC0C5EF3DFEEFA +REG 0000000000000000 +REG 4640099A4641B3ED +REG 3CF822913CF82291 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG BFFFFFFF4B9FE86F +REG 9EFF77D79EFFFFFF +REG FFFFFFFFFFFFFFFB +REG 0000000000000013 +REG 24AC05C4B59E5D17 +REG 4640099A46414839 +REG 000000009E09E908 diff --git a/tests/661.bin b/tests/661.bin new file mode 100644 index 0000000..7662a9b Binary files /dev/null and b/tests/661.bin differ diff --git a/tests/661.out b/tests/661.out new file mode 100644 index 0000000..fb045ce --- /dev/null +++ b/tests/661.out @@ -0,0 +1,32 @@ +REG FC7FFF94D0160B49 +REG 00000000000000E0 +REG FFFFFFFFD0160B49 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFE80B13B +REG 0000000000000000 +REG 0000002000000020 +REG 24E1400000000000 +REG FFFFFFFFFFFFE3E0 +REG FFFFFFFFFFFFFFFF +REG 0000002000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000000 +REG 0000000000000000 +REG 0001000000010000 +REG 0000000000000005 +REG FFFFFFFFFFF1FE00 +REG 0000000000000000 +REG 0000002000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG F1F07FFFF1C00003 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 000000010909E8D0 diff --git a/tests/662.bin b/tests/662.bin new file mode 100644 index 0000000..72f09cd Binary files /dev/null and b/tests/662.bin differ diff --git a/tests/662.out b/tests/662.out new file mode 100644 index 0000000..16102ad --- /dev/null +++ b/tests/662.out @@ -0,0 +1,32 @@ +REG 0000002000000021 +REG 0000000000000000 +REG 000000000000F86C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFA3FD +REG 0000000000007C36 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFBFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000003BF9 +REG FFFFFFDFFFFFFFDF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001A +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000020 +REG 0000000000000000 +REG 0000000000009FF9 +REG 0000000003FFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040000000 +REG 0000000101050910 diff --git a/tests/663.bin b/tests/663.bin new file mode 100644 index 0000000..74ba4f4 Binary files /dev/null and b/tests/663.bin differ diff --git a/tests/663.out b/tests/663.out new file mode 100644 index 0000000..5e1958f --- /dev/null +++ b/tests/663.out @@ -0,0 +1,32 @@ +REG 0001FE00FF810020 +REG 0000002100000000 +REG FFFFFFFFFFFFFFE0 +REG 0000002100000000 +REG FFFFFFFFFFFFFFE0 +REG 0210000000000000 +REG 0000000000000000 +REG 000000000000007E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000200000000 +REG 0000000000000001 +REG 00000000000003A2 +REG FFFE01FFFF80003F +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG 0000000000080000 +REG 0000000000000000 +REG FFFFFFFF9A060000 +REG 0000000000800000 +REG FFFFFFFFFFFFFFE0 +REG 000000000000001F +REG 000000000000001E +REG 0808080808080800 +REG 0000000200000100 +REG FFFFFFFF9A060000 +REG 0000000000000020 +REG FFFFFFFFFFFFFF81 +REG 0000000000000000 +REG 000000005FF1FF02 diff --git a/tests/664.bin b/tests/664.bin new file mode 100644 index 0000000..1309938 Binary files /dev/null and b/tests/664.bin differ diff --git a/tests/664.out b/tests/664.out new file mode 100644 index 0000000..35608e0 --- /dev/null +++ b/tests/664.out @@ -0,0 +1,32 @@ +REG 0DFFFFFEDCF37651 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG 00000000000078C9 +REG 0000000000000000 +REG FFFFFFFFFFFFCDA5 +REG 000000005A7C0020 +REG 000000000000033A +REG 0000000000000000 +REG 00000000000078C9 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000020000000 +REG 0000000000000000 +REG 00000000000078C9 +REG 0000000000004000 +REG 0000000000000000 +REG 0000000000000000 +REG 960A0FF5ED2063AD +REG 0000000000000000 +REG 0000000000004000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040000000 diff --git a/tests/665.bin b/tests/665.bin new file mode 100644 index 0000000..9e5edd0 Binary files /dev/null and b/tests/665.bin differ diff --git a/tests/665.out b/tests/665.out new file mode 100644 index 0000000..6bfac36 --- /dev/null +++ b/tests/665.out @@ -0,0 +1,32 @@ +REG 08890406F5FFFABD +REG 0000000000000000 +REG 00000000E1C90001 +REG 000000000000000B +REG 000000006E000000 +REG 0101030100000504 +REG 0000000000000000 +REG 000000000000001B +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000DBFFFAFB +REG 000000000000FA55 +REG 0000000000000000 +REG 000000000000FA54 +REG 0000000000000006 +REG 000000006E000000 +REG 0000000000000040 +REG 0107080808080802 +REG 0000000000000000 +REG 08080707F5FF4658 +REG 0000001900000019 +REG FFFFFFFFFFFFFFFF +REG FFF61B0000000000 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFF5FFFFFA +REG 0000000000000015 +REG 0101030100000504 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000090890E89 diff --git a/tests/666.bin b/tests/666.bin new file mode 100644 index 0000000..c177732 Binary files /dev/null and b/tests/666.bin differ diff --git a/tests/666.out b/tests/666.out new file mode 100644 index 0000000..3ad6306 --- /dev/null +++ b/tests/666.out @@ -0,0 +1,32 @@ +REG 0000000040F930DB +REG 00000000000038DC +REG FFFFFFFFFFFF7F21 +REG 0000000000000000 +REG 0000000000004C15 +REG 000000000000001F +REG 0000000000000009 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000007 +REG 0000000000000007 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFBFFFFFFF +REG 0000000000004C15 +REG 0000000000000000 +REG 0000000100000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 8000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000004C15 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000004800 +REG 000000000000000B +REG 0000000000000000 +REG 0000000081E80408 diff --git a/tests/667.bin b/tests/667.bin new file mode 100644 index 0000000..f0ba45e Binary files /dev/null and b/tests/667.bin differ diff --git a/tests/667.out b/tests/667.out new file mode 100644 index 0000000..a32c1b0 --- /dev/null +++ b/tests/667.out @@ -0,0 +1,32 @@ +REG 0000000008000020 +REG 0000000000000000 +REG 000E7F00000E0000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 03A0000000000000 +REG 0000000080000000 +REG 0000000000000000 +REG 00000E7F00000E7F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000080000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000004CFC +REG 0000000000000E80 +REG 0000000040000084 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000FFFFFFFE +REG 0000000000000E80 +REG 0000000000000000 +REG 0000000020000082 +REG 0400000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000000099F8 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000100000091 diff --git a/tests/668.bin b/tests/668.bin new file mode 100644 index 0000000..4586960 Binary files /dev/null and b/tests/668.bin differ diff --git a/tests/668.out b/tests/668.out new file mode 100644 index 0000000..f0ce6c4 --- /dev/null +++ b/tests/668.out @@ -0,0 +1,32 @@ +REG 001FFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFF000000000003 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000060 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFC0003 +REG 3FFFFFFFBFFC0000 +REG 0000000000000000 +REG 0000000000000000 +REG FFDFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG 000100000003FFFB +REG 00000000840F4F88 +REG FFFFFFFFFFFFFFFF +REG 00000000000004E0 +REG 00000001081E9F10 diff --git a/tests/669.bin b/tests/669.bin new file mode 100644 index 0000000..221432a Binary files /dev/null and b/tests/669.bin differ diff --git a/tests/669.out b/tests/669.out new file mode 100644 index 0000000..bf1813f --- /dev/null +++ b/tests/669.out @@ -0,0 +1,32 @@ +REG 000000008042A553 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000008E25 +REG 000025EB000025EB +REG FFFFFFFFFFFFFFFD +REG FFFFFFFFFFFFFFFD +REG 00000000000000FF +REG FFFFFFFF7FBD7619 +REG FFFFFFFF9FD72377 +REG 0000000000000400 +REG 0000000000000040 +REG 0000000000003FFF +REG 0000000000000000 +REG F8001FFFFFFFC000 +REG 0000000080421124 +REG 0000000000003FFF +REG 000000006028C126 +REG 0000000000000000 +REG FFFFFFFF7FBD75D8 +REG 0000000000000040 +REG 000000006028C526 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000398 +REG 07FFE00000000000 +REG 0000000000000000 +REG 000025EAFFFFDEA2 +REG 000025EBFFFFFFEB +REG 000025EB000025EB +REG FFFFFFFFFFFFFFFF +REG 000000009A900000 diff --git a/tests/67.bin b/tests/67.bin new file mode 100644 index 0000000..64a9eee Binary files /dev/null and b/tests/67.bin differ diff --git a/tests/67.out b/tests/67.out new file mode 100644 index 0000000..7d50815 --- /dev/null +++ b/tests/67.out @@ -0,0 +1,32 @@ +REG FFFFC00064F4019F +REG 0000000000000000 +REG 0000000000001081 +REG FFFFFFFFFFFFFFFF +REG 00003FFFFFFFFFA0 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFF00 +REG 00000000000FC000 +REG 00003FFFFFFFFFA0 +REG 0000000000001081 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFEF7E +REG 00000000000040DC +REG 00003FFF9B0BFF9F +REG 000000F8000000F8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00003FFF9B0BBEC3 +REG 0000000000005ADA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080080849 diff --git a/tests/670.bin b/tests/670.bin new file mode 100644 index 0000000..248339e Binary files /dev/null and b/tests/670.bin differ diff --git a/tests/670.out b/tests/670.out new file mode 100644 index 0000000..ba80ff9 --- /dev/null +++ b/tests/670.out @@ -0,0 +1,32 @@ +REG 08080802BB34905D +REG 0000020000000200 +REG 0000000000000020 +REG 0000000000000000 +REG 00000004A2B40F54 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000040 +REG 00000000000707F0 +REG FFFFFFFF4180827B +REG 0000020000000200 +REG 00000004A2AD0764 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFF00 +REG 00000000012D07D0 +REG 0000000000000020 +REG 00000000000D81DF +REG FFFFFFFFFFBBF08B +REG 00000000000707D0 +REG 0808080241A68A7C +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF4180827B +REG 0000000000000020 +REG 0000000000000000 +REG 0000020000000200 +REG 0000000050089011 diff --git a/tests/671.bin b/tests/671.bin new file mode 100644 index 0000000..908b556 Binary files /dev/null and b/tests/671.bin differ diff --git a/tests/671.out b/tests/671.out new file mode 100644 index 0000000..a16bb37 --- /dev/null +++ b/tests/671.out @@ -0,0 +1,32 @@ +REG BFFFFFE9FB9FFFFF +REG 0000660000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000330000000 +REG 000000200000001B +REG BFF8001604000000 +REG 000000200000EE47 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 629FFFFFBF7FBF74 +REG 0000000000000000 +REG FFFF99FFFFFF3F41 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFBF7FBF77 +REG 0000000000000000 +REG 000000000000003B +REG 01D8000000000000 +REG 0000001900000019 +REG 9D60000000000001 +REG FFFFFFFFFFFFFFFF +REG 000000000000003B +REG 0000000000000000 +REG 0107FFFFFF000000 +REG 629FFFFFFFFFFFFD +REG FFFFFFFFBBC5A000 +REG 0000000090880801 diff --git a/tests/672.bin b/tests/672.bin new file mode 100644 index 0000000..f1b1288 Binary files /dev/null and b/tests/672.bin differ diff --git a/tests/672.out b/tests/672.out new file mode 100644 index 0000000..f8ab7f9 --- /dev/null +++ b/tests/672.out @@ -0,0 +1,32 @@ +REG FFE7FFFFFFFFCACB +REG FFFFFFFFFFFFFFC0 +REG 0000000000000020 +REG FFE7FFFFFFFF9D99 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000300000003 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFC0 +REG FFFF97B6C0000002 +REG FFFFFFFFFFFFFFFF +REG 0000000000006266 +REG 000000000000FFFF +REG 0000000000000040 +REG FFFFFFFFFFFFFFC0 +REG 0000000000006266 +REG 0000000000000080 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000024088884 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000000108110908 diff --git a/tests/673.bin b/tests/673.bin new file mode 100644 index 0000000..596d256 Binary files /dev/null and b/tests/673.bin differ diff --git a/tests/673.out b/tests/673.out new file mode 100644 index 0000000..43b0e93 --- /dev/null +++ b/tests/673.out @@ -0,0 +1,32 @@ +REG FFFFFFFFF000001E +REG 0000007BCDD8007B +REG 0000000056280000 +REG 0000000000000000 +REG 1800144B80000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFD +REG FFFFFE28A0B77996 +REG FFFFFFFEFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 88CC000000000000 +REG 0000000100000001 +REG 0000000000001A58 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFB +REG 0000000000000040 +REG 0000000000000000 +REG 005817BEA3000000 +REG 0000000000000000 +REG 000000000FFFFFFF +REG 0000000000000004 +REG 0000000000E00000 +REG 00001800144B975D +REG 0000000000038000 +REG FFFFFFFFFFFFE299 +REG 0000007BCDD8007B +REG 0000007B0000007B +REG 00001800144B975D +REG 0000000000000000 +REG 0000000051B040E3 diff --git a/tests/674.bin b/tests/674.bin new file mode 100644 index 0000000..3dbc5e7 Binary files /dev/null and b/tests/674.bin differ diff --git a/tests/674.out b/tests/674.out new file mode 100644 index 0000000..5a61e83 --- /dev/null +++ b/tests/674.out @@ -0,0 +1,32 @@ +REG 000000000000436E +REG FFFFFFFFFFFF8000 +REG 0000005A80000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000050AF +REG FF20000000000000 +REG D60000D4DFFFFFD4 +REG 0000005A80000000 +REG 0000000020040010 +REG 8578000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFEFE9 +REG 00000000000050AF +REG FFFFFFFFFFFFFFFF +REG 4000089E4000001E +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFF2BF +REG 0000000000000040 +REG 0000000000007FFF +REG FFFFFFFFFFFFEFE9 +REG 0000005A80000000 +REG FFFFFFFFFFFFFFFF +REG 0000000022790000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFF000047 +REG 0000000000000000 +REG 00000000000050AF +REG FFFFFFA580000000 +REG 0000000081080021 diff --git a/tests/675.bin b/tests/675.bin new file mode 100644 index 0000000..57be67d Binary files /dev/null and b/tests/675.bin differ diff --git a/tests/675.out b/tests/675.out new file mode 100644 index 0000000..a7a117e --- /dev/null +++ b/tests/675.out @@ -0,0 +1,32 @@ +REG 000000000000000E +REG 0000000000000001 +REG F06BAF76F06B0176 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFF8BA30000 +REG FFFFFFFFF76F06BA +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFF76F06BA +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFEB9B +REG 00000000745CFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000000FE251 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000100804881 diff --git a/tests/676.bin b/tests/676.bin new file mode 100644 index 0000000..5024e03 Binary files /dev/null and b/tests/676.bin differ diff --git a/tests/676.out b/tests/676.out new file mode 100644 index 0000000..06781fd --- /dev/null +++ b/tests/676.out @@ -0,0 +1,32 @@ +REG 00000081093F7120 +REG 000000000000001B +REG 000000006767FFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFAEC2 +REG FFFFFFFFFFFFD37D +REG FFFFFFFFFFFFAED9 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003B +REG 000000FFFFFFFFFF +REG FFFFFFFFFFFF8960 +REG 0000000000000000 +REG FFFFFFFFFFFFB8E2 +REG 0000DF7C00000F7C +REG 0000000000000000 +REG FFFFFFFFFFFFAEC2 +REG 0000000000000000 +REG 0000000001D80000 +REG 0000000000000400 +REG 0000000000000038 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000020000000 +REG FFFFFFFFFFFFFFE5 +REG FFFFFFFFFFFFFFE2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080000000 diff --git a/tests/677.bin b/tests/677.bin new file mode 100644 index 0000000..442de8f Binary files /dev/null and b/tests/677.bin differ diff --git a/tests/677.out b/tests/677.out new file mode 100644 index 0000000..8736f3e --- /dev/null +++ b/tests/677.out @@ -0,0 +1,32 @@ +REG 0000000000190060 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000065680000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000408 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 8A859900BC500000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000190000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040000810 diff --git a/tests/678.bin b/tests/678.bin new file mode 100644 index 0000000..fc1aa60 Binary files /dev/null and b/tests/678.bin differ diff --git a/tests/678.out b/tests/678.out new file mode 100644 index 0000000..a7a1cc1 --- /dev/null +++ b/tests/678.out @@ -0,0 +1,32 @@ +REG FFFF811C6FF5F10B +REG 0000000000000000 +REG 0000000036C6007F +REG 0000000000000000 +REG FFFFFFFF900A7780 +REG 0000000000000001 +REG FFFFFFFFFFFFFE00 +REG FFFFFFFFFFFFFFFC +REG 000000000000794D +REG 000000000000B972 +REG 0000000000000001 +REG 0000000000000040 +REG E900000000000004 +REG 0000000000000000 +REG FFFFFFFF8000001F +REG FFFFFFFFFFFFFFFC +REG FFFF86B3FFFF86B3 +REG 0000000000000200 +REG FFFFFFFFFFFFFFFC +REG FFFFC359800EB053 +REG FFFFFFFFFFFFFFFF +REG 00000000FD150000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 00000000000001FC +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000090000450 diff --git a/tests/679.bin b/tests/679.bin new file mode 100644 index 0000000..1c68a77 Binary files /dev/null and b/tests/679.bin differ diff --git a/tests/679.out b/tests/679.out new file mode 100644 index 0000000..4c7664f --- /dev/null +++ b/tests/679.out @@ -0,0 +1,32 @@ +REG 000005C680F005CB +REG 000000001BD90076 +REG 0000000000000076 +REG 000000002FFF54C1 +REG FFF67607FFFA2140 +REG 0000000000000000 +REG 0000000000000009 +REG 00000000000000FB +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000001DC0 +REG 000000002FFF880D +REG 000989F80005865A +REG FFFFFFFFFFFFFB79 +REG 00000000C38B0001 +REG FFFFFFFFFFFFFFFF +REG FFFFFA39FFFFFA39 +REG 00FBE23C00FBE236 +REG FFFFFFFFFFFFFA39 +REG 00000000DB9F0001 +REG 0000000000000001 +REG 0000000000000000 +REG 080808087DF8E7E7 +REG FFFFFFFFFFFFE236 +REG 080808087DF8E7E7 +REG FFFFFFFFD00084DE +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFA39 +REG 00FBE22600FBE226 +REG 00000000002F39BE +REG 0000000101E8001A diff --git a/tests/68.bin b/tests/68.bin new file mode 100644 index 0000000..f2241bd Binary files /dev/null and b/tests/68.bin differ diff --git a/tests/68.out b/tests/68.out new file mode 100644 index 0000000..f8e9d1c --- /dev/null +++ b/tests/68.out @@ -0,0 +1,32 @@ +REG 000000002044CAB0 +REG 0000000000008EC0 +REG 00000000040880E0 +REG 0000000000000000 +REG 000000003F000000 +REG 000002040A004E18 +REG 0000000020440800 +REG 000000001C3B8720 +REG 0000000000000000 +REG FFFFFFFFBB6FFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFBF77F1F +REG 0000000000000000 +REG 0000002000000018 +REG 0000000004088100 +REG 0000000000000000 +REG 0000000003800000 +REG 000000000408CF17 +REG 0000000000000000 +REG 0000000000000000 +REG 0082227E0082227E +REG FFFFFFFFFEFC7EFA +REG FFFFFFFFFBF77F1F +REG 0000000000044080 +REG FFFFFFFFFBF730E8 +REG 0000000000F80000 +REG 0000040881000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000000002EF +REG 0000000100888400 diff --git a/tests/680.bin b/tests/680.bin new file mode 100644 index 0000000..65f2dcd Binary files /dev/null and b/tests/680.bin differ diff --git a/tests/680.out b/tests/680.out new file mode 100644 index 0000000..d7a0565 --- /dev/null +++ b/tests/680.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 61F4000323300FFF +REG 0000000000000000 +REG FFFFF61F40003233 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080000000 +REG 000009E0C0000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000003234 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFF61F3FFFFFFF +REG 0000000000000000 +REG 0000000080000000 +REG 0000000040000000 diff --git a/tests/681.bin b/tests/681.bin new file mode 100644 index 0000000..b7f4490 Binary files /dev/null and b/tests/681.bin differ diff --git a/tests/681.out b/tests/681.out new file mode 100644 index 0000000..a5522a6 --- /dev/null +++ b/tests/681.out @@ -0,0 +1,32 @@ +REG FFFFFDFFBB2F467E +REG 0000000000000040 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000048 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG FFFF93F7FFFFFFFF +REG 0000000000000060 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFF7E0 +REG 0000000018000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000060 +REG FFFFFFFFFFFFFFFF +REG 0000000044D0461F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000000246CD81 +REG FFFFC00000000000 +REG 0000000000000000 +REG 0000000101A00802 diff --git a/tests/682.bin b/tests/682.bin new file mode 100644 index 0000000..3081ec7 Binary files /dev/null and b/tests/682.bin differ diff --git a/tests/682.out b/tests/682.out new file mode 100644 index 0000000..aff5a6e --- /dev/null +++ b/tests/682.out @@ -0,0 +1,32 @@ +REG 000000004010004F +REG FFFFFD3FFFFE8797 +REG FFFFFFFEC097FEFD +REG 000000017F780130 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFDA788 +REG 0000000000000001 +REG 0000000000000002 +REG 000000000000B5CB +REG FFFFFFFF7F7861C3 +REG 0000000000000020 +REG FFFF000000000000 +REG 0000002000000020 +REG FFFFFED3C4000000 +REG 0000000000000000 +REG 9FFFFF43CB800000 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFED3C4000000 +REG FFFFFFFFFFFFFF80 +REG 0000000000000003 +REG FFFFFFFFE2000000 +REG FFFFFFFFFFFFFFF4 +REG 0000000000000000 +REG 0000000000000000 +REG FFFF000000000000 +REG 0000000000000000 +REG FFFFFFFE8087FEC2 +REG FFFFFFFFFFFFFFC0 +REG 0000000100100000 diff --git a/tests/683.bin b/tests/683.bin new file mode 100644 index 0000000..2ca594e Binary files /dev/null and b/tests/683.bin differ diff --git a/tests/683.out b/tests/683.out new file mode 100644 index 0000000..4ed3179 --- /dev/null +++ b/tests/683.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFC4 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000FFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG 0000004000000000 +REG 0000000000000041 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003F +REG 0000000000000040 +REG 0000000000000039 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFF1000004 +REG FFFFFFFFFFFFFFC4 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 000000005FE00888 diff --git a/tests/684.bin b/tests/684.bin new file mode 100644 index 0000000..0be9cb0 Binary files /dev/null and b/tests/684.bin differ diff --git a/tests/684.out b/tests/684.out new file mode 100644 index 0000000..c2d5bd8 --- /dev/null +++ b/tests/684.out @@ -0,0 +1,32 @@ +REG FFFFFFFF96F3BCC0 +REG 00FFFFFFFFE5C620 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFE5FFFFFFE5 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFBCC1 +REG FFFFFFFF29D90000 +REG 0000000031B70000 +REG 0000000000000000 +REG 0000001A0000001A +REG 0000000000000000 +REG 0000001A0000001A +REG 00000000D6270000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000002C81 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000005F100890 diff --git a/tests/685.bin b/tests/685.bin new file mode 100644 index 0000000..d6af72e Binary files /dev/null and b/tests/685.bin differ diff --git a/tests/685.out b/tests/685.out new file mode 100644 index 0000000..2b32d85 --- /dev/null +++ b/tests/685.out @@ -0,0 +1,32 @@ +REG FFFFFFF4F264CAD9 +REG 000000003C00001F +REG 000000000000B8B9 +REG 0000000068000FFE +REG 0000000B0D9B08FF +REG 00000000000081B2 +REG 0000000000E1F040 +REG 0000000000000000 +REG 0000000B0D9B08FF +REG 0001319FFFFFFDFF +REG 000000000E650000 +REG 0000000B0D9B08DF +REG FFFFFDFFFFDF319F +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG 000000000000001F +REG FFFFFDFFFFDF319F +REG 000000003C00001F +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000E650000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFDFFFFDF319F +REG FFFFFFFFFFF00010 +REG 0000000000000000 +REG FFFFFFFFFFFFF0F8 +REG 0000000000000000 +REG 0000000088104880 diff --git a/tests/686.bin b/tests/686.bin new file mode 100644 index 0000000..8124203 Binary files /dev/null and b/tests/686.bin differ diff --git a/tests/686.out b/tests/686.out new file mode 100644 index 0000000..068bde3 --- /dev/null +++ b/tests/686.out @@ -0,0 +1,32 @@ +REG 000000016944FE44 +REG FFFFFFFFFFFFFFFF +REG 0000000000000023 +REG 0000000007CB62D0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000006 +REG 001331D80000000C +REG 000000000003B000 +REG 00000001FFFFFF00 +REG 0000000096BB0000 +REG 000000000000001C +REG C000000000000000 +REG 0000000007CB03C0 +REG 0000000A00000000 +REG 0000000000000001 +REG 000000000000003C +REG 0000000007CB03C0 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF0B8C +REG 0000000000000000 +REG FFFFFFFFEFF70000 +REG 0000000000000000 +REG 000000000000FF02 +REG 0000000000000080 +REG 0000000000000000 +REG 000000000000000C +REG 001331D800000000 +REG 0000000000000000 +REG 0000000000000008 +REG 0000000080800400 diff --git a/tests/687.bin b/tests/687.bin new file mode 100644 index 0000000..0629755 Binary files /dev/null and b/tests/687.bin differ diff --git a/tests/687.out b/tests/687.out new file mode 100644 index 0000000..8c2af58 --- /dev/null +++ b/tests/687.out @@ -0,0 +1,32 @@ +REG FFFFFFFFDF7F701B +REG 0000000000000000 +REG FFFFFFFFFDFFFFFF +REG 0000000002000000 +REG 0000000000000028 +REG FFFFFFFFFDFFFFFF +REG 0000000000000000 +REG FFFFFFFFFBFFFFFE +REG 0000000000004000 +REG 0000200000002000 +REG 000000000000000D +REG FFFFFFFF8DA4FFFF +REG 0000000028002F98 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000028808FE5 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000028000F0F +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000028000F10 +REG FFFFFFFF00FF0000 +REG FFFFFFFFFDFFFFFF +REG 0000000000000000 +REG 28808FE528808FE5 +REG 0000000000000001 +REG 0000000050011E20 diff --git a/tests/688.bin b/tests/688.bin new file mode 100644 index 0000000..6d03496 Binary files /dev/null and b/tests/688.bin differ diff --git a/tests/688.out b/tests/688.out new file mode 100644 index 0000000..247ddc3 --- /dev/null +++ b/tests/688.out @@ -0,0 +1,32 @@ +REG FFFFFF5EB4BF9DBC +REG FFFFFF7FFFFF9D45 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0101000101000001 +REG F543FFFFFFE00000 +REG FFFFFFFFD0C90060 +REG FFFC00002F490057 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000008000000 +REG 0000000000000000 +REG 0000000001010001 +REG FFFFFFFFFFFFFFF7 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000042400028 +REG FFFFFFFFD0C80000 +REG FFFFFFF4FFFFFFF4 +REG FFFFFFFFF7FFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG FFFFA3F9FFFFA3F9 +REG 0000000001010257 +REG FFFFF432FFFFF432 +REG 0003FFFFFF800000 +REG 0000000000000000 +REG 0000000001010001 +REG 0000000007FFFFFF +REG 0000000008000000 +REG 00000001110809F0 diff --git a/tests/689.bin b/tests/689.bin new file mode 100644 index 0000000..1e148ac Binary files /dev/null and b/tests/689.bin differ diff --git a/tests/689.out b/tests/689.out new file mode 100644 index 0000000..ea67436 --- /dev/null +++ b/tests/689.out @@ -0,0 +1,32 @@ +REG FFFFFFC0D3F1814A +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFC0D3EFFFDB +REG FFFFFFFFFFFFFFFF +REG 0000000075B521D8 +REG 000000002C0FFFFF +REG 0000000000000000 +REG F801FFFFFFFFFFEC +REG 3F7A8000080069F8 +REG FFFFFFFFFFFFFFB8 +REG 0000000000000000 +REG 0000003F0000003F +REG FFFFFFC0D3EFFFC0 +REG 000000002C100000 +REG FFFFFFFFFFFFDF35 +REG 0000000000000000 +REG 0000000000000000 +REG FFB695A4FF9695A5 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000003F75B52217 +REG FFFFFFC0D3EFFFC0 +REG 0000003F2C10003F +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001B +REG 0000000000000000 +REG FFFFFFFFFFFFDF35 +REG 0000000000000000 +REG 000000004A908429 diff --git a/tests/69.bin b/tests/69.bin new file mode 100644 index 0000000..a4a6830 Binary files /dev/null and b/tests/69.bin differ diff --git a/tests/69.out b/tests/69.out new file mode 100644 index 0000000..a8a5a6b --- /dev/null +++ b/tests/69.out @@ -0,0 +1,32 @@ +REG FFFFFFFFD887637A +REG 0000000000000001 +REG 0000000000000020 +REG 0000000054F10000 +REG 0000000054F10201 +REG 0000000000000000 +REG FFFFFFFFFFFF9143 +REG FFFFFFFFFFFFFFAD +REG FFFFFFFFFFFFFDFE +REG FFFFFFFFFFFFFD4F +REG 0000FFFF9D020000 +REG 0000000040889ED4 +REG 0000FFFFFFFF0000 +REG 0000000000007800 +REG 0000000000000015 +REG FFFFFFFFFFF30000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000006C0C +REG 00000000000071B7 +REG 0000000000000000 +REG 000077F9B9D877F9 +REG 0000000040888485 +REG 0000000000000000 +REG 000077F9000077F9 +REG FFFFFFFFFFFFFDFE +REG 0000000007F01800 +REG DF8000203FFFFE1F +REG FFFFFFFF7EEEF6F8 +REG 000000200000001E +REG FFFF8806FFFF8806 +REG 0000000081110908 diff --git a/tests/690.bin b/tests/690.bin new file mode 100644 index 0000000..3bfa1b4 Binary files /dev/null and b/tests/690.bin differ diff --git a/tests/690.out b/tests/690.out new file mode 100644 index 0000000..b4af3c2 --- /dev/null +++ b/tests/690.out @@ -0,0 +1,32 @@ +REG FFFFFFFF7FFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000001000000010 +REG 0000001000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFDF +REG 0000000000000020 +REG FFFFFFEFFFFFFFEF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000000025BB +REG 0000000000000000 +REG 0000000000006E3E +REG 0000000000000000 +REG 0000800000000000 +REG 000000FFFFFFFC00 +REG 0000000000000000 +REG 000000000000FF00 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF00003FFC06 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 7FF8000000000000 +REG 0000000110800100 diff --git a/tests/691.bin b/tests/691.bin new file mode 100644 index 0000000..ec75637 Binary files /dev/null and b/tests/691.bin differ diff --git a/tests/691.out b/tests/691.out new file mode 100644 index 0000000..0b9b311 --- /dev/null +++ b/tests/691.out @@ -0,0 +1,32 @@ +REG 57BA6B7EA2A4B99E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0003A000C0082000 +REG 0A1FFFEF8A1FBDE2 +REG FFFFFFFFFFFFFFFF +REG 0A1FFFEFE36ADDE2 +REG 0000000A0000000A +REG EBC00021527D843B +REG FFFFFFFFFFFFFF00 +REG 000000000000003A +REG F5E00010DC9D421D +REG 0000035756C5EB04 +REG 00000000000001D7 +REG 0000007F0000007F +REG 0000000048004880 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000001 +REG F5E00010DC9D0000 +REG 0000000000000000 +REG 000000000000003A +REG FFFFFFFF00000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFBB63BDE2 +REG 0000000000000080 +REG FFFFFFFFFFFFBDE2 +REG FFFFFFFFFFFFF800 +REG F5E0001075E0423D +REG 0000000006004133 +REG 0000000050009100 diff --git a/tests/692.bin b/tests/692.bin new file mode 100644 index 0000000..e0604d4 Binary files /dev/null and b/tests/692.bin differ diff --git a/tests/692.out b/tests/692.out new file mode 100644 index 0000000..b705fc9 --- /dev/null +++ b/tests/692.out @@ -0,0 +1,32 @@ +REG 01E800000000234D +REG 000000004184F0F4 +REG FFFFFFFE3E49FFFE +REG FFFFFFFFFFFFFFFF +REG 000000000000000C +REG 0000001237BFC0E9 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFEF +REG 01E8000000002301 +REG 0000000000000001 +REG 0000000000000000 +REG 000000000000000C +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000001237C00000 +REG 0000001237C00000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF823CFFEF +REG 000000000000003C +REG 0000000000000000 +REG 0000001237BFC0E9 +REG 7FFFFFFDE0000000 +REG 0000000000000080 +REG 0000001237BFC0E9 +REG 0000000000000000 +REG 0400000000000000 +REG 0000000000000000 +REG 000000001180F0F4 +REG 0000000000000000 +REG FFFFFFFFFFFFFFEF +REG 000000004309E1E9 diff --git a/tests/693.bin b/tests/693.bin new file mode 100644 index 0000000..72a0a67 Binary files /dev/null and b/tests/693.bin differ diff --git a/tests/693.out b/tests/693.out new file mode 100644 index 0000000..a836a74 --- /dev/null +++ b/tests/693.out @@ -0,0 +1,32 @@ +REG 125FBB46125FFF41 +REG 0000035500000355 +REG 019B520000000000 +REG 00000000CDA90000 +REG 0000000000000000 +REG 0000000002F6F603 +REG 000000000414E548 +REG 000000000000A4D3 +REG 0000000003030200 +REG 0000000000000001 +REG FFFFFFFFFFFFFDC0 +REG FC0003E3FC004463 +REG FFFFFFFFFFFFFFFF +REG 000000002A456000 +REG FFFFFFFFFFFFF8FF +REG FFFFFFFFFFFFFFFF +REG 249F400026074000 +REG 0000000000000009 +REG FFC0000000006D8A +REG FFC0000000000000 +REG FFFFFFFF10129FFF +REG 0000000000000000 +REG 812A4057927D0000 +REG 003FFFFFFFFFFFDF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000240 +REG 0000000000000040 +REG 000000000000FC21 +REG 0000689B0000689B +REG 0000001F0078F8FF +REG 000000009410D001 diff --git a/tests/694.bin b/tests/694.bin new file mode 100644 index 0000000..2c7431f Binary files /dev/null and b/tests/694.bin differ diff --git a/tests/694.out b/tests/694.out new file mode 100644 index 0000000..d1a346e --- /dev/null +++ b/tests/694.out @@ -0,0 +1,32 @@ +REG FFFFFFF1EC36A4D6 +REG 0000000000000000 +REG FFFFFE4FFFFFFF5E +REG 0000000000000000 +REG 000000003AFD001F +REG 00000000000074C4 +REG 0000000000000000 +REG F4956001FFFFFFFF +REG FFFFFFFFFFFFD98A +REG 001FFFFFFFF00000 +REG 0000000000000000 +REG FFFFFFFFFFFFA4C8 +REG FFFFFFFFFFFFA4C8 +REG FE42000000000000 +REG 00003FFF80000000 +REG FFFFFFFFFFFFA4C8 +REG 0000000000000000 +REG FFFFFFFFFFFFA4C8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000080001F3F +REG 0000000000000000 +REG 0000000000000020 +REG 000000000BB20000 +REG 0000000000000000 +REG 0000400000007B76 +REG 000000000000001F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000090810890 diff --git a/tests/695.bin b/tests/695.bin new file mode 100644 index 0000000..9ef698d Binary files /dev/null and b/tests/695.bin differ diff --git a/tests/695.out b/tests/695.out new file mode 100644 index 0000000..47f14fb --- /dev/null +++ b/tests/695.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000007C +REG 0000000000000000 +REG FFFFFFFFE0000000 +REG 0000000000000000 +REG 0000002000000008 +REG 0000000000000020 +REG 0000000000000000 +REG FFC00003BDFFFFFF +REG 0000000000000000 +REG 000000000000007C +REG 0000000000000000 +REG 0000000000000019 +REG 00000000FFFFFFFF +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF825B +REG 0000000000000000 +REG 0000000000000022 +REG 0000000000000001 +REG 0000000000000000 +REG FFC000039DBFFFFF +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG FFFFFFFFFFFFFF83 +REG 0000000000000030 +REG 0000000080910080 diff --git a/tests/696.bin b/tests/696.bin new file mode 100644 index 0000000..02586f7 Binary files /dev/null and b/tests/696.bin differ diff --git a/tests/696.out b/tests/696.out new file mode 100644 index 0000000..979de8c --- /dev/null +++ b/tests/696.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFC15 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFDE3C +REG 00000000D70D0020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFFFFFDE3C +REG 0000000000000000 +REG FFFFFFFFC3FA0000 +REG FFFFFFFFFFFFF60C +REG FFFEBFFFF0000000 +REG 00000000D70D0000 +REG 0000000044D8FFFF +REG 000000000000000A +REG 0000000000000000 +REG 3FF98DEF3FF98DEF +REG 0000000000000000 +REG 0808080808080807 +REG 00000000518F0000 +REG 0000000000000000 +REG 000000008FF0FF88 +REG 0000000000000000 +REG 000000000000000A +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000011FE1FF10 diff --git a/tests/697.bin b/tests/697.bin new file mode 100644 index 0000000..96662b8 Binary files /dev/null and b/tests/697.bin differ diff --git a/tests/697.out b/tests/697.out new file mode 100644 index 0000000..5bf4ff4 --- /dev/null +++ b/tests/697.out @@ -0,0 +1,32 @@ +REG 0000000000008CED +REG 0001694F00016950 +REG 000000000000000F +REG 000000000000000F +REG 0000000000000000 +REG 000000000C3FFFFF +REG FFFFFFFFFFFFF256 +REG 0000000100000001 +REG 0000000000008CE1 +REG 000000000000000F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 000000000000000F +REG FFFFFFFFFFFFFFFF +REG 00000000D7C20013 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000010000000E +REG 00000000FFFF731E +REG 000000000000000E +REG 0000000000000000 +REG 0000000109F09F1E diff --git a/tests/698.bin b/tests/698.bin new file mode 100644 index 0000000..c5ec28a Binary files /dev/null and b/tests/698.bin differ diff --git a/tests/698.out b/tests/698.out new file mode 100644 index 0000000..9024696 --- /dev/null +++ b/tests/698.out @@ -0,0 +1,32 @@ +REG 15875A7715866BA6 +REG F6BFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000E7B10000 +REG 00000000184F0000 +REG 0000000000000001 +REG 03CFDFFFFFFFFFDD +REG 0000000002F9FE7F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000600000006 +REG 0000000000000000 +REG 0000000600007AD7 +REG 0000000000000000 +REG 0000000600007BD7 +REG 0000000000000001 +REG FFFF5A75BAA95FF5 +REG 0000A58A00019464 +REG FFFFFFFFE7B10000 +REG 0000000000000000 +REG 0000000000000001 +REG CD87FC5ACD87FC5A +REG 0000000000000000 +REG FFFFFFFFFEFA27C7 +REG CD87FC5ACD87FC5A +REG 0000000000019464 +REG 0000000000000001 +REG CD87FC5ACD060000 +REG 0000A58A00019464 +REG FFB908EFA926B0EC +REG F6BFFFFFCD875795 +REG 0000000080101080 diff --git a/tests/699.bin b/tests/699.bin new file mode 100644 index 0000000..631e929 Binary files /dev/null and b/tests/699.bin differ diff --git a/tests/699.out b/tests/699.out new file mode 100644 index 0000000..89bc366 --- /dev/null +++ b/tests/699.out @@ -0,0 +1,32 @@ +REG 0000000004864489 +REG 0000000000000015 +REG 0000000000006471 +REG 0000000000000000 +REG FFFFF1E307526D56 +REG 00000000556F6471 +REG 0000000000000051 +REG FFFF00000000FFFF +REG 00000000FAF00000 +REG FFFFF1E2CD626D29 +REG 0000000000000005 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG 0000000000298010 +REG FFFFFFFF1B42099D +REG 0000000000000005 +REG 0000000000000001 +REG 0000000000000020 +REG 0000000000298010 +REG 000000000000E905 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000040 +REG BE78000000000000 +REG 00000000FAEF80E8 +REG 0000000000000100 +REG 0000000000000000 +REG 000000000000C7B1 +REG 0000000081600C06 diff --git a/tests/7.bin b/tests/7.bin new file mode 100644 index 0000000..6a3ad61 Binary files /dev/null and b/tests/7.bin differ diff --git a/tests/7.out b/tests/7.out new file mode 100644 index 0000000..36536fa --- /dev/null +++ b/tests/7.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFA133 +REG FFFFFFFFFFFFFFFF +REG 0000000006080405 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000001F +REG 000000003FFFE479 +REG 3FFFA479DB86AD6B +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000001F0000001F +REG FFFFFFFC007FFFFF +REG FFFFFFFFFFFFFFFE +REG 00000000FFFF0003 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000048F41284 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 000000000000C889 +REG 0000000091E88508 diff --git a/tests/70.bin b/tests/70.bin new file mode 100644 index 0000000..9c76965 Binary files /dev/null and b/tests/70.bin differ diff --git a/tests/70.out b/tests/70.out new file mode 100644 index 0000000..4a4d94f --- /dev/null +++ b/tests/70.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000020000 +REG 0000000000000000 +REG 0000000000000000 +REG CCEB22F9CCE80001 +REG CE0FC19CCE000000 +REG 000FC00000000001 +REG FFFFFFFFFFFFFF50 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000007FFF +REG FFF03FFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF943A +REG 0000000000000000 +REG FFFFFFFFCE675917 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000962D0000 +REG CE00019CCE000000 +REG 0000000000000020 +REG FFFFFFFFFFFF8000 +REG 00000000003C0000 +REG 0000000000000000 +REG FFFFFFFFFFFF007F +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 000FC00000000000 +REG 0000000048910088 diff --git a/tests/700.bin b/tests/700.bin new file mode 100644 index 0000000..a47af84 Binary files /dev/null and b/tests/700.bin differ diff --git a/tests/700.out b/tests/700.out new file mode 100644 index 0000000..94d98ee --- /dev/null +++ b/tests/700.out @@ -0,0 +1,32 @@ +REG FFFFFFFE3FF81FAC +REG 0000000000000000 +REG 0000000000000000 +REG 0000022600000224 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001FBE +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG CE88801E4E2F8A94 +REG FFFFFFFF9D11003F +REG FFFFFFFE24421FBE +REG 0000000000000023 +REG 0000000000000000 +REG 000000004D214440 +REG 568000020E8204D3 +REG 1F7FFFFFB8C70081 +REG 0000000000000000 +REG 1F8000001BB60041 +REG FFFFFFFE3FF81FFF +REG 0000000000000013 +REG 000000001BB60AE9 +REG 0000000000000000 +REG E07FFFFFE449FFBE +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFF9D10FFED +REG FFFFFFFFFFFFFF9F +REG FFFFFFFF9D10FFFF +REG 0000022600000226 +REG 000000009A428881 diff --git a/tests/701.bin b/tests/701.bin new file mode 100644 index 0000000..14a6204 Binary files /dev/null and b/tests/701.bin differ diff --git a/tests/701.out b/tests/701.out new file mode 100644 index 0000000..be09d42 --- /dev/null +++ b/tests/701.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFA6FE +REG 0000000000000000 +REG 8007FFFFFF000000 +REG FFFFFFFF5F140000 +REG FFFFFFFF8B920000 +REG 0000000000000000 +REG 0007FFFFFFFFFFFF +REG 0000000000000000 +REG 00000000A0EC0000 +REG 0000000000000000 +REG 0000000000000000 +REG 05FC000005FC0020 +REG 0000000000000000 +REG 0000000000000000 +REG 8007FFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000060000 +REG 000000000000BB52 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFBFFFFFFE +REG 0000000000000000 +REG FE7E01F7F0000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001A +REG FFFFFFFFFFFFFFFF +REG 00000002BF800000 +REG 0000000000000001 +REG 0000000081008100 diff --git a/tests/702.bin b/tests/702.bin new file mode 100644 index 0000000..e4068d5 Binary files /dev/null and b/tests/702.bin differ diff --git a/tests/702.out b/tests/702.out new file mode 100644 index 0000000..5471685 --- /dev/null +++ b/tests/702.out @@ -0,0 +1,32 @@ +REG FFFFF80003BFEFFE +REG 0000000000000000 +REG 0000000100000001 +REG 0000000000000000 +REG 000000074807F887 +REG 00000000409FF484 +REG 0000000000000000 +REG FFFFFFFF0000FF00 +REG 8303E00000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 001FFFFFFFFFFF80 +REG FFFFFFFF7F6FFFDF +REG 000000000000710F +REG 0000000000000000 +REG 000000000000710F +REG 00000000F69F3F00 +REG FFFFFFFFFFFFEFFF +REG 00000000209FF484 +REG 0000000000000020 +REG 0000000001000000 +REG FFFFFFF8F7F80779 +REG 00000000F69F04C4 +REG FFFFFFF8F7F80779 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000080900028 +REG FFFFFFFFFFFFFFF4 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000020 +REG 00000000813FE908 diff --git a/tests/703.bin b/tests/703.bin new file mode 100644 index 0000000..2dacad9 Binary files /dev/null and b/tests/703.bin differ diff --git a/tests/703.out b/tests/703.out new file mode 100644 index 0000000..d7d2d5f --- /dev/null +++ b/tests/703.out @@ -0,0 +1,32 @@ +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000000 +REG 00000000074C9D5C +REG 0000000000001ECC +REG 0000000000000000 +REG 0000000003040504 +REG 0000000000000000 +REG 000000002A479D5C +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000002F404044 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFE246BAD +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000001DB9452 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000005E890488 diff --git a/tests/704.bin b/tests/704.bin new file mode 100644 index 0000000..9f783a1 Binary files /dev/null and b/tests/704.bin differ diff --git a/tests/704.out b/tests/704.out new file mode 100644 index 0000000..ec96191 --- /dev/null +++ b/tests/704.out @@ -0,0 +1,32 @@ +REG 00007C8300003629 +REG FFFFFFFFFFFFF2B6 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 00007C8000002827 +REG 00007C8000003628 +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFFFFFBFAE +REG 0000000000000005 +REG 0000000000000001 +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000040 +REG 0000002C1FF0002D +REG 0000000000000000 +REG FFFFFFFFFFFFFFFB +REG 0000000000000000 +REG 0000001E0000001E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000300000006 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFF153E98AA4 +REG 0000000091E01088 diff --git a/tests/705.bin b/tests/705.bin new file mode 100644 index 0000000..61268c5 Binary files /dev/null and b/tests/705.bin differ diff --git a/tests/705.out b/tests/705.out new file mode 100644 index 0000000..7a10c31 --- /dev/null +++ b/tests/705.out @@ -0,0 +1,32 @@ +REG 000061612000619C +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000616100006161 +REG 0000000000000040 +REG 0000616100006161 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG EFFFFFFFEFFFFFCF +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000040 +REG 000000002EC60000 +REG 0000000000006161 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFF3C00001FFFB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000002804040E +REG 0000000000000000 +REG 0000616100006162 +REG 0000000000000000 +REG 000000009008081C diff --git a/tests/706.bin b/tests/706.bin new file mode 100644 index 0000000..01c3e57 Binary files /dev/null and b/tests/706.bin differ diff --git a/tests/706.out b/tests/706.out new file mode 100644 index 0000000..3a61dd1 --- /dev/null +++ b/tests/706.out @@ -0,0 +1,32 @@ +REG 000FFFFFFFFFFFFF +REG 00000000000037FA +REG 0000000000000000 +REG FFFFFFFF7FFFFFBF +REG 0000000020000002 +REG 0000000000000000 +REG 000000000000B382 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 03FFBFFFFFDFFFFF +REG 0000000000000000 +REG FFFFFFFFF8E6990F +REG 0000000000000000 +REG 0000003EFFFFFFFA +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000100000002 +REG 0000000000000000 +REG FFFFFFFFF8E6990F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000045260002 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 1000000015800006 +REG 0000000040000000 +REG 0000000000000000 +REG 0000000044809004 diff --git a/tests/707.bin b/tests/707.bin new file mode 100644 index 0000000..ae75685 Binary files /dev/null and b/tests/707.bin differ diff --git a/tests/707.out b/tests/707.out new file mode 100644 index 0000000..237e4fb --- /dev/null +++ b/tests/707.out @@ -0,0 +1,32 @@ +REG 30DB2D7230000D73 +REG FFFFFFFF7402B127 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000008300 +REG FFFFFFFFFFF0589B +REG 0000005518000000 +REG 0000000000000000 +REG CF24D28DCFFFFFEC +REG FFFFFFFFFFF0589B +REG FFFFFFFF7402FAF5 +REG 0000000000000003 +REG 000F1D2005E5FC05 +REG 000F1D2005E6365D +REG 0000000000000000 +REG FFFFFFFFFFF0258F +REG FFFFFFFFFFFFE099 +REG 00FFFFFFFFF0589B +REG 0000000040000000 +REG 0000000088240248 +REG 00000000000083D3 +REG 0000000000000000 +REG 102003FFFFFFFFFC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000F1D2005E6365D +REG FFFFFFFFFFF0589B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040408090 diff --git a/tests/708.bin b/tests/708.bin new file mode 100644 index 0000000..881b3b9 Binary files /dev/null and b/tests/708.bin differ diff --git a/tests/708.out b/tests/708.out new file mode 100644 index 0000000..a79219d --- /dev/null +++ b/tests/708.out @@ -0,0 +1,32 @@ +REG FFFF800000007FB4 +REG FFFFFFFFFFFFFFFA +REG 0000000000000005 +REG 000000000000003F +REG 0000000000000000 +REG 0000000000000003 +REG 0000000061A20005 +REG FFFFFFFFFFFF8150 +REG FFFFFFFFFFFFD8ED +REG FFFFFFFFFFFFFFC8 +REG 0000000000000040 +REG 0000002A0000002A +REG FFFF800000000000 +REG FFFFFFFFFFFFFFD0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFF8000003F +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFF00007CF +REG 0000000000000070 +REG 000000084F48F820 +REG 61A2000461A20004 +REG 0000000000000003 +REG 0000000000000000 +REG 0459600000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000108091E05 diff --git a/tests/709.bin b/tests/709.bin new file mode 100644 index 0000000..71f7e39 Binary files /dev/null and b/tests/709.bin differ diff --git a/tests/709.out b/tests/709.out new file mode 100644 index 0000000..6f7bd65 --- /dev/null +++ b/tests/709.out @@ -0,0 +1,32 @@ +REG FFFFFFEE7FFF6669 +REG 0000000000000E90 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF7FFFFFBF +REG 0000000000000000 +REG 0000000000000040 +REG 0000000040480884 +REG 0000000080000000 +REG 0000000000000000 +REG C08000000000000C +REG 0000000000000020 +REG FFFFFFFFFFFFFFEF +REG 0000000000000000 +REG 0000000080000000 +REG 0000000000009981 +REG 0000000080806080 +REG 0007FFFFFFFFFFEF +REG FFFFFFFFFFFFB5C4 +REG 000000000000D4B2 +REG 0000000040480884 +REG FFFFFFFF7FFF84DA +REG 0000000000000020 +REG 0000002000006D4A +REG 0000000000006447 +REG 0000000000000002 +REG 0808080608080806 +REG 0000000000000000 +REG 0000000040901108 diff --git a/tests/71.bin b/tests/71.bin new file mode 100644 index 0000000..fffe96d Binary files /dev/null and b/tests/71.bin differ diff --git a/tests/71.out b/tests/71.out new file mode 100644 index 0000000..c85f044 --- /dev/null +++ b/tests/71.out @@ -0,0 +1,32 @@ +REG 000000007FFFFFE0 +REG 0000000000000000 +REG 000014BD000014BD +REG 0000000000000000 +REG FFFFC80000030007 +REG F7F7FCFFFFFDAE81 +REG 0000000000000004 +REG 00000000020200BF +REG 1F7E7AC040000000 +REG EFFFF052001123B0 +REG 00001256120C1550 +REG 0000000000000020 +REG 000000000000000B +REG EFFFF052001123B0 +REG 0000000000000000 +REG 0000000000000000 +REG 10000FADFFEEDC4F +REG EFFFF051C81165E7 +REG F7E7AC06FFFE10E1 +REG 0000000000000020 +REG 0000000000001940 +REG 0000000000000000 +REG FFFFFFFFBFFFC102 +REG 080803000002517F +REG FFFEF727FFFFFFFF +REG FFFFFFFFD2441C7C +REG 0000000000000000 +REG FFFF3FFD8304470E +REG FFEFAF0700006260 +REG 0000000000000000 +REG 000108D840003EFC +REG 0000000084889009 diff --git a/tests/710.bin b/tests/710.bin new file mode 100644 index 0000000..6fb17ce Binary files /dev/null and b/tests/710.bin differ diff --git a/tests/710.out b/tests/710.out new file mode 100644 index 0000000..5fcea2c --- /dev/null +++ b/tests/710.out @@ -0,0 +1,32 @@ +REG 000000003E465A3F +REG 0000000000000000 +REG 00000000A2640000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF8FD6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000071AB +REG FFFFFFFFFFFF8E54 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFE037CFFE628E54 +REG 0018000000000000 +REG 0003EB2000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 002AEBCD002AEBCD +REG 000000003EB20000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000091008851 diff --git a/tests/711.bin b/tests/711.bin new file mode 100644 index 0000000..cdb5f4e Binary files /dev/null and b/tests/711.bin differ diff --git a/tests/711.out b/tests/711.out new file mode 100644 index 0000000..7cb226f --- /dev/null +++ b/tests/711.out @@ -0,0 +1,32 @@ +REG FF83897F0BB7FFFF +REG 000003E3B407A240 +REG 0000000000000000 +REG 0000000000000001 +REG 00000000000098B7 +REG FF83897FB18AF1E6 +REG 00000000001BFFF8 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000011 +REG 000000000013B5CE +REG 0000000000000000 +REG FFFFFFFFFF400001 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000045F20000 +REG 0600000007400000 +REG 0000000028018008 +REG 00000000001381FF +REG F448000000000000 +REG 000000000C01000A +REG 00000000F4480001 +REG FFF9FFFFFFEC7DE0 +REG 000000000000000A +REG 00000000003F0013 +REG 0000000000000020 +REG FFFFFFFFFF400001 +REG 000000000000097B +REG 0000001C0000001B +REG 0000000045F20000 +REG 0000000000000000 +REG 0000000090030010 diff --git a/tests/712.bin b/tests/712.bin new file mode 100644 index 0000000..3fcafc5 Binary files /dev/null and b/tests/712.bin differ diff --git a/tests/712.out b/tests/712.out new file mode 100644 index 0000000..dfba220 --- /dev/null +++ b/tests/712.out @@ -0,0 +1,32 @@ +REG 000000000000310F +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFCED8 +REG FDF80000000003FF +REG 000000000000DFFF +REG 0000000000000010 +REG FFFFFFFFFFFFC7B6 +REG 000000003BD60000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 00000000D6FD0000 +REG 0000000000000020 +REG 0000000000000000 +REG 000000000000E000 +REG 0000000000000000 +REG FFFFFFFFFFFF2000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000000000041 +REG 0000000000000000 +REG 000000001DE1FFFF +REG 000000002445F8F4 +REG FFFFFFFFFFFFCED8 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000888BF1E9 diff --git a/tests/713.bin b/tests/713.bin new file mode 100644 index 0000000..8b5777f Binary files /dev/null and b/tests/713.bin differ diff --git a/tests/713.out b/tests/713.out new file mode 100644 index 0000000..3a1fc26 --- /dev/null +++ b/tests/713.out @@ -0,0 +1,32 @@ +REG 0000000000000007 +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000100000006 +REG FFFFFFFFFFFFFFFF +REG 0000000050CB0000 +REG 0000000000000000 +REG 0000000000000004 +REG 000001D901C0519B +REG 0000000000000000 +REG FFFFFFFFFFFFFFFA +REG 0000000000000004 +REG FFFFFFFFFFFFBF9A +REG 0000000000000000 +REG 0000000000000000 +REG 00000602FFFFE148 +REG 0000000000000000 +REG 0000000000000003 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFE26FE3FAE66 +REG FFFFFFFFFFFFBF9A +REG FFFFFFFEFFFFBF00 +REG 000000000000055C +REG FFFFFFFFFFFFFFFF +REG 0000000108090894 diff --git a/tests/714.bin b/tests/714.bin new file mode 100644 index 0000000..5821dd7 Binary files /dev/null and b/tests/714.bin differ diff --git a/tests/714.out b/tests/714.out new file mode 100644 index 0000000..46f56b8 --- /dev/null +++ b/tests/714.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFE4 +REG 0000000000000000 +REG 0000001A0000001B +REG 0000000020000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFF1FF +REG 00000000000005FD +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFE0000000 +REG 0000000000000000 +REG 000000000000001A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFF00000000C175F +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFEC175FFFF +REG FEA22FCA66AA0000 +REG FFFFFFFF114EFFFF +REG FFFFFFFFE0000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000090910108 diff --git a/tests/715.bin b/tests/715.bin new file mode 100644 index 0000000..26d559b Binary files /dev/null and b/tests/715.bin differ diff --git a/tests/715.out b/tests/715.out new file mode 100644 index 0000000..288c074 --- /dev/null +++ b/tests/715.out @@ -0,0 +1,32 @@ +REG 00000000000025C6 +REG 0000000000000000 +REG 0007FFFFFFFFFFFE +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0007FFFFC0000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000003C2D +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFA701 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 0000000000002160 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 7FFFE1E9A0004943 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000003C2D +REG 0200000020000000 +REG 0007FFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040089E90 diff --git a/tests/716.bin b/tests/716.bin new file mode 100644 index 0000000..97c5098 Binary files /dev/null and b/tests/716.bin differ diff --git a/tests/716.out b/tests/716.out new file mode 100644 index 0000000..dd64f95 --- /dev/null +++ b/tests/716.out @@ -0,0 +1,32 @@ +REG 00000000617B0001 +REG 0000018633D24AF2 +REG 0000000040000000 +REG 0000000000000000 +REG 000000000000D90E +REG 0000000005D423FF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 00000000FA9C0002 +REG 0000000000000002 +REG 00000000000033E1 +REG 00000000FFFFFFFF +REG 00000000000033E2 +REG 0000000000000000 +REG 0000000000900000 +REG 0000000000000080 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFEF3 +REG 00000000000033E2 +REG C0000000003F0034 +REG C0000000003F0034 +REG FFFFFFFFFFFFBD0E +REG 0000000000000090 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 00000000000033E2 +REG 0000000000000002 +REG 0000013AB9C3DC00 +REG 0000000000000002 +REG 0000000000000090 +REG 000000008B1F601D diff --git a/tests/717.bin b/tests/717.bin new file mode 100644 index 0000000..fda687d Binary files /dev/null and b/tests/717.bin differ diff --git a/tests/717.out b/tests/717.out new file mode 100644 index 0000000..cc5f767 --- /dev/null +++ b/tests/717.out @@ -0,0 +1,32 @@ +REG 1EF80424F263BA45 +REG 000000000000002A +REG 0000000000000000 +REG 00000000DB000000 +REG 0000000000000000 +REG FFFFFFFFFF800000 +REG 0000000000000000 +REG 7FE0000000000000 +REG 000000000000002A +REG 07FFFFFFBD78FFFF +REG 0000000040000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG E0000000013CDFFF +REG FEFFFBDB5687F02A +REG 0000020025EC0000 +REG FFFFFFFFFFFFDFFF +REG 0000000000000017 +REG 0000000000000000 +REG 0000000000000000 +REG FFFF800000000000 +REG 00000424A9780FD5 +REG 000000000000002A +REG FFFFFFFFFFFF95BA +REG 7FE0000000000000 +REG 0000000000000017 +REG 0000000000000000 +REG 000000003F80002A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000108900000 diff --git a/tests/718.bin b/tests/718.bin new file mode 100644 index 0000000..084624d Binary files /dev/null and b/tests/718.bin differ diff --git a/tests/718.out b/tests/718.out new file mode 100644 index 0000000..9c53d18 --- /dev/null +++ b/tests/718.out @@ -0,0 +1,32 @@ +REG F54F1231781870BE +REG E8906D10E8906D10 +REG E8906D10B55C6D10 +REG 00000000000058E0 +REG FFFFF49FA8808515 +REG 00000B60577FFAFB +REG 000000002C018444 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG B00000020577FFAF +REG E8906D1129EF0529 +REG 0000000000000000 +REG 4A7BC14A40000044 +REG FFFFF49F80000115 +REG 0000000080820000 +REG 0000000000000000 +REG 906D10E898600000 +REG B5843EB5BFFFFFBC +REG 0AB0EDCE8BE7FFFD +REG 0000000000000001 +REG 0000000095520000 +REG 0000000000000044 +REG 0000000000000000 +REG B5843EB5C000018A +REG 000000002C0276FF +REG E8906D10E8986D12 +REG 0000000000080002 +REG 0000000000000008 +REG 0000000000080002 +REG E8906D10E890FD95 +REG E8906D1129EF0529 +REG 000000011E1F0882 diff --git a/tests/719.bin b/tests/719.bin new file mode 100644 index 0000000..19e1a5b Binary files /dev/null and b/tests/719.bin differ diff --git a/tests/719.out b/tests/719.out new file mode 100644 index 0000000..42fb1aa --- /dev/null +++ b/tests/719.out @@ -0,0 +1,32 @@ +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000017B90004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000A00000008 +REG 0000000000000000 +REG 0000000000000000 +REG FFFCF7FEFB3F14FA +REG 0003D7FF8A26B527 +REG FFFCF7FE8A270448 +REG FFFCF7FEFB3F14FA +REG FFFFFFFFC3F54449 +REG 00000000000042F7 +REG FFFFFFFFFFFF8C32 +REG FFFFFFFFFFFF8C32 +REG FFFFFFFF8A274449 +REG 0000000000000407 +REG FFFFFFFFFFFFBD09 +REG 000000000000001C +REG FFFCF7FE8A270448 +REG FFFFFFFF8A274449 +REG 30CBFFFE30CBFFFE +REG 000000007ABFFF1C +REG 0000000000000000 +REG FFFFF7FEDB0714FA +REG FFFFFFFFFFFFBD09 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000969E9910 diff --git a/tests/72.bin b/tests/72.bin new file mode 100644 index 0000000..802cd9a Binary files /dev/null and b/tests/72.bin differ diff --git a/tests/72.out b/tests/72.out new file mode 100644 index 0000000..9aeb3e1 --- /dev/null +++ b/tests/72.out @@ -0,0 +1,32 @@ +REG 11A4A587FA27A783 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 11A4A587FD24A5C7 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFD +REG 0000000000000020 +REG 0000000000000000 +REG 0000011A4A587FD2 +REG 0000003FFF800000 +REG 0000000000000005 +REG 0000000000000005 +REG 0000000000000000 +REG 0000000000000005 +REG 0000000000000000 +REG 0000000000000800 +REG 0000000000000005 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000003FFFB00000 +REG FFFFFFC0007FFFFA +REG 00000000000068A2 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000020000000 +REG 0000000040001040 diff --git a/tests/720.bin b/tests/720.bin new file mode 100644 index 0000000..65600b5 Binary files /dev/null and b/tests/720.bin differ diff --git a/tests/720.out b/tests/720.out new file mode 100644 index 0000000..a90fa15 --- /dev/null +++ b/tests/720.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000002FFFFB4F +REG FFFFFFFFFFFFFFFF +REG 7FFFFFFFFC000000 +REG FFFFFFFFFFFF1AD4 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFE323FFFE +REG 0000000000004C19 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000006206 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 400000004000014C +REG FFFFFFFFFFC7FFFF +REG 0000000000000020 +REG FFFE000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000002000 +REG 0000000000000001 +REG 0000000041E1E81E diff --git a/tests/721.bin b/tests/721.bin new file mode 100644 index 0000000..68668c7 Binary files /dev/null and b/tests/721.bin differ diff --git a/tests/721.out b/tests/721.out new file mode 100644 index 0000000..096e900 --- /dev/null +++ b/tests/721.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 000600000000001F +REG 0000000000000000 +REG 0808080808080808 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF8000001FC0 +REG FFF9FFFFFFFFFFE0 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000D5A0040 +REG 000000200000001F +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000600000000001F +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFF2A5FFC0 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000028B70000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000005E970880 diff --git a/tests/722.bin b/tests/722.bin new file mode 100644 index 0000000..023a33e Binary files /dev/null and b/tests/722.bin differ diff --git a/tests/722.out b/tests/722.out new file mode 100644 index 0000000..02bc1ee --- /dev/null +++ b/tests/722.out @@ -0,0 +1,32 @@ +REG FFFFFFFF53EB7592 +REG 00000000BCE30098 +REG 00000000A8830000 +REG 0000000000000000 +REG 0000000000000006 +REG 0000000000000068 +REG 0000000000000039 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000BCE388BD +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF431CFF67 +REG 00000000A8830000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000F9F0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000201C0C000000 +REG 0000000080911000 diff --git a/tests/723.bin b/tests/723.bin new file mode 100644 index 0000000..5cc2205 Binary files /dev/null and b/tests/723.bin differ diff --git a/tests/723.out b/tests/723.out new file mode 100644 index 0000000..3ed900e --- /dev/null +++ b/tests/723.out @@ -0,0 +1,32 @@ +REG FFFFFFFFDC17FFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000B66E +REG 000000000000C14B +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000000014E5 +REG 000000000000006D +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000014 +REG 000000000000006C +REG 0000000000003606 +REG 0002BDA7A347FFF8 +REG FFFFFDFF364EB34C +REG FFFFFFFFFFFF9AB1 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF6BC75A02 +REG 00000000000014E5 +REG FFFF80002BDA7A34 +REG 0000000000000000 +REG 0000000000007A34 +REG FFFFFFFFFFFFFFB5 +REG 0008FA0000000000 +REG 000000000000FE6F +REG 000000006B020000 +REG 0000000000000000 +REG 0000000100080080 diff --git a/tests/724.bin b/tests/724.bin new file mode 100644 index 0000000..82b18a7 Binary files /dev/null and b/tests/724.bin differ diff --git a/tests/724.out b/tests/724.out new file mode 100644 index 0000000..ff9b7e1 --- /dev/null +++ b/tests/724.out @@ -0,0 +1,32 @@ +REG 01FFFCC25FF711D6 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000D4420000 +REG 0000000000000040 +REG 0000000000000400 +REG 087C7128087C7128 +REG 0000002000007E2C +REG 0000200000000000 +REG 0000040000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 3500000035000000 +REG 0000000000000001 +REG 000000004008FEF0 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF21ECFFE0 +REG FA34031FFA34031F +REG 0000000000000000 +REG 000000200000001F +REG 0000000000000000 +REG 0000000000000001 +REG 00000000DE13001F +REG 087C7128087C7127 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFF9843 +REG 0000000000040000 +REG 0000000000000001 +REG 000000008011FDE0 diff --git a/tests/725.bin b/tests/725.bin new file mode 100644 index 0000000..431ca18 Binary files /dev/null and b/tests/725.bin differ diff --git a/tests/725.out b/tests/725.out new file mode 100644 index 0000000..a15cf01 --- /dev/null +++ b/tests/725.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFE1 +REG FFFFFFFFFFFC824C +REG 0000000000000000 +REG 000000000000754C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000005 +REG 0000000000000000 +REG FFFFFFFFFFFFFFD0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF616BFFE2 +REG FFFFFFFFFFFF5A1C +REG FFFFFFFFFFFC0D00 +REG 0000000000000000 +REG FFFFFFFFFFFF3A7E +REG FFFFFFFFF1BDFF7F +REG 0000000000000000 +REG CFFFFFFFFFFFFFF8 +REG 00000000DA190000 +REG 000000000E420080 +REG D000000000007544 +REG 0000000000000020 +REG 0000000000000000 +REG 00000000000000DC +REG FFFFFFFFFFFFFFE0 +REG FFFFFFFFFFFC052E +REG FFFFFFFFFFFFFFD0 +REG 000000000000EA98 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000101E90009 diff --git a/tests/726.bin b/tests/726.bin new file mode 100644 index 0000000..4479756 Binary files /dev/null and b/tests/726.bin differ diff --git a/tests/726.out b/tests/726.out new file mode 100644 index 0000000..6959590 --- /dev/null +++ b/tests/726.out @@ -0,0 +1,32 @@ +REG 00000000007F3C31 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 05071A0305071A03 +REG F4FA800405071A03 +REG BFB2CE5FBFB2FE5F +REG 0000000000000000 +REG FFFFFFFFFFFFFFEF +REG 84080A0E3407E9F5 +REG 0D11A1339FE219B6 +REG 0000000000000000 +REG FFFFFFFF8257FFEF +REG FFFFFFFFFFFFC000 +REG 0000000000000000 +REG FFFFFFFFBFB7FE5F +REG 0000000000000000 +REG 0000000000004000 +REG 0000000000000000 +REG 0000000000000000 +REG 7BF7F5F1CBF7F659 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 000000000000002E +REG 000000000000221A +REG 0000000000000000 +REG FFFFFFFFFFFFFFD1 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 84080A0E3407E9F5 +REG 000000011E06000C diff --git a/tests/727.bin b/tests/727.bin new file mode 100644 index 0000000..53b186f Binary files /dev/null and b/tests/727.bin differ diff --git a/tests/727.out b/tests/727.out new file mode 100644 index 0000000..9d2a8fa --- /dev/null +++ b/tests/727.out @@ -0,0 +1,32 @@ +REG 000000FF7C56000B +REG 0000000000000000 +REG 0000000000000000 +REG 00000000003FFFC0 +REG DEE97C25A65676F8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000006 +REG FFFFFFFFE8000000 +REG 0000000044204404 +REG 0000000000000000 +REG 9FFFFFFF00000000 +REG 0000000098530000 +REG 0000000000000000 +REG 0000000000006BFF +REG 0000000000006840 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG FFFFFF0083A9FF00 +REG FFFFFF0083A9FF00 +REG 0000000000000000 +REG FFFFFFFFFFFFC18A +REG 0000000000000000 +REG 0000000098530000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000006BBF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFED5D +REG 0000000108408808 diff --git a/tests/728.bin b/tests/728.bin new file mode 100644 index 0000000..e2cf013 Binary files /dev/null and b/tests/728.bin differ diff --git a/tests/728.out b/tests/728.out new file mode 100644 index 0000000..b89a425 --- /dev/null +++ b/tests/728.out @@ -0,0 +1,32 @@ +REG 0000000000000020 +REG 07740000001FFFFB +REG FFFFFFFFFFFFFFFF +REG 03FFFFFFFB0777FF +REG FFFFFFFFFFF00000 +REG FFFFFFFFFEA40EE0 +REG FFFFFFFFFFFF60EE +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000001FFFFFFFFF +REG F80056FC483FFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000006DCC +REG FFFFFFFFFFFEC1DD +REG 0000002000000020 +REG 3EFF80003EFF8000 +REG 0000000000000020 +REG 0000002000000010 +REG 00000000015B2660 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFB3B8 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000001 +REG 00000000015BF11F +REG 0000000109F49F15 diff --git a/tests/729.bin b/tests/729.bin new file mode 100644 index 0000000..036c2a5 Binary files /dev/null and b/tests/729.bin differ diff --git a/tests/729.out b/tests/729.out new file mode 100644 index 0000000..9e3b9aa --- /dev/null +++ b/tests/729.out @@ -0,0 +1,32 @@ +REG 5B522C495AF57392 +REG A1AFD3B6A00FD3B6 +REG 8ACFFFFF8AD0001F +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFB906 +REG 75300000752FFFE0 +REG 0000000000000036 +REG 0000000000000000 +REG 0000000000000200 +REG 00000000000046FA +REG 0000000061BF0000 +REG 000000008AD00000 +REG 000000008AD00000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000000046FA +REG 0808080808080808 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000000008DF4 +REG A1AFD3B6A00FD3B6 +REG FFFFFFFFFFFFA821 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000621C +REG 0000000082058F84 +REG FFFFFFFFFFFFE4EA +REG 0000000000000005 +REG 00000000450B1F09 diff --git a/tests/73.bin b/tests/73.bin new file mode 100644 index 0000000..05f8f85 Binary files /dev/null and b/tests/73.bin differ diff --git a/tests/73.out b/tests/73.out new file mode 100644 index 0000000..3b4cf55 --- /dev/null +++ b/tests/73.out @@ -0,0 +1,32 @@ +REG 000000FFFFFFF5EE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG F00000000000007F +REG 0000000000003FFF +REG 0000000000000000 +REG 0000000000000021 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000000000021 +REG 0000000000000020 +REG 0000000000000000 +REG 000000000000000B +REG 0000000000000006 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000000B +REG FFFFFFFFFFFFFFFF +REG F00000000000007F +REG 0000000000000000 +REG 000000000000001A +REG 000000000D4BFE40 +REG 0000000000000000 +REG FFFFFFFFF2B401C0 +REG 0000000080000000 diff --git a/tests/730.bin b/tests/730.bin new file mode 100644 index 0000000..79b1775 Binary files /dev/null and b/tests/730.bin differ diff --git a/tests/730.out b/tests/730.out new file mode 100644 index 0000000..baa24a2 --- /dev/null +++ b/tests/730.out @@ -0,0 +1,32 @@ +REG FFFFFFFF5D0EFFDF +REG 0000000000000001 +REG 0000000000000001 +REG 0000000000008649 +REG 0000000000000040 +REG 0000000000006B81 +REG 0003A0000003AA00 +REG FFFFFFFFFFFFFFFF +REG 000000000000001A +REG 0000000000000032 +REG 0000000000000020 +REG FFFFFFFFFFFF8119 +REG E19240001FFFFFFF +REG E19240001FFFFFFF +REG 00000000A2F10000 +REG 0000000000000000 +REG 0000000000100000 +REG FFFFFFFFFFFFFFFF +REG 4800043248004489 +REG 0000000000000020 +REG 0000000005100032 +REG 0000000000000000 +REG FFFFFFFFFFFF8649 +REG 0000000000000000 +REG 0000000005100032 +REG 0003A0000003AA00 +REG 0000000000004000 +REG E192400020000A99 +REG 0000000000000000 +REG 0000000000000000 +REG 000013A002920000 +REG 0000000010004901 diff --git a/tests/731.bin b/tests/731.bin new file mode 100644 index 0000000..546dd70 Binary files /dev/null and b/tests/731.bin differ diff --git a/tests/731.out b/tests/731.out new file mode 100644 index 0000000..efd32f9 --- /dev/null +++ b/tests/731.out @@ -0,0 +1,32 @@ +REG 000000001C47800B +REG FFFFFFFFFFFFFFFA +REG 000000001C478000 +REG 0000000000000000 +REG 0000500000000000 +REG FFFFFFFFFFFFFFE5 +REG 00000000459F2D2B +REG 0000000000000000 +REG 000000000000001F +REG FFFFFFFFE8C100C0 +REG FFFFFFFFFFFFFFBF +REG 0000000000040000 +REG 0000000000000005 +REG 0000000080000000 +REG FFFFFFFE459F2D2B +REG FFFFFFFFFFFFFFFA +REG 0000000000000000 +REG 0000000000000005 +REG FFFFFFFFFFFFE8D0 +REG FFFFFFFFFFFFFFE0 +REG FFFFF80000000000 +REG 0000000000000000 +REG 00000000459F2D2B +REG FFFFFFFFFFFFFFE0 +REG 0808080808080403 +REG 0000002D2B000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000001B +REG 0000000000000001 +REG 0000000000000020 +REG 0000000000000220 +REG 00000000820901E2 diff --git a/tests/732.bin b/tests/732.bin new file mode 100644 index 0000000..3cfac6a Binary files /dev/null and b/tests/732.bin differ diff --git a/tests/732.out b/tests/732.out new file mode 100644 index 0000000..e8a3420 --- /dev/null +++ b/tests/732.out @@ -0,0 +1,32 @@ +REG FFFFFFFF137BFFD0 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFEF847 +REG FFC0000000000FFF +REG 000003FFCAB90000 +REG 000000CC1102FFFF +REG 0000000080000000 +REG 0000000000000020 +REG FFFFFC0035470000 +REG 54FFFFFFFFFFFFFF +REG FFFFFFFF77FBFBFF +REG 0000000000000010 +REG FFFFFFFFFFFFE37D +REG 0000000000000000 +REG 00000000EC840000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000020 +REG 0000000000000000 +REG 0000000008000000 +REG FFFFFF33AE790000 +REG 0000000000000005 +REG 0000000000000000 +REG 0000000088040400 +REG FFFFFF33AE790000 +REG 0029E63E2DAFCB70 +REG 0000000000000000 +REG 0000000108000000 diff --git a/tests/733.bin b/tests/733.bin new file mode 100644 index 0000000..291e62d Binary files /dev/null and b/tests/733.bin differ diff --git a/tests/733.out b/tests/733.out new file mode 100644 index 0000000..05b9a90 --- /dev/null +++ b/tests/733.out @@ -0,0 +1,32 @@ +REG A554FFFF98BFFFE2 +REG 000000006743001D +REG FFFFFFFFE9553FFF +REG 000000003CD60000 +REG 000000001539FFC9 +REG FFFFFFFFFFFFA743 +REG 0000000000000000 +REG FFFFFFFFFEBFFFFF +REG 000B556000000060 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFAC +REG FFFFFFFFFFFFE9F9 +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG 0000000016AAC000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000001539FF88 +REG 0000000100000001 +REG 0000000000000000 +REG 0000000000000000 +REG 000000001539FFC9 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040810003 diff --git a/tests/734.bin b/tests/734.bin new file mode 100644 index 0000000..5b77199 Binary files /dev/null and b/tests/734.bin differ diff --git a/tests/734.out b/tests/734.out new file mode 100644 index 0000000..e54b1f8 --- /dev/null +++ b/tests/734.out @@ -0,0 +1,32 @@ +REG FFFFFFFFD7F0FFD0 +REG 0000000035660000 +REG FA3080FFF0000000 +REG 0000000000000000 +REG 0000000000000002 +REG 000000000000000A +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003B +REG 0000000000000000 +REG FFFFFFFFFFFFE3E6 +REG 0000024A90000000 +REG 0000000000000000 +REG FFFFFFFFA3085127 +REG FFFFFFFFA3080FFF +REG 0000000000000019 +REG 0000000000000000 +REG 000000000000001B +REG 0000000000000000 +REG 0000001B0000001C +REG FFFFFFF8DA000000 +REG 0000000000000000 +REG FA3080FFF0000000 +REG FA3080FFF0001000 +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000726000000 +REG 0000000000001000 +REG 000000000000001F +REG FFFFFFFFFFFFFFC0 +REG 00000001301E0008 diff --git a/tests/735.bin b/tests/735.bin new file mode 100644 index 0000000..9706d33 Binary files /dev/null and b/tests/735.bin differ diff --git a/tests/735.out b/tests/735.out new file mode 100644 index 0000000..30d44a7 --- /dev/null +++ b/tests/735.out @@ -0,0 +1,32 @@ +REG 80333FFFFFFF8601 +REG 0000000000000011 +REG 0000000000000003 +REG FFFFFFFFFFFFFFE2 +REG FFFFFFFFFFFFFFC4 +REG 0000000000000000 +REG FFFFFFFFB607FFFF +REG FFFFFFFFFFFFFFE2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000002136 +REG 0000000000000000 +REG 000000004D800000 +REG 0000000048800002 +REG 0000000048800002 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001D +REG 0000000000000001 +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFF79BFFE3 +REG 0000000000000B7F +REG 00667E66002EA522 +REG 000000004D800000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000010F3101C6 diff --git a/tests/736.bin b/tests/736.bin new file mode 100644 index 0000000..ec51bf6 Binary files /dev/null and b/tests/736.bin differ diff --git a/tests/736.out b/tests/736.out new file mode 100644 index 0000000..970c558 --- /dev/null +++ b/tests/736.out @@ -0,0 +1,32 @@ +REG FFFFFFC8A84D0F0A +REG FFFFFFFFFFFFFFFF +REG 0000000020000000 +REG FFFFFFFFFFFFD6EF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFF7FF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000002910 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000C490 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFEB +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFD6EE +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000002910 +REG FFFFFFFFFC0003FF +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFC0 +REG 000000011001089E diff --git a/tests/737.bin b/tests/737.bin new file mode 100644 index 0000000..f9f0332 Binary files /dev/null and b/tests/737.bin differ diff --git a/tests/737.out b/tests/737.out new file mode 100644 index 0000000..61a758a --- /dev/null +++ b/tests/737.out @@ -0,0 +1,32 @@ +REG 000000008B44A898 +REG FFFFFFFFFFFFF3EE +REG FFFFF1F8F7F812F8 +REG FFFFFFFFFFFFFFEF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFF47E +REG 0150C32F0150C32F +REG 0000000000000000 +REG 0150C32F0150C32F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000008B442887 +REG 0000058100000581 +REG FFFFFFFFFFFFFFFE +REG 0000000016B80000 +REG 00000E070807ED07 +REG FFFFFFFFFFFFF3EE +REG 0000000000000000 +REG 0000000000000001 +REG 001DE2D2001DE2D2 +REG 0000000000000000 +REG 000000008B442887 +REG 0000000016B7AC86 +REG FFFFF1F8F7F812F8 +REG FFFFFFFF00000000 +REG FFFFF1F8F7F812F8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000057084910 diff --git a/tests/738.bin b/tests/738.bin new file mode 100644 index 0000000..52099ca Binary files /dev/null and b/tests/738.bin differ diff --git a/tests/738.out b/tests/738.out new file mode 100644 index 0000000..442f4f0 --- /dev/null +++ b/tests/738.out @@ -0,0 +1,32 @@ +REG EC0000005523F696 +REG 0000000000000000 +REG FFFFFFFFFFFF0902 +REG 1000000010000000 +REG 0000000000004B45 +REG FFFFFFFFD02D8977 +REG 000000002FD27688 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000004F8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 1000000090420000 +REG FFFFFFFFFFFFE500 +REG 00000000012022C0 +REG 0000000000000000 +REG FFFFFFFF3623FFFF +REG 0000000000000000 +REG 0000000000000000 +REG 1000000010000000 +REG 00000000280B0000 +REG 0000000000000000 +REG FFFFFFFFFFFF0902 +REG 0004F80000000000 +REG 0000000000000000 +REG 000000000004808B +REG 0000000000009ECA +REG 0000000000000000 +REG 0000004FCA00004F +REG 0000000000000000 +REG 000000005E090080 diff --git a/tests/739.bin b/tests/739.bin new file mode 100644 index 0000000..447de6b Binary files /dev/null and b/tests/739.bin differ diff --git a/tests/739.out b/tests/739.out new file mode 100644 index 0000000..aeb878d --- /dev/null +++ b/tests/739.out @@ -0,0 +1,32 @@ +REG FFFFFFFE7244F69D +REG FFFFFFFFFFFFFE00 +REG 0021FFFECB9EB5C3 +REG 0000000000000000 +REG 000101010100E0D2 +REG F7F7F7F7F8F96D00 +REG 08080808070692E7 +REG 0000000000400000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFBFBFBFBFC7CB6 +REG FFFFFFFFFB0D0000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFE5 +REG 0000000000000019 +REG 27272727424E81A3 +REG FFFFFFFFFFFFFF01 +REG 000000000000000F +REG 0000000000000003 +REG 0000000000000040 +REG 000000000000003F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG C000000000000F75 +REG 0000000000000001 +REG 0000000000000001 +REG 00000000804684E7 +REG 0021FFFF20FAB5C3 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000050900081 diff --git a/tests/74.bin b/tests/74.bin new file mode 100644 index 0000000..41b4f8e Binary files /dev/null and b/tests/74.bin differ diff --git a/tests/74.out b/tests/74.out new file mode 100644 index 0000000..ced7dca --- /dev/null +++ b/tests/74.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFDF49 +REG FFFFFFFFFFFFFFFF +REG 0000000200000000 +REG FFFFFFFFFFFF0790 +REG 0012088000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF834E +REG 000000000000004F +REG 0000000000000000 +REG C0000013C0000003 +REG 0200000001010306 +REG 000000000000D06C +REG 8C41070000000000 +REG C000000000000000 +REG F3BEF8FFFFFFFFFF +REG 009000135000A5C4 +REG 0000000007320000 +REG FFFFFFFFFFFFFFFF +REG 3FFFFFFFFFFFFFFE +REG 3FFFFFFFFDFD7330 +REG C000000000015D39 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG C000000000008CCD +REG FFFFFFFFFDFDFFFD +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000004822 +REG 00000000E33AFF32 +REG C000000002028CCF +REG 0000000110500111 diff --git a/tests/740.bin b/tests/740.bin new file mode 100644 index 0000000..524a455 Binary files /dev/null and b/tests/740.bin differ diff --git a/tests/740.out b/tests/740.out new file mode 100644 index 0000000..715e114 --- /dev/null +++ b/tests/740.out @@ -0,0 +1,32 @@ +REG FFFFF8204FCFFFDE +REG 03FFFFFFC0A0DC00 +REG 0000000000000046 +REG 0000000000000000 +REG 000000000000096E +REG FFFFF8000000FFE0 +REG 0000000000000046 +REG 0000000080A00000 +REG 0000016700000007 +REG 0000000000000000 +REG 0000000000000040 +REG 000001E000000167 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080800002 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000016700000007 +REG C99C7FA721948400 +REG FFFFFFFFBFFFFFBF +REG FFFFFFFFFC20001E +REG 0000000080800002 +REG 0000000000007FF3 +REG 0000000000000000 +REG FFFFFFFFC0000000 +REG FFFFFE98FFFFFFF8 +REG 0000000000000040 +REG 000007E030D00022 +REG 0000000081000004 diff --git a/tests/741.bin b/tests/741.bin new file mode 100644 index 0000000..de9cd86 Binary files /dev/null and b/tests/741.bin differ diff --git a/tests/741.out b/tests/741.out new file mode 100644 index 0000000..15d1c4d --- /dev/null +++ b/tests/741.out @@ -0,0 +1,32 @@ +REG F0B434E6277E34FC +REG 000000000000003A +REG 0000000000000000 +REG 0000000000000000 +REG 000000000FFFF000 +REG FFFFFFFFFFFFFFC5 +REG FFFFFFFFFFFFFFFF +REG B22A0005B7FE0005 +REG FFFFFFFFFFFFFFDD +REG FFFC5FFFFFFE6000 +REG 0000000000080000 +REG 0000000000000020 +REG 0800000038000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 000003FFFE2FFFFF +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFF74007FFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000004 +REG 0000000000000000 +REG 000000000000039B +REG 000000000000001F +REG 000000009010F110 diff --git a/tests/742.bin b/tests/742.bin new file mode 100644 index 0000000..1b8be4e Binary files /dev/null and b/tests/742.bin differ diff --git a/tests/742.out b/tests/742.out new file mode 100644 index 0000000..5341c3b --- /dev/null +++ b/tests/742.out @@ -0,0 +1,32 @@ +REG 7FFFFFFFFFFF893B +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000019 +REG 0000000000000000 +REG 0000000000000022 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000600000006 +REG 0000000080000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFC49DBFFFE0000 +REG 000000000000003F +REG 000000007FFFFFC0 +REG 0000000000000040 +REG 0000000000000000 +REG 80000000000076C4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000019 +REG 0000000100000001 +REG FFFFFFFFFFFFFFE7 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF7FFFFFE7 +REG FDFFFFF8807FFFFF +REG 0000000000000022 +REG FFFFFFFFFFFFFFFF +REG 00000000490089FC diff --git a/tests/743.bin b/tests/743.bin new file mode 100644 index 0000000..41861fc Binary files /dev/null and b/tests/743.bin differ diff --git a/tests/743.out b/tests/743.out new file mode 100644 index 0000000..4994a58 --- /dev/null +++ b/tests/743.out @@ -0,0 +1,32 @@ +REG 0000026509941264 +REG 1294966509949665 +REG FFFFFFFFFFFFFFFF +REG 0000000000002281 +REG 00007FFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFD0D40000FF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFB +REG FFFFFFFFFFFFFFB1 +REG 2DC4EF35ECD75A24 +REG 0000000000000004 +REG 00000000D6DB0000 +REG 00000265099452EF +REG FFFEFAFAEBD8AFD0 +REG 0000000000000000 +REG 000010CC00003709 +REG 00000000D6DB0000 +REG 0000000000000020 +REG 0000000000000004 +REG 000000000000006D +REG 0000000000000000 +REG 0000000000000000 +REG 0000370800003708 +REG 0000000000000000 +REG D23B2CCA1328A5DC +REG 1294966509949665 +REG 0000000000000000 +REG 0000026509944D16 +REG 2DC4D335ECD7CA4E +REG 0000000058A80842 diff --git a/tests/744.bin b/tests/744.bin new file mode 100644 index 0000000..93149bc Binary files /dev/null and b/tests/744.bin differ diff --git a/tests/744.out b/tests/744.out new file mode 100644 index 0000000..77ba203 --- /dev/null +++ b/tests/744.out @@ -0,0 +1,32 @@ +REG 000000000000F2D7 +REG 000000000000577B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000020 +REG 00000000000077BA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000003777B +REG 0000000040000000 +REG 0000000000000001 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000043F7E +REG 000000000003777B +REG FFFFFFFFFFFFFFFF +REG 0000000000000018 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000021 +REG 000000000000001A +REG 0000000000000000 +REG 0000000000000019 +REG 000000000000577B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000302 +REG 0000000000000000 +REG 0000000090005108 diff --git a/tests/745.bin b/tests/745.bin new file mode 100644 index 0000000..11b80ba Binary files /dev/null and b/tests/745.bin differ diff --git a/tests/745.out b/tests/745.out new file mode 100644 index 0000000..049540e --- /dev/null +++ b/tests/745.out @@ -0,0 +1,32 @@ +REG 0000000000003283 +REG 0000000000003283 +REG 011D500020AF0000 +REG 0000000000003B2F +REG 0000000000000000 +REG 00000CA0A6BB8A53 +REG 0000000000000000 +REG 0000000000003B2F +REG 0000000000000000 +REG 0000000020CEC975 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000003FFF7FF2 +REG FFFFFFFFFFFFC975 +REG 000000003FFF7FF1 +REG FFFFFFFFC00066D9 +REG 0000000000000000 +REG 00000CA0A6BBBEDF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000003B2F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000022 +REG FFFF800000000000 +REG FFFFFFFFFFFFFF83 +REG 0000000000003283 +REG FFFFFFFFFFE0368A +REG 0000000000000000 +REG 0000000000000000 +REG 000000009E9E5E84 diff --git a/tests/746.bin b/tests/746.bin new file mode 100644 index 0000000..6ab7bda Binary files /dev/null and b/tests/746.bin differ diff --git a/tests/746.out b/tests/746.out new file mode 100644 index 0000000..8fa5c87 --- /dev/null +++ b/tests/746.out @@ -0,0 +1,32 @@ +REG FFFFFF80FFFFFF80 +REG 0000000000000000 +REG 000000F7FFFFFFFF +REG 0000000000000000 +REG 04000000000000E9 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000008BD00000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 001FFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG F000000000000000 +REG FFFFFFFFFFFFFFBB +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG 04000000AA1000E9 +REG 0000000000000000 +REG FFFFFFFFFFFFB8F4 +REG 0100000000000000 +REG 7FFFFF700FFFFFFF +REG 0000000090000108 diff --git a/tests/747.bin b/tests/747.bin new file mode 100644 index 0000000..7a6a053 Binary files /dev/null and b/tests/747.bin differ diff --git a/tests/747.out b/tests/747.out new file mode 100644 index 0000000..ff64ee6 --- /dev/null +++ b/tests/747.out @@ -0,0 +1,32 @@ +REG FFFFFFFF491C1FFE +REG 0000000076F7AC05 +REG 0000000000000000 +REG 0000000000000000 +REG 0007FFC00C00754D +REG 007000000002515C +REG 0000000000000004 +REG 001C000000009457 +REG FFFFFFFFFFFFFFFF +REG 7FFC000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000BF030000 +REG C800000000000000 +REG 0000000000000000 +REG 00000000F8D00000 +REG FFFFFFFFFFFF6257 +REG 000000200000001C +REG 0007FFC000AC0000 +REG 00000000244F44F4 +REG 00000000128AE000 +REG 00000000533891FE +REG 0007FFC000AC0000 +REG 3800000001000000 +REG 0000000000000000 +REG 0000000000000000 +REG 559FFFFEE3B020E8 +REG C8000000234F44F3 +REG 00000000889E89E8 diff --git a/tests/748.bin b/tests/748.bin new file mode 100644 index 0000000..28b2d51 Binary files /dev/null and b/tests/748.bin differ diff --git a/tests/748.out b/tests/748.out new file mode 100644 index 0000000..60e73b1 --- /dev/null +++ b/tests/748.out @@ -0,0 +1,32 @@ +REG FFFFF000FFE92B10 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000039 +REG F945DE95ECF15D9F +REG 000000000000002D +REG 0000000000000000 +REG FFFFFFFFFBFC0000 +REG 0000000000000000 +REG FFFFF000FFC00000 +REG 0000000000005903 +REG 0000000000000000 +REG 0DB71F2200F71F64 +REG 000002A080000000 +REG F945DE95ECF15D9F +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000069F000 +REG FFFFFFFFFFFFFFFF +REG 0000000000002A08 +REG 000000006C80009C +REG FFFC00000000000F +REG 000272E800000000 +REG 0000000000000000 +REG FFFFFFFFFBFC0000 +REG FFFFFFFFFFFFAF64 +REG FFFFFFFFFFFFDED0 +REG FFF3BF4007FFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000100888890 diff --git a/tests/749.bin b/tests/749.bin new file mode 100644 index 0000000..51083aa Binary files /dev/null and b/tests/749.bin differ diff --git a/tests/749.out b/tests/749.out new file mode 100644 index 0000000..8c1542a --- /dev/null +++ b/tests/749.out @@ -0,0 +1,32 @@ +REG 0000000000000040 +REG 0000007800000018 +REG 0050FE1E00000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000080000000 +REG 0000000000000000 +REG 03C0000000000000 +REG 000143F878000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000078000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000143F878000000 +REG 0000000078000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 00000000000073F0 +REG 0000000000000000 +REG 000004BEE3C20000 +REG 0000000000000000 +REG 0000000078000000 +REG 03BFE88903BFE889 +REG 0000000000000031 +REG 0000000000000000 +REG 0000000000000040 +REG 00000000000001E0 +REG 0000000007FFCDF3 +REG 0000001FFFFFFEE1 +REG 0000000088089008 diff --git a/tests/75.bin b/tests/75.bin new file mode 100644 index 0000000..0d51e41 Binary files /dev/null and b/tests/75.bin differ diff --git a/tests/75.out b/tests/75.out new file mode 100644 index 0000000..a1c56d4 --- /dev/null +++ b/tests/75.out @@ -0,0 +1,32 @@ +REG C07FFFFFFFFFE4FB +REG 0000000000000000 +REG 000000000000728F +REG FFFFFFFFFFDCFFFF +REG 0000000000000020 +REG 451862C784E82B63 +REG 00000410FE30FFE0 +REG 0000000000000000 +REG 0000000091200748 +REG 0000000000000000 +REG 0000000000000011 +REG 0000000000000000 +REG 00000410FA330000 +REG 0000000091200729 +REG 00000000000060F3 +REG 0000000000000000 +REG 0000000000000000 +REG 0000065CBE177CB1 +REG 9120074891200048 +REG 0000001900000000 +REG F5A5D2A8B0418800 +REG 0000000000000019 +REG 0000065CBE17CF95 +REG 0000000000000000 +REG 4801D2644801D200 +REG 00000000B7E80749 +REG 0000000000230020 +REG 00000410FE540000 +REG 0000000091200749 +REG 0000000000000000 +REG 00000410FE540000 +REG 00000000800E1E11 diff --git a/tests/750.bin b/tests/750.bin new file mode 100644 index 0000000..45a7bb3 Binary files /dev/null and b/tests/750.bin differ diff --git a/tests/750.out b/tests/750.out new file mode 100644 index 0000000..2955fa1 --- /dev/null +++ b/tests/750.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000000000 +REG D044000000001FBF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000011 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000027AD155A +REG 0000000000000000 +REG 0000000000001EEB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFC000000000000 +REG 0000000000000000 +REG 0000000027ADB411 +REG FFFBFFFFFFFFB411 +REG 0000000000000000 +REG 0000000000000000 +REG FB8FFFFFFCB7C498 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG D044000000001EB6 +REG 0000000000000000 +REG 0000000000000075 +REG 00000000411FE11F diff --git a/tests/751.bin b/tests/751.bin new file mode 100644 index 0000000..1ce526a Binary files /dev/null and b/tests/751.bin differ diff --git a/tests/751.out b/tests/751.out new file mode 100644 index 0000000..7de38a5 --- /dev/null +++ b/tests/751.out @@ -0,0 +1,32 @@ +REG 000000000000333D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 000000008C690000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000DFD3B8 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000DFD3B8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG C000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000100001108 diff --git a/tests/752.bin b/tests/752.bin new file mode 100644 index 0000000..840cc7a Binary files /dev/null and b/tests/752.bin differ diff --git a/tests/752.out b/tests/752.out new file mode 100644 index 0000000..f066f20 --- /dev/null +++ b/tests/752.out @@ -0,0 +1,32 @@ +REG 000088000000005E +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000FFEFAF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000400000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000002F2749467 +REG 0000000028990002 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 000000002899F862 +REG 0000000000000000 +REG 8080440880800008 +REG FFFFFFFFFFFFFFFF +REG 0000000000FFEFAF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000010 +REG 000000008001FFE0 diff --git a/tests/753.bin b/tests/753.bin new file mode 100644 index 0000000..824b94d Binary files /dev/null and b/tests/753.bin differ diff --git a/tests/753.out b/tests/753.out new file mode 100644 index 0000000..1bdb596 --- /dev/null +++ b/tests/753.out @@ -0,0 +1,32 @@ +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFE00000007A8A8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000627D +REG FFFE00000007A8A8 +REG 0001FFFFFFF85757 +REG 0000000000000000 +REG FFFFFFFFFF8007FF +REG FFFFFFFFFFFFFFFF +REG 000000000007FFFE +REG FFFE00000007FFFF +REG 0000000000000000 +REG 0000000000000019 +REG 0000000000000720 +REG 00000000CAAD000D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000080100400 diff --git a/tests/754.bin b/tests/754.bin new file mode 100644 index 0000000..59cfc70 Binary files /dev/null and b/tests/754.bin differ diff --git a/tests/754.out b/tests/754.out new file mode 100644 index 0000000..47b9e26 --- /dev/null +++ b/tests/754.out @@ -0,0 +1,32 @@ +REG FFFFFFE00000754B +REG 00000000389D0000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFB6CDBCFF0001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFF0000FFFF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFB6CDBCFF0000 +REG FFFFFFFFFFFFFFFF +REG 002FFFFF0020007F +REG 0000000000000000 +REG 1400000000000000 +REG F53FB9EE8D4B4D7B +REG 0000000000000040 +REG 0000002000000000 +REG 000000000000000A +REG 0000000000000000 +REG FFFFFFFF0000FFFF +REG 0000000000000000 +REG 000000000BFFFFF2 +REG 000000004000BEA0 diff --git a/tests/755.bin b/tests/755.bin new file mode 100644 index 0000000..9aa6bdd Binary files /dev/null and b/tests/755.bin differ diff --git a/tests/755.out b/tests/755.out new file mode 100644 index 0000000..b883ddd --- /dev/null +++ b/tests/755.out @@ -0,0 +1,32 @@ +REG FFFFFFFFF96FFFF5 +REG 000000070000258B +REG 0000000000000021 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000006900002 +REG 0000000000000000 +REG 0000000000000000 +REG FC7E77A4113309E8 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000088C45208 +REG 0000000000000020 +REG FFFF9DD6FFFC0006 +REG FFFFFFFFF96FFFFD +REG 1000000010007F00 +REG 0000000088C4520A +REG 000000000000003A +REG 73FF9DD788C0520E +REG 0236AD127713ACD8 +REG FDC952ED88EC5328 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003A +REG 0000000000000007 +REG 0000000000000000 +REG 0000000040000000 +REG FFFFFFFFF96FFFFD +REG FFFFFFFFF96FFFFD +REG 0000000000000001 +REG 0000000000000000 +REG 000000004018C608 diff --git a/tests/756.bin b/tests/756.bin new file mode 100644 index 0000000..9a2a3ee Binary files /dev/null and b/tests/756.bin differ diff --git a/tests/756.out b/tests/756.out new file mode 100644 index 0000000..a853870 --- /dev/null +++ b/tests/756.out @@ -0,0 +1,32 @@ +REG 0000010000009B09 +REG 000000002FF40000 +REG 0000000000000003 +REG 0000000000000000 +REG 0000000000001FFF +REG 00000000D19A001F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG 2D1FFFFFFFFFFFE0 +REG 0000000000000000 +REG 000000000000DA0C +REG FFFFFFFFFFFFFFE0 +REG D2E0000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000040006CB00000 +REG FFFFFEFFFFFFBEFC +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFE1BFFFFF +REG FFF7DC1FFFC00000 +REG CFFFFFFFCFFFFFFF +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFE3 +REG FFFFFFFFFFC00FFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000DA0C +REG 0000000089E88108 diff --git a/tests/757.bin b/tests/757.bin new file mode 100644 index 0000000..71bb72a Binary files /dev/null and b/tests/757.bin differ diff --git a/tests/757.out b/tests/757.out new file mode 100644 index 0000000..bc9449c --- /dev/null +++ b/tests/757.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFF7CCC10 +REG 0000000C0000000C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000013 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000007074 +REG FFFFFFFFFFFFFFFB +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFFB +REG 0000000000001520 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000023 +REG FFFFFFFFFFFFFFFE +REG 000000001FFFFBFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFBFF +REG 0000000000000030 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000048111E88 diff --git a/tests/758.bin b/tests/758.bin new file mode 100644 index 0000000..1e2394b Binary files /dev/null and b/tests/758.bin differ diff --git a/tests/758.out b/tests/758.out new file mode 100644 index 0000000..3b01726 --- /dev/null +++ b/tests/758.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF36AC +REG 0000000000000000 +REG 000000002729CDAB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000007FFFCDAB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFDFFFFFFFCF7FF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000000F7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF08 +REG 0000000000000AAB +REG 0000000000000000 +REG 0000000040100908 diff --git a/tests/759.bin b/tests/759.bin new file mode 100644 index 0000000..c4a51ef Binary files /dev/null and b/tests/759.bin differ diff --git a/tests/759.out b/tests/759.out new file mode 100644 index 0000000..2c044e0 --- /dev/null +++ b/tests/759.out @@ -0,0 +1,32 @@ +REG FFFFFFFFC8BF7230 +REG 000000000000001E +REG FFFFFFFFFFFBFE5F +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFAD12 +REG 0000000040884808 +REG 00000000000044A2 +REG 0000000000000000 +REG 000000007FFFFFC0 +REG FFFEFFFFFFFF7230 +REG 0000000000000000 +REG 0000000052650000 +REG 0000000000000000 +REG 0000000000000000 +REG 3280007FFFE00029 +REG 0000000000000000 +REG 09FFFF5A25FFDFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 052000000FFFFC00 +REG 0000000040000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 09FFFF5A25FFE000 +REG FFFFFFFFFFFFFFFF +REG 0000000081109010 diff --git a/tests/76.bin b/tests/76.bin new file mode 100644 index 0000000..6623788 Binary files /dev/null and b/tests/76.bin differ diff --git a/tests/76.out b/tests/76.out new file mode 100644 index 0000000..d4e7e66 --- /dev/null +++ b/tests/76.out @@ -0,0 +1,32 @@ +REG FFFFFFFEFB007C6C +REG FFFFFFFFFFFFFFFF +REG 0000000004FFBF95 +REG FFFFFFFFFFFFFFF8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000030 +REG 0000000000000000 +REG 0000000000008000 +REG 0000000000000000 +REG 0000000000000821 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000007B3E +REG FFFFFFFFFFFF3839 +REG 0000000000000008 +REG FFFFFFFFFFFFBC6B +REG 000000000000C7EF +REG FFFFFFFFFFFFFFFF +REG 0000000000004394 +REG 0000000000000000 +REG 0000000000000834 +REG FFFFFFFFFFFFE800 +REG 0000000000E0C000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000003D56C00 +REG 0000000003D56C00 +REG 0000000000E0C000 +REG 0000000000000000 +REG 0000000081E11E89 diff --git a/tests/760.bin b/tests/760.bin new file mode 100644 index 0000000..812dff9 Binary files /dev/null and b/tests/760.bin differ diff --git a/tests/760.out b/tests/760.out new file mode 100644 index 0000000..34bd9ea --- /dev/null +++ b/tests/760.out @@ -0,0 +1,32 @@ +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000210E0004 +REG 0000000000000000 +REG 000000000000003B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000001F +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFC00000003B +REG 000000009FF90000 +REG 0000000000000000 +REG 0000000001000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000004000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000088100008 diff --git a/tests/761.bin b/tests/761.bin new file mode 100644 index 0000000..2396daf Binary files /dev/null and b/tests/761.bin differ diff --git a/tests/761.out b/tests/761.out new file mode 100644 index 0000000..446add0 --- /dev/null +++ b/tests/761.out @@ -0,0 +1,32 @@ +REG 0000355113051836 +REG 0000000100000009 +REG 0000000007E00000 +REG 00006AA30004036C +REG 0000000000000000 +REG 000FFFBDFFFFB5E8 +REG 0000000000003BAE +REG 0000000000000000 +REG 0000000000000000 +REG 00006AA30004036C +REG 0000000000000040 +REG 0000000000000020 +REG 0000D5460007C327 +REG FFFFFFFFFFFFD684 +REG 00006AA30003BFBB +REG F7F7F7F7F7F7F7FB +REG 00006AA30003BFBB +REG 0808080808080805 +REG 00003551800201B6 +REG FFFFFFFFFFFFFFF6 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000009 +REG 0000000007DFA109 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000007FFA109 +REG 0000000000000000 +REG 00006AA30004036C +REG 0000000000000000 +REG 0000000100014829 diff --git a/tests/762.bin b/tests/762.bin new file mode 100644 index 0000000..987627b Binary files /dev/null and b/tests/762.bin differ diff --git a/tests/762.out b/tests/762.out new file mode 100644 index 0000000..e33d695 --- /dev/null +++ b/tests/762.out @@ -0,0 +1,32 @@ +REG 000000003F30F47F +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFD0001 +REG C5D400000000FC00 +REG 0000000000000000 +REG FFFFF0000000018B +REG 0000000000000000 +REG 0000000000000000 +REG 000000000FFFF804 +REG 0000000048240488 +REG FFFFFFFF80003FF8 +REG 7FFF07FDFFF40004 +REG 00FF0000FF000000 +REG 000000004F240488 +REG FFFFFFFFFFFFFFFF +REG 003FE40000002400 +REG 002FFFFFFFFFD6F9 +REG 0000000000000000 +REG 002FFFFFFC012026 +REG 0808080806010607 +REG 000000004F240488 +REG 0000000000000004 +REG 0000000000000001 +REG FF00000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFD0000000002907 +REG 0000000000000000 +REG 0000000000000000 +REG 002FFFFFFFFFE02E +REG 0000000110480910 diff --git a/tests/763.bin b/tests/763.bin new file mode 100644 index 0000000..bdb1f59 Binary files /dev/null and b/tests/763.bin differ diff --git a/tests/763.out b/tests/763.out new file mode 100644 index 0000000..2c202f5 --- /dev/null +++ b/tests/763.out @@ -0,0 +1,32 @@ +REG FFFFFFFFD70B4ED5 +REG 0000000000000000 +REG FFFFFFFFFFFFFFC4 +REG 00010000001FA200 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000005254 +REG 00000000000018FB +REG 0000000000005D47 +REG 00000000000000FF +REG 0000000000000000 +REG 0000000000000000 +REG 0000D68B0000D68B +REG F238FFFB9B390000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000010000014E0 +REG FFFFFFFFE4910000 +REG 0000000000000000 +REG 000000000003FFFC +REG 0000000000005255 +REG 0DC7000464C6FFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFDBBBFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000004 +REG FFFFFFFF085FFFFF +REG 0000000000000010 +REG 0000000000000000 +REG 000018DFFFFFFFFF +REG FFFFFFFFFFFFFF07 +REG 0000000091E9E9FF diff --git a/tests/764.bin b/tests/764.bin new file mode 100644 index 0000000..41862e2 Binary files /dev/null and b/tests/764.bin differ diff --git a/tests/764.out b/tests/764.out new file mode 100644 index 0000000..eac641a --- /dev/null +++ b/tests/764.out @@ -0,0 +1,32 @@ +REG 000000004004A5E6 +REG FFFFFFFFFFFFFFFF +REG 00000000000076BF +REG 0000000000000000 +REG 00000000800480F8 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 800480F880048020 +REG 0000000000000000 +REG 00F0000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000005311 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF9F +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000040010000 diff --git a/tests/765.bin b/tests/765.bin new file mode 100644 index 0000000..1e0afb2 Binary files /dev/null and b/tests/765.bin differ diff --git a/tests/765.out b/tests/765.out new file mode 100644 index 0000000..c27e798 --- /dev/null +++ b/tests/765.out @@ -0,0 +1,32 @@ +REG 00000000000073F1 +REG 0000000000005AE7 +REG 0000000000000037 +REG 0000000000000016 +REG 0FEFEC6D0FEFEC6D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001659 +REG 0000000000000000 +REG 0ADAFC000BFF9CBE +REG 0000000000000016 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFEC00000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFEFEC6D5 +REG 0ADAFC000BFF9CBE +REG 0000000006D00037 +REG 0000000000000000 +REG 0000000000000037 +REG 0000000000000020 +REG FFFFFFFFE0000003 +REG FFFFFFFFFC000ADA +REG 0000000000FF8000 +REG 0000000000FF8000 +REG 00000000000031A1 +REG 0000000000002196 +REG 0000000000000000 +REG 0000000088110090 diff --git a/tests/766.bin b/tests/766.bin new file mode 100644 index 0000000..cadf96b Binary files /dev/null and b/tests/766.bin differ diff --git a/tests/766.out b/tests/766.out new file mode 100644 index 0000000..33a8c0e --- /dev/null +++ b/tests/766.out @@ -0,0 +1,32 @@ +REG 0000000000000225 +REG 0000000066770000 +REG 00001FFF12001213 +REG 0000000000007753 +REG 0000000000000000 +REG 0000000000001213 +REG FFFFFFFFFFFFB100 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000374 +REG 0808080808080807 +REG 0000000000000000 +REG 0000000000000000 +REG FFF00000000FFF89 +REG 0000000000000020 +REG 0000000007E30000 +REG 0000000007E31213 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000000001212 +REG FFFFFFFFFF620000 +REG 0000000000001212 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFF00000000FFF88 +REG 0000000000000000 +REG FFFFFFFFFFFFEF89 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000080008808 diff --git a/tests/767.bin b/tests/767.bin new file mode 100644 index 0000000..dc2d819 Binary files /dev/null and b/tests/767.bin differ diff --git a/tests/767.out b/tests/767.out new file mode 100644 index 0000000..eae375e --- /dev/null +++ b/tests/767.out @@ -0,0 +1,32 @@ +REG 000000FC00003EB3 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000082084880 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000003F0000003F +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000020300000 +REG FFFFFF03FFFFC002 +REG FFFFFF03FFFFC002 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF7FFFFFF00F +REG 0000000082084880 +REG 000000000000789F +REG 0000000020300000 +REG FFFFFFFFFFFFFEA5 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000014 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF03FFFFC002 +REG FFFFFFFFFFFFFFFF +REG 0000000104109100 diff --git a/tests/768.bin b/tests/768.bin new file mode 100644 index 0000000..e8acf8c Binary files /dev/null and b/tests/768.bin differ diff --git a/tests/768.out b/tests/768.out new file mode 100644 index 0000000..c207929 --- /dev/null +++ b/tests/768.out @@ -0,0 +1,32 @@ +REG 00000000A0080017 +REG FFFFFFFFFFFFFFFF +REG 000000000000003B +REG 0000000000000000 +REG FFFFFFFF0F57FFFF +REG 0000000000000000 +REG 0000000001200001 +REG 000000005FFFFC00 +REG 0000000000000000 +REG 000000004800B000 +REG FFFFFFFFFEDFFFFF +REG 0000000000000000 +REG 000000000000003B +REG 0000000000000000 +REG 0000000001200000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000007 +REG 0000000000000000 +REG 7FFE000000000000 +REG 000000000000003B +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG FFFFFFFFFFFFEC5A +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000001200001 +REG 000000004800B000 +REG 0000000000000000 +REG 0000000050016000 diff --git a/tests/769.bin b/tests/769.bin new file mode 100644 index 0000000..d0ec5c4 Binary files /dev/null and b/tests/769.bin differ diff --git a/tests/769.out b/tests/769.out new file mode 100644 index 0000000..45acdad --- /dev/null +++ b/tests/769.out @@ -0,0 +1,32 @@ +REG 01FFFFFF035C0000 +REG 002F4E77015BFFFF +REG 0000000000000000 +REG FFFFFFFFFFD01080 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFD0B18892000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000002FEF80 +REG 0000000000000000 +REG 00000000FCA40000 +REG FFFFFFFFFFFFC212 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000068E30000 +REG 0000000000000000 +REG FFD0B18892000000 +REG 00000000FCA40000 +REG 00000000E8E50000 +REG FFFFFFFFFFFFFFFF +REG 80FFD010803FD010 +REG 0000000000000000 +REG FE000000000010AB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000100803001 diff --git a/tests/77.bin b/tests/77.bin new file mode 100644 index 0000000..5d4e61e Binary files /dev/null and b/tests/77.bin differ diff --git a/tests/77.out b/tests/77.out new file mode 100644 index 0000000..dde18e9 --- /dev/null +++ b/tests/77.out @@ -0,0 +1,32 @@ +REG 0010000079F80001 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 000000000F000000 +REG 0000000000003881 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFF0000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFEFFFFFFFFFC77F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFEFFFFFFFFFFFFF +REG FFFFFFFFFFFFAFFC +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 00000000000006A6 +REG FFEFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000100000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000005E804090 diff --git a/tests/770.bin b/tests/770.bin new file mode 100644 index 0000000..c58a685 Binary files /dev/null and b/tests/770.bin differ diff --git a/tests/770.out b/tests/770.out new file mode 100644 index 0000000..34a8ff4 --- /dev/null +++ b/tests/770.out @@ -0,0 +1,32 @@ +REG 000000002B885B09 +REG 000000002F0D0001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFB89B +REG 0208080804060808 +REG 0000000D5284FFE0 +REG 0000000000000000 +REG 0000000000000000 +REG 000000002F0D0001 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 000000002F0D0000 +REG 0000000000004765 +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000019 +REG 0000000000000040 +REG 000000000000003A +REG FFFFFFDFFFFFFFE7 +REG 0000000000000000 +REG 0000000D5284FFE0 +REG FFFFFFFFD7BBBB7A +REG 0000000000000000 +REG FDF7F7F7FBF9F7F7 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000091109F11 diff --git a/tests/771.bin b/tests/771.bin new file mode 100644 index 0000000..720fa47 Binary files /dev/null and b/tests/771.bin differ diff --git a/tests/771.out b/tests/771.out new file mode 100644 index 0000000..d36c0c8 --- /dev/null +++ b/tests/771.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFE001FFF +REG 0000000000000000 +REG 0050000000500000 +REG 0000000000FFF000 +REG FFFFFFF8000005DF +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000040 +REG FFFFFFFFFFFF8D74 +REG 0000000000000000 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG FFFFFFF8000005DF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFDBE4 +REG FFFFFFFFFFFFFFFB +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000024C800 +REG 0000000040080840 +REG FFFFFFFFFFFFFFFF +REG 000000002D400000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000004860 +REG 0000000000000001 +REG 0000932000009320 +REG FFFFFFFFFFFFFFBF +REG 0000000080101081 diff --git a/tests/772.bin b/tests/772.bin new file mode 100644 index 0000000..5a4df09 Binary files /dev/null and b/tests/772.bin differ diff --git a/tests/772.out b/tests/772.out new file mode 100644 index 0000000..65f115a --- /dev/null +++ b/tests/772.out @@ -0,0 +1,32 @@ +REG FFFEE7FFA01854B6 +REG 00000005FFFFFFFF +REG 5E64FFFF98F1FFFF +REG 0001180001B151C7 +REG 000000003AAD0000 +REG 0001180001B18E1F +REG 5E64FFFF5E44FFFF +REG FFFFFFFFFFFFB122 +REG 000000000017FFF9 +REG F572C470000020F5 +REG 0000000000000020 +REG 0000000000000000 +REG 7FFF0F7260000002 +REG 0001180001B11800 +REG FFFEE7FFFE4EAE37 +REG 000000000013FFF8 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG B1E181E6B6A96557 +REG FFFD7913803D7913 +REG FC9B3F0000000000 +REG FFFEE7FFFE4E71E1 +REG 0000000000000000 +REG 000000000013FFF8 +REG 0A8CFFFFFFFFDECB +REG 5E64FFFF5E44FFFF +REG 5E64E7FF5E4471E1 +REG 000000000017FFF8 +REG FFFFFFFFFFFFFB12 +REG 0000000081E1E8BE diff --git a/tests/773.bin b/tests/773.bin new file mode 100644 index 0000000..dfcfa9e Binary files /dev/null and b/tests/773.bin differ diff --git a/tests/773.out b/tests/773.out new file mode 100644 index 0000000..789f32a --- /dev/null +++ b/tests/773.out @@ -0,0 +1,32 @@ +REG 00009800FA190DF7 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG 0000000063091B93 +REG 000000000009FFF5 +REG 00000000A8140000 +REG 000000004FF80888 +REG 0000000000000000 +REG 0000000000000048 +REG 03FFE00000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000003C800000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000003D +REG 00000000FFFFFFFF +REG 0000000000007FFF +REG 00000000FFFFFFFF +REG FFFFFFFFFFFF831A +REG FFFFFFFFB007F777 +REG 0000000000010000 +REG DFFFFFFFDC3FFFFF +REG FFFFFFFFFFFF82DD +REG FFFF67FF05E7F8BD +REG FFFFFFFFB3000000 +REG A813FFEAA813FFEA +REG 000003C800000001 +REG FFFFFFFFFFFFFFF7 +REG FFFFFFFFB006F777 +REG 0000000000000000 +REG 000000009FF1E910 diff --git a/tests/774.bin b/tests/774.bin new file mode 100644 index 0000000..7f6a0f8 Binary files /dev/null and b/tests/774.bin differ diff --git a/tests/774.out b/tests/774.out new file mode 100644 index 0000000..7fd2fb7 --- /dev/null +++ b/tests/774.out @@ -0,0 +1,32 @@ +REG 5BB7AFDDFFFFFE48 +REG FFDF7F5FFFFFFFDF +REG 0000000000000000 +REG E7B7AFFFFFFFFFFE +REG E7B7AFFFFFFFFFFE +REG 0000000000000000 +REG FDB7AFFFFFFFBFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000003D6AFFFF +REG FFFFFFFFFFFFFFFF +REG 7FFFFFFFFFFFFFFF +REG 000000003D6AE47B +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000088004080 +REG 0000000000000000 +REG FFFFFFFFBFFFFDFF +REG 000000003D6B0000 +REG 0000000000000020 +REG 040000003FFFF98C +REG 0000000000000000 +REG FFFFFF7FFFFFFD7F +REG FFFFFFFFFFFFFFFF +REG 0000000088004480 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000110008900 diff --git a/tests/775.bin b/tests/775.bin new file mode 100644 index 0000000..1315207 Binary files /dev/null and b/tests/775.bin differ diff --git a/tests/775.out b/tests/775.out new file mode 100644 index 0000000..6f6c2f6 --- /dev/null +++ b/tests/775.out @@ -0,0 +1,32 @@ +REG 00000000000951F3 +REG 0000000000000020 +REG FFFFFFFF9C3FF954 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFF499 +REG 000001790000E17D +REG 0000000000000009 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000013 +REG 0000000000000260 +REG 000001790000E17D +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000004BB55B1E +REG 0000000000001000 +REG FFFFFFFFB44AFB3A +REG 0000000000000007 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000389A +REG 000000004BB55B1E +REG 0000000000000000 +REG 0000000000000000 +REG 000000004BB504C5 +REG 0000017900000179 +REG 0000000003280000 +REG 0000000000000119 +REG 000000001FAA0007 +REG 0000000048891008 diff --git a/tests/776.bin b/tests/776.bin new file mode 100644 index 0000000..dd6a27f Binary files /dev/null and b/tests/776.bin differ diff --git a/tests/776.out b/tests/776.out new file mode 100644 index 0000000..cc67cb2 --- /dev/null +++ b/tests/776.out @@ -0,0 +1,32 @@ +REG 0000000000005368 +REG 0000000000000005 +REG 0000000000000000 +REG 0000000000000000 +REG 0007C0FF00000000 +REG 0000000000028DB4 +REG 02A2F7D702A2F7D7 +REG 000000000000F55F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000030304 +REG 0000000000000000 +REG 1C0000000000CE79 +REG 000000000000536D +REG 0000000000000000 +REG 557FEAA955800000 +REG 0000000000000000 +REG 0000000000028DB4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000E00000000 +REG 1C00000000000000 +REG 0000000000000000 +REG 7C0E0000700E0000 +REG 02A2F7D702A37C4D +REG FFFFFFFFFFE09134 +REG 2000181820000018 +REG 02A2F7D702A328E0 +REG 83F1FFFFF912FFFF +REG FFFFFFFFFFFFCEF7 +REG 0000000000000000 +REG 000000008C881088 diff --git a/tests/777.bin b/tests/777.bin new file mode 100644 index 0000000..f978ca4 Binary files /dev/null and b/tests/777.bin differ diff --git a/tests/777.out b/tests/777.out new file mode 100644 index 0000000..58360a3 --- /dev/null +++ b/tests/777.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFE174 +REG 0000000000000001 +REG 0000005DB5500E84 +REG 000000000000B5B4 +REG 0000000000000000 +REG 0000034BFDBA72D6 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF80BC958A +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000000011BA +REG 0000000000000001 +REG 0000000000000000 +REG A807420000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFB690 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF80BD0000 +REG 000000007F436A77 +REG 0000000000000000 +REG 0000002000000007 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000B5B4 +REG 0000000109100080 diff --git a/tests/778.bin b/tests/778.bin new file mode 100644 index 0000000..84b45ef Binary files /dev/null and b/tests/778.bin differ diff --git a/tests/778.out b/tests/778.out new file mode 100644 index 0000000..ebe9897 --- /dev/null +++ b/tests/778.out @@ -0,0 +1,32 @@ +REG 00100C0C1150A098 +REG FFFFFFFFFBF7FCF9 +REG FFFFFFFFFFFE5C60 +REG 0000000000000000 +REG 0000000004080305 +REG FFFFFFFFF0006306 +REG 0000000000000000 +REG FFFFFFFFFF000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0001010000000000 +REG 0000000088AD0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0060000000000000 +REG 0000000000000000 +REG 000000200000EB9D +REG 0000000004080306 +REG 0000000000000000 +REG 0000000003800000 +REG 0000000000000000 +REG 0060000000000000 +REG 00000000003E7E80 +REG FFFFFFFFFFFFFFC0 +REG 000000200000001C +REG 0000000000000000 +REG 0000000000000000 +REG 0000001B0000001B +REG 0000000000000020 +REG 0000000000000020 +REG 00000020003E951D +REG 0000000080901812 diff --git a/tests/779.bin b/tests/779.bin new file mode 100644 index 0000000..76e2420 Binary files /dev/null and b/tests/779.bin differ diff --git a/tests/779.out b/tests/779.out new file mode 100644 index 0000000..2c0ffc3 --- /dev/null +++ b/tests/779.out @@ -0,0 +1,32 @@ +REG 0000008000001C86 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF0000FFCF +REG 0000000000000000 +REG 0008000000000000 +REG FFFFFFFFFFFF84CE +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFE307 +REG 0000000000001CF8 +REG 0000000000000020 +REG FFFFFFFFFFFF84CE +REG 0000000000005857 +REG 0000001F4F88A000 +REG FFFFFFFFFFFFFF54 +REG 0000000000000000 +REG 0000000051E37F39 +REG 0000000000000000 +REG 0000000000800000 +REG 000000000000BEFA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000004480 +REG 0000000000000000 +REG FFFFFFFFFFFE7F39 +REG 00000000FFFF0A35 +REG 00000000000FFFE1 +REG 000000000001FFFF +REG FFFFFFFFFFFFE307 +REG 0000000041010004 diff --git a/tests/78.bin b/tests/78.bin new file mode 100644 index 0000000..235b8d6 Binary files /dev/null and b/tests/78.bin differ diff --git a/tests/78.out b/tests/78.out new file mode 100644 index 0000000..b4d2835 --- /dev/null +++ b/tests/78.out @@ -0,0 +1,32 @@ +REG 547DE903BB3E16A8 +REG 0000000000000000 +REG FFFFFFFFFDF80000 +REG 00000000000007CA +REG FFFFFFFFFFFF9D43 +REG FFFFFFFFFFFFFFFF +REG 000000C8000000C8 +REG 00000000D7860014 +REG 0000000000000001 +REG 000000000000003B +REG 0000000004000000 +REG FFFFFFFF80000000 +REG FFFFFFFFFFFFFFC5 +REG 00000000000062BC +REG 0000000000000000 +REG FFFFFFFFFFFFC1A9 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFC1A8 +REG FFFFFFFFFFFF9D43 +REG FFFFFFFFFFFF9D43 +REG 0000000000000000 +REG 0000000000003E57 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000020 +REG 0000000108801080 diff --git a/tests/780.bin b/tests/780.bin new file mode 100644 index 0000000..71de158 Binary files /dev/null and b/tests/780.bin differ diff --git a/tests/780.out b/tests/780.out new file mode 100644 index 0000000..6de87e6 --- /dev/null +++ b/tests/780.out @@ -0,0 +1,32 @@ +REG FFFFF6976E95FFF6 +REG 00000000C4570000 +REG 0000000000000040 +REG 00000000000080A8 +REG 0000000000000020 +REG 0000000000000040 +REG 0000000000000020 +REG 0000000000000000 +REG 000000185BC00000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000002646 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFF7F57 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000FF0100004B6C +REG 0000FF0100004B6C +REG 0000FF0100004B6C +REG FFFFFFFFFFFFEB23 +REG 0000000082310000 +REG FFFFFFFF8C8E0000 +REG 0000000000004532 +REG 0000000000000002 +REG FFFFFFFF3ECFE30D +REG 0000000049350000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000808099F0 diff --git a/tests/781.bin b/tests/781.bin new file mode 100644 index 0000000..4d923c3 Binary files /dev/null and b/tests/781.bin differ diff --git a/tests/781.out b/tests/781.out new file mode 100644 index 0000000..41137f7 --- /dev/null +++ b/tests/781.out @@ -0,0 +1,32 @@ +REG FCB90000000016A8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0500000000000000 +REG 000003FC00000011 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000068E00000 +REG 0000000000000000 +REG 0004A2BAE900276D +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF97200000 +REG 0000000000000005 +REG 0000000000000020 +REG 0000000000000000 +REG BFFFA386A0000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000068E00000 +REG 000000051600FF00 +REG 000000000000001B +REG BFFFA386A0000000 +REG FFFFF003FFFE0000 +REG 0000000000000000 +REG FFFFF003FFFE0000 +REG 0000000040800010 diff --git a/tests/782.bin b/tests/782.bin new file mode 100644 index 0000000..ebc9240 Binary files /dev/null and b/tests/782.bin differ diff --git a/tests/782.out b/tests/782.out new file mode 100644 index 0000000..12f8598 --- /dev/null +++ b/tests/782.out @@ -0,0 +1,32 @@ +REG 0000020000018184 +REG 000003F800000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFC6A2953C +REG FFFFFFFFFFFEFEFA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFEFFF953C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFEF23FFF1 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF1 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000010105 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000010105 +REG FFFFFFFFEF23FFF1 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000004002080A diff --git a/tests/783.bin b/tests/783.bin new file mode 100644 index 0000000..28ffa16 Binary files /dev/null and b/tests/783.bin differ diff --git a/tests/783.out b/tests/783.out new file mode 100644 index 0000000..cc08dc6 --- /dev/null +++ b/tests/783.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFBE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000056390000 +REG 0000000040000000 +REG 0000000000003E5C +REG 2000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 4000000000000B61 +REG E000000000000000 +REG 000001FFFE000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000027F30000 +REG 0000000000000000 +REG 0B61000000000000 +REG 0000000000000000 +REG 0000000100000880 diff --git a/tests/784.bin b/tests/784.bin new file mode 100644 index 0000000..cd92944 Binary files /dev/null and b/tests/784.bin differ diff --git a/tests/784.out b/tests/784.out new file mode 100644 index 0000000..df1fbf7 --- /dev/null +++ b/tests/784.out @@ -0,0 +1,32 @@ +REG 00000007FFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFC3D00 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000007B47 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF84BF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF6F554E4F80 +REG 0000000000000000 +REG 00000090AAB1337C +REG 0000000000000000 +REG 0000000000007B40 +REG 0000000000000001 +REG FFFFFFFFFFFFCEFC +REG 0000000000000000 +REG 000000004A91C980 diff --git a/tests/785.bin b/tests/785.bin new file mode 100644 index 0000000..7a59436 Binary files /dev/null and b/tests/785.bin differ diff --git a/tests/785.out b/tests/785.out new file mode 100644 index 0000000..3f68feb --- /dev/null +++ b/tests/785.out @@ -0,0 +1,32 @@ +REG 000000000000365A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000070000000 +REG 00000000000000C6 +REG FFFFFFFFFFFFFFFF +REG 000000000005618A +REG 0000000000000403 +REG 0000000071F359D8 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000001E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000400000 +REG 1F4000001FFFFFFD +REG 0000000000000000 +REG 000000000000734B +REG 0000000000008EC8 +REG 0000000000000000 +REG 0000000000400001 +REG 0000000000000000 +REG 0000000000001589 +REG 0000008EC8000000 +REG 0000000000000007 +REG 0000000000000000 +REG 00000000000096A4 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000084911010 diff --git a/tests/786.bin b/tests/786.bin new file mode 100644 index 0000000..0f9c059 Binary files /dev/null and b/tests/786.bin differ diff --git a/tests/786.out b/tests/786.out new file mode 100644 index 0000000..9976e32 --- /dev/null +++ b/tests/786.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFAF63 +REG 0000000020808888 +REG 0000000000000000 +REG FFFFFFFFFABD994F +REG 0000000FEE7FFFBF +REG 00000000A3220001 +REG FFFF994FFFFF994F +REG 00000000A3220001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF0000000000 +REG FFFFFFF011800000 +REG 0000000800000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000FEE7FFFBF +REG 20000000039BC480 +REG F0000003F0000003 +REG 0001FFFFFD5EDED0 +REG FFFFFC0000000000 +REG FFFFFF0003820000 +REG 0000000000000000 +REG FFFFFFFF5CDE644D +REG FF00000000000000 +REG 0FFFFFFBD381FFFD +REG 0000000000000000 +REG FFFFFF0000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000081011110 diff --git a/tests/787.bin b/tests/787.bin new file mode 100644 index 0000000..086512e Binary files /dev/null and b/tests/787.bin differ diff --git a/tests/787.out b/tests/787.out new file mode 100644 index 0000000..f754385 --- /dev/null +++ b/tests/787.out @@ -0,0 +1,32 @@ +REG 00000270212D6763 +REG FFFFFFFFFFFF1688 +REG FFFFFFFFFDFFFE00 +REG 2EE0000000000018 +REG 0000000080000000 +REG 0000000000000000 +REG 000000001FC472CA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0100000000000000 +REG 00000B98C15EC09E +REG 0000324755CECE32 +REG FFFFFFFFFFFEFA9C +REG FFFFFFFF7FFFEF5E +REG FFFFFFFFDFFF1688 +REG C000000000000000 +REG FFFFFFFFFFFFFDFF +REG FFFFFFC7FFFFFFFF +REG 2EE0000000000018 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000002 +REG 000000007FFF99AE +REG 000000000000CB51 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 43FFFFFFFFFF1688 +REG 03FFFFFFFFFF1688 +REG 0000000088800810 diff --git a/tests/788.bin b/tests/788.bin new file mode 100644 index 0000000..f80dcb4 Binary files /dev/null and b/tests/788.bin differ diff --git a/tests/788.out b/tests/788.out new file mode 100644 index 0000000..2cc4f95 --- /dev/null +++ b/tests/788.out @@ -0,0 +1,32 @@ +REG 000044044D30FBFB +REG FFFFFFFFB6CB0001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFBFFFB6CB0001 +REG 0000000000000000 +REG 000000001FD70000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000080810000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000031 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000031 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 00000000FFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000040404040404 +REG FFFFFFFFB6CB0001 +REG 0000000000000000 +REG 0000000051001089 diff --git a/tests/789.bin b/tests/789.bin new file mode 100644 index 0000000..2d5922b Binary files /dev/null and b/tests/789.bin differ diff --git a/tests/789.out b/tests/789.out new file mode 100644 index 0000000..216fe37 --- /dev/null +++ b/tests/789.out @@ -0,0 +1,32 @@ +REG 000000000BD0D52D +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFE86002FF +REG FFFFFFFFEF41E67B +REG 0000000300000003 +REG 7FFFFFEEEF7C6D6E +REG 000000000BD0D500 +REG 0000000000000000 +REG 8000001180000011 +REG 0000000000000000 +REG 000000000BD0D500 +REG 0000000000000020 +REG 0000000080080000 +REG 0000000000000118 +REG 0000000000000017 +REG FFFFFFFFFFFFFF00 +REG 000000000000002F +REG FFFFFFFFCDC5B371 +REG 0000000000000178 +REG FFFFFFFFFFFFFFFF +REG 00000000000076E9 +REG AB63FFFFFFFFFFFF +REG F82000FFE85E55FE +REG FFFFFFFF6F7C6D7F +REG FFDCFFFFCA5C99BD +REG 0000000017A1AA00 +REG FFFFFFFFFFFFC021 +REG F82000FFFFFFFFFF +REG 0000000000000000 +REG 0000000000000002 +REG 0000000040100001 diff --git a/tests/79.bin b/tests/79.bin new file mode 100644 index 0000000..71da94f Binary files /dev/null and b/tests/79.bin differ diff --git a/tests/79.out b/tests/79.out new file mode 100644 index 0000000..47ea4bf --- /dev/null +++ b/tests/79.out @@ -0,0 +1,32 @@ +REG 000000007DAB0000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000F6E40000F6E4 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000024000 +REG FFFFFFFFFFFC8024 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000E00003B34 +REG FFFFFFFFFFFFA361 +REG DBDE824000000000 +REG 000000003DB90000 +REG 000000007C2B0001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFF83D4FFFF +REG 0000000E00000012 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFC6A90000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000050808031 diff --git a/tests/790.bin b/tests/790.bin new file mode 100644 index 0000000..ca95d48 Binary files /dev/null and b/tests/790.bin differ diff --git a/tests/790.out b/tests/790.out new file mode 100644 index 0000000..4ef1fd3 --- /dev/null +++ b/tests/790.out @@ -0,0 +1,32 @@ +REG 7FFD6FFF851D26A1 +REG 6B1F00026B000002 +REG 070808079AE0FFFD +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFF7001F1480FFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000006B1F0002 +REG FFFFFFFFFFFFEA64 +REG 0708080806000000 +REG 0000000000000020 +REG 00E1010100C00000 +REG 0000000000000000 +REG 0000000000000001 +REG 7FFFFFFFEE000000 +REG FFFFFFFF94E0FFFD +REG 00000000000001C0 +REG 00000000DFAD0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFFFFFFC1E3 +REG 0000000000000020 +REG 0000000000000000 +REG 000000000000004A +REG FFFFFFFFFDFDFE4A +REG 00000001001181C0 diff --git a/tests/791.bin b/tests/791.bin new file mode 100644 index 0000000..6841e2d Binary files /dev/null and b/tests/791.bin differ diff --git a/tests/791.out b/tests/791.out new file mode 100644 index 0000000..110a42a --- /dev/null +++ b/tests/791.out @@ -0,0 +1,32 @@ +REG 000159C413C0003E +REG 0000000000000038 +REG 0000000000000000 +REG 000000000100000E +REG 0000000000000000 +REG FFFFFFFFFFFFA212 +REG FFFFFFFFFFFFFFC0 +REG 0000000003BFA219 +REG 0000000001FFFEFF +REG FFFFFFFFFFFFE09D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000F309 +REG 0000000000000000 +REG 0000000003C00000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFC40539A +REG 0000000000000000 +REG FFFFFFFFFFFF4B85 +REG FFFFFFFFFC3F5FED +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000048000804 diff --git a/tests/792.bin b/tests/792.bin new file mode 100644 index 0000000..71204d2 Binary files /dev/null and b/tests/792.bin differ diff --git a/tests/792.out b/tests/792.out new file mode 100644 index 0000000..242a9ab --- /dev/null +++ b/tests/792.out @@ -0,0 +1,32 @@ +REG 0001284CE3F6F62F +REG 0000000A0000000A +REG FFFED7B31C000000 +REG 00000000000091BB +REG 00000000000ED0A5 +REG 0000000000000020 +REG FFFFFFFFFFF12F3F +REG FFFFFFFFFFF12F3F +REG 0000000000009341 +REG 0000000000000000 +REG 000000000000000B +REG FFFFFFFFFFF12F20 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FF20BBFFFFFFFBFF +REG FFFFFFFFFFF12F3F +REG 03B3F8BDE83FF8BD +REG 0040EF0000000000 +REG 0000000000000006 +REG FFFFFFFFFFF12F20 +REG 0000000080400048 +REG 0000000000000000 +REG 000000000000001B +REG 0000000000000400 +REG FFFFFFFFFFFFC0FB +REG 0000000000000000 +REG 00DF440000000400 +REG 00000000000ED0A5 +REG 000000008040003D +REG FFFFFFFFFFFFF897 +REG 0000000100800091 diff --git a/tests/793.bin b/tests/793.bin new file mode 100644 index 0000000..ec45d80 Binary files /dev/null and b/tests/793.bin differ diff --git a/tests/793.out b/tests/793.out new file mode 100644 index 0000000..856a7da --- /dev/null +++ b/tests/793.out @@ -0,0 +1,32 @@ +REG 0000000000003CD0 +REG FFFFFFFFFFFFFFFF +REG FFFFFF37AFFFD89C +REG 0000000000000041 +REG 0000000000000000 +REG FFFFFFFFFFFE0000 +REG 000000000001FFFF +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFCCEA +REG 000000000FEA0040 +REG 0000000000003CD0 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFC03 +REG 0000000000005A7F +REG FFFFFFFFFFFFFFFF +REG FFFFFF37AFFFD89D +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000002000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000FFFFFF +REG 11B5A1A7D65E9FD6 +REG 00000000B1C81F86 +REG FFFFFFFFFFFFFFFF +REG 000000C8500027A3 +REG 0000000091001010 diff --git a/tests/794.bin b/tests/794.bin new file mode 100644 index 0000000..c394f5c Binary files /dev/null and b/tests/794.bin differ diff --git a/tests/794.out b/tests/794.out new file mode 100644 index 0000000..6e59663 --- /dev/null +++ b/tests/794.out @@ -0,0 +1,32 @@ +REG 0000000028CEC818 +REG 0000000000000000 +REG 000000000000FE2C +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000007FA5C00000 +REG 0000000000000000 +REG FFFFFFFFFFFFB7DA +REG 5200000000000000 +REG 0000000000000001 +REG 3FFFFE00080046BD +REG 0000000000000000 +REG FFFFFFFFA4920000 +REG 0000000000008FBC +REG 0000000000000000 +REG 3FFFFE0007FFFE97 +REG 0000000000000005 +REG C00001FFF8000128 +REG 0000000000000000 +REG 0000000000000000 +REG 000000003CEB0005 +REG FFFFFFFFFFFF8B00 +REG 0000000028840040 +REG 0000000000000000 +REG 000000000000C827 +REG 0000000000000000 +REG 0000000000000000 +REG 3FFFFE0007FFFE97 +REG 0000000000000000 +REG 0000000000000000 +REG 000000005FFE0300 diff --git a/tests/795.bin b/tests/795.bin new file mode 100644 index 0000000..a545f72 Binary files /dev/null and b/tests/795.bin differ diff --git a/tests/795.out b/tests/795.out new file mode 100644 index 0000000..ae88b3b --- /dev/null +++ b/tests/795.out @@ -0,0 +1,32 @@ +REG 0000000008010013 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF00FF +REG FFFFFFFFFFFFFFFF +REG 0000000000000326 +REG 0000000000000039 +REG 00000D8000000000 +REG FFFFFFFFFFFFFFFD +REG 0000000000000001 +REG 00000D8000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000002800 +REG 0000000000000000 +REG 0000000000000012 +REG FFFFFFFFFFFFFFD9 +REG 0000000000000026 +REG 0000000000000039 +REG 0000000097E60000 +REG 0000000000000000 +REG 0000000010000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000024000 +REG 0000000000000001 +REG 00000000000050C5 +REG 0000000000000000 +REG 0001000000000000 +REG 0000000005240000 +REG 0000000000000000 +REG 0000000101008889 diff --git a/tests/796.bin b/tests/796.bin new file mode 100644 index 0000000..506e84d Binary files /dev/null and b/tests/796.bin differ diff --git a/tests/796.out b/tests/796.out new file mode 100644 index 0000000..a42852a --- /dev/null +++ b/tests/796.out @@ -0,0 +1,32 @@ +REG 646080000083A442 +REG 0000000000000020 +REG 0000000000000000 +REG 000000000000608A +REG 646080000083E43D +REG E01000FFE0000000 +REG 0000000000000006 +REG 0000000020A82AFB +REG 0000000000000040 +REG FFFFFFE0FFFFFFE0 +REG 003FF8040083D40D +REG 0000000000000040 +REG C3E00003C3E00003 +REG 000000000F000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF937D0040 +REG 000000000000000F +REG E01000FFE0000000 +REG 0020AC5F1F4C21BC +REG 0000001F0000001F +REG 0000000000000000 +REG FFFFFFFFFFFFFFC0 +REG 0000000020A80850 +REG FFFFFFFFFFFFC1CE +REG 0000000027F40006 +REG FFFFFFFFFFFFE5FF +REG 000000000003BF88 +REG 0000000101511080 diff --git a/tests/797.bin b/tests/797.bin new file mode 100644 index 0000000..275cb52 Binary files /dev/null and b/tests/797.bin differ diff --git a/tests/797.out b/tests/797.out new file mode 100644 index 0000000..7799710 --- /dev/null +++ b/tests/797.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001000 +REG 0BEF00000009E1F5 +REG FFFFFFFFFFFFFFFF +REG 0000000000000214 +REG 0000000000000000 +REG 0000AE07F8E844A4 +REG C000000000000000 +REG 0000AE07B8E844A4 +REG 0000FFFF05045F17 +REG BF8000000027F800 +REG 0000000000000000 +REG 0000000005040707 +REG FFFF51F8471826EF +REG 0000000002740000 +REG 0169A89142AEA4D0 +REG 0000000000000000 +REG 000000001674FDEC +REG 0000000000000000 +REG 0808080808080808 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 3828203838280000 +REG FFFF51F8471826EF +REG 0000000000001000 +REG 0000000000000000 +REG 2735ED98782BD043 +REG 0000000060420000 +REG 0000000000000002 +REG 0000000090810050 diff --git a/tests/798.bin b/tests/798.bin new file mode 100644 index 0000000..fd50d77 Binary files /dev/null and b/tests/798.bin differ diff --git a/tests/798.out b/tests/798.out new file mode 100644 index 0000000..b33fb1f --- /dev/null +++ b/tests/798.out @@ -0,0 +1,32 @@ +REG 0000000000000066 +REG 0000000000000009 +REG 0000000000000000 +REG FFFFFFFF17C907FC +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000C929 +REG 0000000000000000 +REG 0000000001E2F803 +REG FFFFFFFFFFFFFFFB +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000001 +REG 0000000000000011 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFA +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0FFFFFFFF80104EF +REG 0000000000000000 +REG 00000000CBBA0000 +REG 0000000000000000 +REG 00000000E836F804 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 00000000CBBA0000 +REG FFFFFFFFFC0007FC +REG 0000000000007058 +REG 0000000000000005 +REG 0000000088110800 diff --git a/tests/799.bin b/tests/799.bin new file mode 100644 index 0000000..c87a908 Binary files /dev/null and b/tests/799.bin differ diff --git a/tests/799.out b/tests/799.out new file mode 100644 index 0000000..132cf3b --- /dev/null +++ b/tests/799.out @@ -0,0 +1,32 @@ +REG 000000006FA00000 +REG 0000000000000000 +REG 00000000000017C5 +REG 1FFFFFFFFFE3FFFF +REG FFFFFFFFD5DFFFC3 +REG 0000000000000000 +REG 06AEFCEC06AEFCEC +REG 06B2FCEC06AEFCEB +REG 00D5DF9D80D52479 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFC000000000000 +REG 0000000000000000 +REG 1FFFFFFFFFE4D1FE +REG 0000000017000000 +REG FFFFFFFFFFFFFFF8 +REG FFFFFFFFF8000000 +REG 06AEFCEC06AEFCEC +REG 0000000000000000 +REG 0000376100003761 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFC000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF8DE2 +REG 0000000004000000 +REG 0000000000006E0B +REG 0000000000000000 +REG 0000000110082109 diff --git a/tests/8.bin b/tests/8.bin new file mode 100644 index 0000000..c7374d6 Binary files /dev/null and b/tests/8.bin differ diff --git a/tests/8.out b/tests/8.out new file mode 100644 index 0000000..ddd7cdf --- /dev/null +++ b/tests/8.out @@ -0,0 +1,32 @@ +REG 7FFE22237FFF2461 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFF741C046 +REG 0000000000000000 +REG 0000000000000000 +REG 20393EB620393EB6 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG D2374D8D89AF799B +REG 0000000000000000 +REG 0000000000000000 +REG 00BFFFF1BA46E9B8 +REG F98800018001DDDB +REG FFD627C013680D9F +REG 0000000000000000 +REG 000000000000F891 +REG FFFFFFFFFFFFFFFF +REG 0677FFFE7FFEF0DF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 3EBDC7E01ED69480 +REG 0677FFFE7FFE2224 +REG 2FFFFFFE7FFEA667 +REG D2374D8DD237BDE0 +REG FFFFFFFFFFFEF9BF +REG D2374D8D89AF799C +REG 0000000048884444 +REG 0000000000000000 +REG 0000000051108888 diff --git a/tests/80.bin b/tests/80.bin new file mode 100644 index 0000000..a3bbe24 Binary files /dev/null and b/tests/80.bin differ diff --git a/tests/80.out b/tests/80.out new file mode 100644 index 0000000..dd7f741 --- /dev/null +++ b/tests/80.out @@ -0,0 +1,32 @@ +REG 0000001A00000007 +REG 0018F00000180000 +REG 0000000000000000 +REG 0000000000004A5E +REG 0000000000000000 +REG FFFFFFFFFFFFBA75 +REG FFFFFC2B000063C0 +REG 0000000000030000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000007B0A63D5 +REG 0000000000000000 +REG FFFFFFFF84F60000 +REG 0000000000000000 +REG 000000000000BA75 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFE000000000 +REG 0000000000000012 +REG 000000000000003F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000027290000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFCF59 +REG 00000000000063D5 +REG 000000001F000000 +REG 0000000091090500 diff --git a/tests/800.bin b/tests/800.bin new file mode 100644 index 0000000..909d283 Binary files /dev/null and b/tests/800.bin differ diff --git a/tests/800.out b/tests/800.out new file mode 100644 index 0000000..e957b62 --- /dev/null +++ b/tests/800.out @@ -0,0 +1,32 @@ +REG 0000000006FF00FC +REG 0000000000000000 +REG 0000000000000030 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFDFCA75F298 +REG 0000000000000030 +REG 000000000C03FE6A +REG 00000020358A83D1 +REG 0000000000000030 +REG 0000000000000000 +REG 0000000000000010 +REG FFFC000000FFFFFF +REG 00000000BFBD0000 +REG 0000000000800000 +REG 000000000000000D +REG 000000000000FFFF +REG 00000000B7940000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000006FFFFFF +REG FFC9D77FFFFFFFBF +REG 00000020358A83D1 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000005FDE8000 +REG FFF5F7F5EBFDD008 +REG 0000000000000000 +REG 0000000000000000 +REG FFF5F7F5EBE6A008 +REG 000000000000FFFF +REG 0000000049000089 diff --git a/tests/801.bin b/tests/801.bin new file mode 100644 index 0000000..2b4b834 Binary files /dev/null and b/tests/801.bin differ diff --git a/tests/801.out b/tests/801.out new file mode 100644 index 0000000..7a623f7 --- /dev/null +++ b/tests/801.out @@ -0,0 +1,32 @@ +REG FFFFFFFFEA7FFFD8 +REG 000000000F000000 +REG 000000000F000000 +REG 0000000074CC0000 +REG FFFFFFFFFFFFFF9D +REG 0000000000000000 +REG 0400000000000000 +REG FFFFFFFFEA7FFFAA +REG 0000000000000000 +REG 000000000000AB42 +REG 000008EB0000AF7B +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG 000000000F000000 +REG 040008EB0000AB69 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000F000000 +REG 000000000F000000 +REG FFFFFFFFFFFFFF9D +REG 0000000000000001 +REG 0000000000000000 +REG 000008EB0000AB69 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000F000000 +REG 0000000000000000 +REG 0000000000000021 +REG 0000000100891000 diff --git a/tests/802.bin b/tests/802.bin new file mode 100644 index 0000000..ea92a85 Binary files /dev/null and b/tests/802.bin differ diff --git a/tests/802.out b/tests/802.out new file mode 100644 index 0000000..ae80eff --- /dev/null +++ b/tests/802.out @@ -0,0 +1,32 @@ +REG 000000000000008E +REG 0000000000000000 +REG 0000000000000014 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000C3B +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFF3C5 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFD6F0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFBB046 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000049000800 diff --git a/tests/803.bin b/tests/803.bin new file mode 100644 index 0000000..98d6c50 Binary files /dev/null and b/tests/803.bin differ diff --git a/tests/803.out b/tests/803.out new file mode 100644 index 0000000..d59ce10 --- /dev/null +++ b/tests/803.out @@ -0,0 +1,32 @@ +REG FFFFF000A00017B9 +REG 00000012F800F1F9 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFE35D +REG 000000000003FFFF +REG 0000000000005491 +REG 00000012F8001000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00003FFFFFD7FFFF +REG FFFFFFFFFFFFFFFF +REG FFFFF000AB6E9AED +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFC0 +REG FFFFFFFFFFFF5491 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000004910000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFE35C +REG FFFFFFFFFFFFE35D +REG 0000000080000000 +REG 0000000040000010 diff --git a/tests/804.bin b/tests/804.bin new file mode 100644 index 0000000..9dadd83 Binary files /dev/null and b/tests/804.bin differ diff --git a/tests/804.out b/tests/804.out new file mode 100644 index 0000000..dc185af --- /dev/null +++ b/tests/804.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFF +REG 8000000000000000 +REG FFFFFFFFD9DDFFBF +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFBD73FFFF +REG 8000000000000000 +REG 8000000026220060 +REG FFFFFFFFFFFFFFDF +REG 0000000026220040 +REG 0000000000000000 +REG 0000000000001A21 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001B +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFE396003F +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFE396003F +REG FFFFFFFFFFFFA8DC +REG 00001174423C4325 +REG 0000000000000020 +REG 000000000B02DF5F +REG FFFFFFFFBD73FFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000026220060 +REG 0000000000000000 +REG FFBD73FFFF220060 +REG 0000000100090081 diff --git a/tests/805.bin b/tests/805.bin new file mode 100644 index 0000000..dce5b7b Binary files /dev/null and b/tests/805.bin differ diff --git a/tests/805.out b/tests/805.out new file mode 100644 index 0000000..9afc9d7 --- /dev/null +++ b/tests/805.out @@ -0,0 +1,32 @@ +REG 000073FFC0FFFFE1 +REG FFFFFFFFFFFFFFFF +REG 0000001700000017 +REG FFFFFFFFFFFFF7E2 +REG 0000000000000000 +REG 00000000B88AC5A5 +REG 00000000B88AE68A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000004210 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000B1B07C8C +REG 0000000080104298 +REG FFFFFFFFFFFFFFFF +REG 0000000000FFD7AF +REG FFFFFFFFFFFFF000 +REG 0000000000000000 +REG 000000003FFFFFFF +REG 00001D0040100098 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001E +REG 0000000004030503 +REG FFFFFFFFC0000000 +REG 0000000000000000 +REG 0000000000FFFFFF +REG 0000000000000000 +REG 0000000088200130 diff --git a/tests/806.bin b/tests/806.bin new file mode 100644 index 0000000..d3de693 Binary files /dev/null and b/tests/806.bin differ diff --git a/tests/806.out b/tests/806.out new file mode 100644 index 0000000..ad76e4c --- /dev/null +++ b/tests/806.out @@ -0,0 +1,32 @@ +REG 256000E625600000 +REG 0000000000000000 +REG FFFFFD9EE6256000 +REG 0000000000000001 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFD9EE6256000 +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFD9EE6256000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG DA9FFF19DA9FFFFE +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFD9EE6256000 +REG FFFFFFFFFFFFFFFF +REG FFA3FFFFFFBDDFE9 +REG FFFFFD9EE6256000 +REG 0000000000000000 +REG 056B8C42F9D7E6E6 +REG 0000000048000008 diff --git a/tests/807.bin b/tests/807.bin new file mode 100644 index 0000000..705e44a Binary files /dev/null and b/tests/807.bin differ diff --git a/tests/807.out b/tests/807.out new file mode 100644 index 0000000..9372523 --- /dev/null +++ b/tests/807.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFB88B70 +REG 0000000000000000 +REG 000000000000000A +REG FFFFFFE0000073C7 +REG F400000000C000BD +REG 0000000000000020 +REG 0000040000000000 +REG 0000000000000000 +REG 00041FFF65520000 +REG 0000000000003F61 +REG 000000000000F48F +REG 0000000000000000 +REG 000000000000000A +REG 0000000000000000 +REG 0000000000478000 +REG 0000000000800000 +REG FFFFFFFFFFB8FFFF +REG 0000000000001B2F +REG 0000001FFFB80C38 +REG 000000000000F48F +REG 0000002000000000 +REG 0000000000001B2F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0BFFFFFFFF3FFF00 +REG 0000000000000042 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 00000001011F1888 diff --git a/tests/808.bin b/tests/808.bin new file mode 100644 index 0000000..c590834 Binary files /dev/null and b/tests/808.bin differ diff --git a/tests/808.out b/tests/808.out new file mode 100644 index 0000000..1037894 --- /dev/null +++ b/tests/808.out @@ -0,0 +1,32 @@ +REG FFFFFFFFA6BA0041 +REG 0000000000000000 +REG F000000000000000 +REG 0000000079ADBDA0 +REG 0000000079A33DA0 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000029742A +REG FFFFFFFFA02A0011 +REG FFFFFFC7E9EA9840 +REG 000000005FD5FFEF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 011192AFFFFFFF7A +REG FFFFFFFFFFFFFFA0 +REG 0000000000000001 +REG 0000000079ADBDA0 +REG 0088C957FFFFF2BD +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG 000000007B65FFC5 +REG 0000000000000020 +REG FFFFFFFFFFFFFF8A +REG 0000000000000040 +REG 003A000000300000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000001111B0888 diff --git a/tests/809.bin b/tests/809.bin new file mode 100644 index 0000000..9130985 Binary files /dev/null and b/tests/809.bin differ diff --git a/tests/809.out b/tests/809.out new file mode 100644 index 0000000..3e793ac --- /dev/null +++ b/tests/809.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFE7 +REG 0000000000000000 +REG 0000000000000000 +REG 000001AB084BE1AA +REG 000001AB37850191 +REG 00007FFFFFFFFFFF +REG 0000000000000000 +REG FFFF7E54C7851E55 +REG 0000000000000003 +REG 0000000000000000 +REG 0000000000000000 +REG 000001AB378501AB +REG 00000FFFFFFFFFFF +REG 0000000000000006 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFDD5C +REG 0000000000000000 +REG 00001BC3275784B3 +REG 0000000020000000 +REG 000000000000000F +REG 0000000000009EF8 +REG 000001A000000000 +REG FFFFFE54C7851E54 +REG 0000000000000006 +REG 0000000000000001 +REG 0000000040408201 diff --git a/tests/81.bin b/tests/81.bin new file mode 100644 index 0000000..6dfaf27 Binary files /dev/null and b/tests/81.bin differ diff --git a/tests/81.out b/tests/81.out new file mode 100644 index 0000000..7c6f2cb --- /dev/null +++ b/tests/81.out @@ -0,0 +1,32 @@ +REG FFFFFFFFC00032E8 +REG 0000000020003104 +REG FFFFFFFFFFFE0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000003A9A0000 +REG 0000000000003504 +REG FFFFFFFFFFFFCAD3 +REG 0000000000000000 +REG 0000000020003104 +REG F000040000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000033C1000033C1 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFF7FCCC +REG 0000000000000000 +REG 0000000000000000 +REG F000040000000000 +REG 0000000002010201 +REG 0000000000000000 +REG FFFFFFFFFFF7FCCC +REG 000000000000003B +REG FFFFFFFFFFFFFFFF +REG 000033C1000033C1 +REG 0000000000000000 +REG 0000000000000000 +REG 0000007FFFFFFBFE +REG 7FED9FFFFFFFFFFF +REG 0000000048911080 diff --git a/tests/810.bin b/tests/810.bin new file mode 100644 index 0000000..159f88f Binary files /dev/null and b/tests/810.bin differ diff --git a/tests/810.out b/tests/810.out new file mode 100644 index 0000000..e9c5e7b --- /dev/null +++ b/tests/810.out @@ -0,0 +1,32 @@ +REG 9F3404A39F2204A3 +REG FFFFFFFF00000000 +REG FFFFFFFFFFFFFF3F +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF8317CEE0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0808080808080808 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFF7AACEE0 +REG 0000000000000000 +REG 0000000000000035 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFF2BFFFFFFAC000 +REG 000D400000054000 +REG 0000000000000000 +REG 0000000000008940 +REG FFFFFFE6FFFFFFE6 +REG FFFC00007CE7FFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000100110910 diff --git a/tests/811.bin b/tests/811.bin new file mode 100644 index 0000000..dd60ca6 Binary files /dev/null and b/tests/811.bin differ diff --git a/tests/811.out b/tests/811.out new file mode 100644 index 0000000..8ec13f6 --- /dev/null +++ b/tests/811.out @@ -0,0 +1,32 @@ +REG 000000000051BFFC +REG 00000000ED8A0000 +REG 0000000000000000 +REG FFFFFFFFFFFFA610 +REG 0000000000000000 +REG 0000000000002FD7 +REG 0000000000800000 +REG 00002FD600002FD6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000630BA610 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000002FD7 +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000051101E10 diff --git a/tests/812.bin b/tests/812.bin new file mode 100644 index 0000000..12e6f6a Binary files /dev/null and b/tests/812.bin differ diff --git a/tests/812.out b/tests/812.out new file mode 100644 index 0000000..4ff7bce --- /dev/null +++ b/tests/812.out @@ -0,0 +1,32 @@ +REG FFFFE000007FE0B2 +REG FFFFE000007FFE1F +REG FFFFFFFFFFFFDD2A +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFF0FFFFFF +REG 0AED9AB4E72443CD +REG 0000000000000000 +REG FFFFFFC000000000 +REG 00001FFFFF8001E0 +REG 0000000000000040 +REG 000000004F84888F +REG 0000000000000000 +REG 0000200C8E5F5D7F +REG FFFFE0000F7FFE1F +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000000 +REG 0000000000000000 +REG 00001FFFFF805D7F +REG 0000000C8EDF0000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFF9BE +REG 0000000000000040 +REG 0000000000000000 +REG 000000E000000000 +REG FFFFFFFFF0FFFFFF +REG 0000000000000000 +REG FFFFE000007FFE1F +REG 000000011F09085E diff --git a/tests/813.bin b/tests/813.bin new file mode 100644 index 0000000..e98918e Binary files /dev/null and b/tests/813.bin differ diff --git a/tests/813.out b/tests/813.out new file mode 100644 index 0000000..18f5733 --- /dev/null +++ b/tests/813.out @@ -0,0 +1,32 @@ +REG FFFFFF4FFFA196B4 +REG 00000000005E8003 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFDF777BC6 +REG 80000000005EC4EF +REG FFFFFFFFFFFFFAF8 +REG 00000000005E6FBF +REG FFFFFFFFFFFFFBF8 +REG 0000000000000000 +REG 0000010740888947 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFF8842 +REG 000000000000000C +REG 0000000040888842 +REG 0000000000000000 +REG FFFFFFFFFF43103D +REG 0000000000000000 +REG 0001C00000000000 +REG FFFFFFFFFFA18404 +REG FFFFFFFFFFA19040 +REG FFFFFFFFFFA19041 +REG 0000010700000107 +REG 0000000000000000 +REG 0000000000000303 +REG 0000000000000000 +REG 00000000005E6FBF +REG 000000002088A783 +REG 000000000000001C +REG 0000000000000000 +REG 000000004472EFC2 +REG 0000000041111088 diff --git a/tests/814.bin b/tests/814.bin new file mode 100644 index 0000000..8c4356f Binary files /dev/null and b/tests/814.bin differ diff --git a/tests/814.out b/tests/814.out new file mode 100644 index 0000000..4e1baa1 --- /dev/null +++ b/tests/814.out @@ -0,0 +1,32 @@ +REG 40007FF0D9E7C892 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG FFFFFFF888FFFFFF +REG 0000000056BCC1F9 +REG 0000000000000000 +REG 0000000009000000 +REG FFFFFFF888FFFFFF +REG 0000000000000000 +REG 00000000B55C0000 +REG 0000000000000000 +REG 00007FF000000000 +REG FFFFFFFFFFFFFFFF +REG BFFF8009193BC1F9 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFF76F7B70E +REG 00000000001C0000 +REG FFFFFFFFFFFFFFFF +REG F31B0DEFB943069B +REG 0000000000000000 +REG D6BCF965D6BCF965 +REG 0000000000000000 +REG 0000000016AB8000 +REG FFFFFB524FFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 40007FF08000376D +REG 0000000000000001 +REG FFFF801000000000 +REG 0000000000000000 +REG 00000000521091E8 diff --git a/tests/815.bin b/tests/815.bin new file mode 100644 index 0000000..78535b7 Binary files /dev/null and b/tests/815.bin differ diff --git a/tests/815.out b/tests/815.out new file mode 100644 index 0000000..a1152f8 --- /dev/null +++ b/tests/815.out @@ -0,0 +1,32 @@ +REG FDDAAF25DC312D77 +REG 0000000000000600 +REG 000000000044FFF9 +REG 0000000000000021 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0009144CD933B77D +REG 0000000800000008 +REG 0000000000000000 +REG 00002CD221EB2EA0 +REG 0000000000000000 +REG 0000000000000000 +REG 0009144CD9337900 +REG 0000000400000004 +REG 00002CD221EB2EA0 +REG FFFFFFFFFEB77A55 +REG 0000000500000005 +REG 0000000000000000 +REG 000000000000003A +REG FFFFFFADDE957EF9 +REG 0000005221AF8100 +REG 0000000000000029 +REG 00000000000000A0 +REG 00FFFFFFFFFF8863 +REG 000000000044AF80 +REG 000000000044AF80 +REG 0000000000000000 +REG 0000000000000008 +REG 0000000000000035 +REG 0000000000000000 +REG 0000000041110089 diff --git a/tests/816.bin b/tests/816.bin new file mode 100644 index 0000000..e3ca322 Binary files /dev/null and b/tests/816.bin differ diff --git a/tests/816.out b/tests/816.out new file mode 100644 index 0000000..95b608f --- /dev/null +++ b/tests/816.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFDF2B +REG 0000000000740C7F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF8000 +REG FFFFFFFFFFFF84E1 +REG 4750D08FFFFC0000 +REG 00000000007398FF +REG 0000000000000000 +REG 0000000000002E0F +REG 00000007FFFFFFFF +REG 0000000000000006 +REG 0000000000000000 +REG FFFC7F8470000000 +REG 3FFFFFFFFFFF8000 +REG FFFFFFFFFFFFFFDF +REG 0000000000007380 +REG 000000FC000028FC +REG 0000000000000000 +REG 0000000000000000 +REG 000000003FFF8000 +REG 00000000000037A7 +REG 0000000000007B1E +REG 0000000000000000 +REG 01FFFC0000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000007000 +REG FFFFFFFFFFFFD703 +REG 0000000088080000 diff --git a/tests/817.bin b/tests/817.bin new file mode 100644 index 0000000..b7d3475 Binary files /dev/null and b/tests/817.bin differ diff --git a/tests/817.out b/tests/817.out new file mode 100644 index 0000000..397e3aa --- /dev/null +++ b/tests/817.out @@ -0,0 +1,32 @@ +REG FFFFEFFFFFFFC895 +REG 0000000000000127 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000127 +REG 0000000000000127 +REG 0000000000007D38 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000127 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000127 +REG 0000000000000127 +REG 0000000000000000 +REG 0003FFFFFF100000 +REG 0000000002200000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000004FF80000 +REG 0000000000000000 +REG FFFFFFFFFFFFD9B2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000DD06AB6 +REG 00000000801FE01F diff --git a/tests/818.bin b/tests/818.bin new file mode 100644 index 0000000..885bd25 Binary files /dev/null and b/tests/818.bin differ diff --git a/tests/818.out b/tests/818.out new file mode 100644 index 0000000..5848416 --- /dev/null +++ b/tests/818.out @@ -0,0 +1,32 @@ +REG 17BAC4F1957D777F +REG 0000000000000A34 +REG 0000000000000000 +REG 000000000000003A +REG 0000000000000040 +REG 0000000000000000 +REG FFFF807FFFFFFF7F +REG FFFFFFFFFFFFFF7F +REG FFFFFFFFFFFFFFFF +REG FFFBF5FBFFFFFFFE +REG 40000000000000A3 +REG FFFF80BEFFFFFF7F +REG FFFF80BEFFFFFF7F +REG FFFFD4BEFFFFFF7F +REG 0000000048404880 +REG 0000000004AE5200 +REG FFFFFFFFFFFFFFFF +REG 06080808080503FF +REG FFFF807FFFFFFF7F +REG 0000000000000000 +REG FFFFFFF80BEFFFFF +REG FFFF80BEFFFFEFBE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 3FFFFFFFFFCDAA34 +REG 400000003E7C00A3 +REG FFFFFFFFFFFFF03F +REG 0000000110809101 diff --git a/tests/819.bin b/tests/819.bin new file mode 100644 index 0000000..0be4a61 Binary files /dev/null and b/tests/819.bin differ diff --git a/tests/819.out b/tests/819.out new file mode 100644 index 0000000..5c336d0 --- /dev/null +++ b/tests/819.out @@ -0,0 +1,32 @@ +REG E990479363BFED0F +REG 800000008D300000 +REG 0000000000000044 +REG 0000000000000000 +REG FFCAC21B091F0744 +REG 80000000000078CB +REG 000000000000001A +REG 0000000000000000 +REG 0000000000000000 +REG 00000BB400000004 +REG 0000000000000022 +REG 0000000000000000 +REG 0000000000000387 +REG 566FB004000021A2 +REG 220D0F0E80000000 +REG 0000000000000A75 +REG 0000000000000000 +REG FFFFFFFFFFFFDE5E +REG FFFFFFFF0751FFFF +REG 400000BB40000000 +REG 0000010000000000 +REG 00000000D88E0000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000014 +REG FFFFFFFFFFFFF9A0 +REG 0000010000000A75 +REG 0000000000000000 +REG 566FB0045C406253 +REG 000000000000001A +REG 00000000123F0F2E diff --git a/tests/82.bin b/tests/82.bin new file mode 100644 index 0000000..b45e0ed Binary files /dev/null and b/tests/82.bin differ diff --git a/tests/82.out b/tests/82.out new file mode 100644 index 0000000..482d707 --- /dev/null +++ b/tests/82.out @@ -0,0 +1,32 @@ +REG 0000000000040500 +REG 0000006AA0000000 +REG FFFFFFFFFFFFFFE6 +REG 000000000000240E +REG 0000000000000000 +REG 000000000FC7FC01 +REG 0000000000000000 +REG 0000002000000011 +REG 00000000FB9C0000 +REG 0000000074E50500 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF9FFF +REG 0000000000000020 +REG FFFFFFFFCFC7C110 +REG 0000000022AF0FFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFDD50F01E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001E +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF00000000 +REG FFFFFFFFFFFBFB00 +REG 0000000000000000 +REG 0000000049908889 diff --git a/tests/820.bin b/tests/820.bin new file mode 100644 index 0000000..a4161ff Binary files /dev/null and b/tests/820.bin differ diff --git a/tests/820.out b/tests/820.out new file mode 100644 index 0000000..09a61bc --- /dev/null +++ b/tests/820.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFF60F8 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG FE70001851A0505B +REG 0000000000000040 +REG FFFFFFFFFFFFFFDF +REG 0000000000001000 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 8000000000000000 +REG FFFFFFFFFFFFFFE1 +REG FFFFFFFFFFFF2E57 +REG 0000000000000020 +REG 0000000080000000 +REG 0000000000000001 +REG 0000000000000020 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003F +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 000000000000003F +REG FFFFFFFFAF39FFFF +REG 0000002000000020 +REG 0000000100000091 diff --git a/tests/821.bin b/tests/821.bin new file mode 100644 index 0000000..316ec01 Binary files /dev/null and b/tests/821.bin differ diff --git a/tests/821.out b/tests/821.out new file mode 100644 index 0000000..77bc7bb --- /dev/null +++ b/tests/821.out @@ -0,0 +1,32 @@ +REG 20006CDD25616800 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFF3FAFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 20006CDD200004DD +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF4363 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF4360 +REG 0000000000000000 +REG FFFFFFFFDA9EFFFE +REG 0000000020006CDD +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 1E45B8E0001FFFFF +REG 27E00000000017ED +REG 0000000000000000 +REG 0000000000000000 +REG 19F4453FC97ED27E +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 000000000000008B +REG 27E00000000017ED +REG 0000000000000001 +REG 0000000100800080 diff --git a/tests/822.bin b/tests/822.bin new file mode 100644 index 0000000..c49bb1d Binary files /dev/null and b/tests/822.bin differ diff --git a/tests/822.out b/tests/822.out new file mode 100644 index 0000000..4eab62c --- /dev/null +++ b/tests/822.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFE01E044 +REG FFFFFFFF8DFFFB2E +REG 0817F7F7F7F7B69F +REG 0817F7F7F7F7F792 +REG FFFFFFFFFFFFA3DE +REG FFFFFFFFE685FFFF +REG FFFFFFFFFE01E3BB +REG F7E8080808084960 +REG F7E8080808080838 +REG 000000000000FFF0 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG FFFFFFFFFFFFEF2E +REG 0000000000000000 +REG FFFFFFFFFFFFFFCA +REG 0000000000000000 +REG FFFFFFFFE685FFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFC0000 +REG 0000000000000001 +REG 0000000000000000 +REG 0817F7F7F7F7F792 +REG FFFFFFFFFFFC1E46 +REG 7F7F7F7F7C808140 +REG 0000000000000000 +REG 1342FFFFFFFFFFFF +REG FFFFFFFF8DFFFB2E +REG 0000002000000038 +REG 0000000000000000 +REG 00000000720004D1 +REG 000000005BFFF65C diff --git a/tests/823.bin b/tests/823.bin new file mode 100644 index 0000000..25bbd45 Binary files /dev/null and b/tests/823.bin differ diff --git a/tests/823.out b/tests/823.out new file mode 100644 index 0000000..9818d61 --- /dev/null +++ b/tests/823.out @@ -0,0 +1,32 @@ +REG 0007FFFE0007FFEF +REG 0000000000000020 +REG FFFFFFFFFFFFFFE0 +REG 00000000870E0984 +REG 0000000000000000 +REG E41ED9DFE8FED960 +REG 0000000000000000 +REG 0000000000000001 +REG 000092A414FFDE44 +REG FFFFFFFFFFFFB6AE +REG FFFFFA72FFFFB5E4 +REG 0000000000080000 +REG 00000000FC6A0000 +REG 00000000470E0984 +REG FFFFFFFEFFFFB6AE +REG 0000000000000001 +REG 0000000000000002 +REG 0000000000000000 +REG 0000001F0000001A +REG 0000000000012680 +REG 000000200000001A +REG 0000000000000000 +REG 0000000000000000 +REG 00000000870E0984 +REG 0000000000000020 +REG 000000DFD0E6819A +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG FFFFFA72FFFFB5E4 +REG 000000004E1C9308 diff --git a/tests/824.bin b/tests/824.bin new file mode 100644 index 0000000..7f095ca Binary files /dev/null and b/tests/824.bin differ diff --git a/tests/824.out b/tests/824.out new file mode 100644 index 0000000..deab0ef --- /dev/null +++ b/tests/824.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFB6 +REG 0000000000000000 +REG 0000000080000000 +REG 0000000000000000 +REG FFFFFFFFFF0001FE +REG 0000000000000000 +REG 000000000000387D +REG FFFFFFFFFFFFC7C3 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000383C +REG F000000000000000 +REG 0808080808000107 +REG 0000000000000005 +REG 000000000000398D +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000020 +REG FFFFFFFFFF0001FE +REG FFFFFFFFFFFFFFDF +REG 0000000000000002 +REG 0000000400000000 +REG 0000480000000000 +REG FFFFFFFFFFFFFF9F +REG F000000000000000 +REG FFFFFFFFFFFFFF9F +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000404 +REG 0000000048890104 diff --git a/tests/825.bin b/tests/825.bin new file mode 100644 index 0000000..767f526 Binary files /dev/null and b/tests/825.bin differ diff --git a/tests/825.out b/tests/825.out new file mode 100644 index 0000000..5250911 --- /dev/null +++ b/tests/825.out @@ -0,0 +1,32 @@ +REG 07FFB82107FF9C35 +REG FFFFFFFFFFFFFFFB +REG 003FFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000001040300 +REG FFFFFFFFFFFFFFFB +REG FFFFFFFF800023EB +REG 0000000000000000 +REG 0000000001040000 +REG 0000000000000020 +REG FFFFFFFFCC220300 +REG 00000000FFFFFFDF +REG FFFFFFFFFFFFFFFB +REG FFFFFFFFFFFC3E5C +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFB +REG 0000000000000000 +REG 07FFF7FFFFFFDC15 +REG 07FFF80000000000 +REG 0000000000000000 +REG 000000000000D784 +REG 1FFFFFF00001049F +REG 0000000054060000 +REG 000000000104353D +REG 99EEFE7F19EF236B +REG 07FFF800B36A0000 +REG 0000000080028910 diff --git a/tests/826.bin b/tests/826.bin new file mode 100644 index 0000000..043125b Binary files /dev/null and b/tests/826.bin differ diff --git a/tests/826.out b/tests/826.out new file mode 100644 index 0000000..73e4024 --- /dev/null +++ b/tests/826.out @@ -0,0 +1,32 @@ +REG F42083748A4893CE +REG 0000000000005242 +REG 0000000000000000 +REG 0000000000000940 +REG 0000000004000000 +REG 0000000000009257 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000004008ABC +REG 0000000000000960 +REG 0000000000000000 +REG FFFFFFFFC5F00000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000004000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE2 +REG 0000000001FFC025 +REG FFFFFFFFFFFFFFE2 +REG 0000000001FFC025 +REG 0000000000000000 +REG 000000003A0FFFBF +REG 0000000000000000 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 00000000000022C0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFB600 +REG 0000000088480890 diff --git a/tests/827.bin b/tests/827.bin new file mode 100644 index 0000000..e2827dd Binary files /dev/null and b/tests/827.bin differ diff --git a/tests/827.out b/tests/827.out new file mode 100644 index 0000000..796244d --- /dev/null +++ b/tests/827.out @@ -0,0 +1,32 @@ +REG FFFFFFFFF32C83A5 +REG FFFFFFFFFFFFFFF0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 000000200000001C +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFEB5D92A5 +REG 0000000000000000 +REG FFFFFFFFFFFFEF9B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFEF9B +REG 000000000000587D +REG 0000000000000000 +REG 00000000000093C0 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFC0 +REG FFFFFFFFFFFFFFFF +REG 00000000F32C0000 +REG FFFFFFFFFFFFFFF0 +REG 0000000000000000 +REG 0000000088FE8899 diff --git a/tests/828.bin b/tests/828.bin new file mode 100644 index 0000000..661b939 Binary files /dev/null and b/tests/828.bin differ diff --git a/tests/828.out b/tests/828.out new file mode 100644 index 0000000..aee4552 --- /dev/null +++ b/tests/828.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFDC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000004000000 +REG 8000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 001B800000000000 +REG FFFFFFFF6F783984 +REG 0000000000000000 +REG 0000000000020000 +REG 7FFFDC56000FDC56 +REG 0000000000000000 +REG 0000000000000021 +REG 0000000000000040 +REG 0000000010000000 +REG 0000000000FFFFFF +REG 7FFFDC56000FDC56 +REG FFE4800000FFFFFF +REG 0000000000FFFFBF +REG 0040FC000100FBFF +REG 0000000000000000 +REG 0000000000FFFFFF +REG 0040FC000000FC00 +REG 8000000000000000 +REG FFFFFFFF00FFFFFF +REG FFFFFFFFFBFFFFE3 +REG 0000000000000000 +REG 80000000000008EA +REG 0000000000000000 +REG 000000008800107C diff --git a/tests/829.bin b/tests/829.bin new file mode 100644 index 0000000..3a4cdf1 Binary files /dev/null and b/tests/829.bin differ diff --git a/tests/829.out b/tests/829.out new file mode 100644 index 0000000..5d327ce --- /dev/null +++ b/tests/829.out @@ -0,0 +1,32 @@ +REG F3E9BFFDFD49032C +REG 0000000000000000 +REG 0000000000059804 +REG 0000000000000000 +REG 0000000000059804 +REG 0000000000000000 +REG B56E92F896F3FD30 +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG 0000000017EAC4D3 +REG 00000000FFFFFFF0 +REG 0000000000000000 +REG 00000000F63D9819 +REG 000001012008000A +REG 0000000000059819 +REG FFFFFFFFFFFE5EDB +REG FFFFFFFF84900001 +REG 0000000000000020 +REG 0000000000000000 +REG 00003FFFFFFFFFFF +REG FFFFFE5EDB1452C5 +REG 00000000E897EF74 +REG 0000000000000000 +REG 0000000008080804 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFD76FC822 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000100010008 diff --git a/tests/83.bin b/tests/83.bin new file mode 100644 index 0000000..23304d5 Binary files /dev/null and b/tests/83.bin differ diff --git a/tests/83.out b/tests/83.out new file mode 100644 index 0000000..60d39d9 --- /dev/null +++ b/tests/83.out @@ -0,0 +1,32 @@ +REG FFE1FFFFFFFFFFF9 +REG 0007800000000000 +REG FFFFFFFFF07FFFFF +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000015 +REG 0000000000000000 +REG 0000000001400000 +REG 0000000000000000 +REG FFFFFFFF360DFFFF +REG 00000000FC4A0000 +REG 00000000000064F2 +REG FFFFFFFFFEBFFFFF +REG 0000000000080000 +REG 0000000000000000 +REG 000001FFF1408F00 +REG 00000000107FFF00 +REG FFFFFFFFFFFFFFFF +REG FFF87FFF03B5FFFF +REG FFFFFFFFFC3FF5F1 +REG 0000000000010000 +REG 000003AFDC530000 +REG 000000200000001B +REG FFFFFFFFFFFFFFFF +REG 0000000000A00000 +REG 0000000000000000 +REG 00000000D2B20000 +REG 0000000000002B73 +REG 0000000000000000 +REG 00D97F85CFE10000 +REG 0000000000000000 +REG 0000000040909080 diff --git a/tests/830.bin b/tests/830.bin new file mode 100644 index 0000000..b1fe717 Binary files /dev/null and b/tests/830.bin differ diff --git a/tests/830.out b/tests/830.out new file mode 100644 index 0000000..12dc2d7 --- /dev/null +++ b/tests/830.out @@ -0,0 +1,32 @@ +REG 000000200000002B +REG FFFFFFFFFFFFFFFF +REG FFFFFFDFFFFFFFDF +REG 0000000000000000 +REG 000000000000000C +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000003000 +REG 000000000000EB12 +REG 0000000000000000 +REG 0000000000002850 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000004468 +REG 000000000000EB12 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFF78DA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000004468 +REG 0000000000000000 +REG FFFFFFFFFFFFCFFF +REG 000000000000EB12 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000400011E0 diff --git a/tests/831.bin b/tests/831.bin new file mode 100644 index 0000000..7d1b640 Binary files /dev/null and b/tests/831.bin differ diff --git a/tests/831.out b/tests/831.out new file mode 100644 index 0000000..139bc4a --- /dev/null +++ b/tests/831.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFDFFFDFF +REG 0000000000000401 +REG 0000000020000000 +REG 0000000000000000 +REG FFFFFFFDE175FF87 +REG 0000000000000000 +REG 0000000000002769 +REG 00000076F22BC000 +REG 0000000000000000 +REG FFFFFFFFFFFF880C +REG 0000000000000020 +REG 0000000088270000 +REG 0000000000000020 +REG 0000000000000000 +REG 000001FBFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000004000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000003B80 +REG 0000000000000000 +REG 0000000002000501 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFF7FFFFFFF7FFF +REG 0000000083128090 diff --git a/tests/832.bin b/tests/832.bin new file mode 100644 index 0000000..4fcc754 Binary files /dev/null and b/tests/832.bin differ diff --git a/tests/832.out b/tests/832.out new file mode 100644 index 0000000..e8025c0 --- /dev/null +++ b/tests/832.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG FFFFFFFFFFFFFFDE +REG 0000000000000015 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFA2FA +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000021 +REG FFFFFFFFFFFFA2D9 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000008AE3FFFFFFF +REG 0000000000000040 +REG FFFFFFFFFFFFA2D9 +REG 0000001E0000001E +REG 0000000000000000 +REG 0000000000000000 +REG 882CF96400000000 +REG FFFFFFFFFFFFA2F9 +REG 0000000000600000 +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFFFFFA2D9 +REG 0000000000000001 +REG 8FFFFFFFFFFFA2D8 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000000000000 +REG 05D5B00000000000 +REG 0000000040000000 +REG 000000004880BB0C diff --git a/tests/833.bin b/tests/833.bin new file mode 100644 index 0000000..b217de0 Binary files /dev/null and b/tests/833.bin differ diff --git a/tests/833.out b/tests/833.out new file mode 100644 index 0000000..51a1bdc --- /dev/null +++ b/tests/833.out @@ -0,0 +1,32 @@ +REG A21200805CE80480 +REG 000002037C8DFFFF +REG 000000060000000B +REG FFFFFFFFFFFFFFFF +REG 000103BFC145D4C9 +REG 0000000000000000 +REG B36FFFE1FFFFFFF5 +REG FFFFFFFFD31CFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000026 +REG 0000000000000001 +REG 0000000000000079 +REG FFFFFFF800000FFF +REG 0013508041142E92 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000002B +REG 0000000000200000 +REG 0000000000000000 +REG 000103BF9B2B515E +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000B +REG FFFFFFFFFFFFFFFF +REG 000103BF7CE55160 +REG 000000000000AC00 +REG 000000001E45FFFE +REG 0000000081089081 diff --git a/tests/834.bin b/tests/834.bin new file mode 100644 index 0000000..ee04184 Binary files /dev/null and b/tests/834.bin differ diff --git a/tests/834.out b/tests/834.out new file mode 100644 index 0000000..b47e7ba --- /dev/null +++ b/tests/834.out @@ -0,0 +1,32 @@ +REG 00167D0025DAF423 +REG 00000000E674C188 +REG 0000000000180000 +REG C47CE0B7047CE0B1 +REG 00000000E672C188 +REG 0000000000000000 +REG AFFF0000EC630000 +REG 000000000000AFFF +REG 0000000000180000 +REG 0000002FFFFFCD54 +REG FFFFFFFFE5C2FFFC +REG 0000000000000000 +REG 0000000000020000 +REG 0000000000000000 +REG 3FFFFFD0000032A4 +REG FFFFFFCFFFFFFFFF +REG 00000000E674C188 +REG 0000003000000000 +REG C2FFFC00068F47E5 +REG C2FFFC00000007E5 +REG 0000000000180000 +REG 0000000000000000 +REG 00000000068F4000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000005438 +REG 3FFFFFFFFFFFFFF9 +REG 000007E5000007E5 +REG 0000000000000004 +REG 0000000000002BFF +REG 0000000098C00805 diff --git a/tests/835.bin b/tests/835.bin new file mode 100644 index 0000000..715ce61 Binary files /dev/null and b/tests/835.bin differ diff --git a/tests/835.out b/tests/835.out new file mode 100644 index 0000000..206d1b0 --- /dev/null +++ b/tests/835.out @@ -0,0 +1,32 @@ +REG 0000000080428428 +REG 00000000000001EE +REG 00000000000001EF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080428428 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000CB62 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000040428428 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000DE5E0000 +REG 00000001000509D0 diff --git a/tests/836.bin b/tests/836.bin new file mode 100644 index 0000000..4547fda Binary files /dev/null and b/tests/836.bin differ diff --git a/tests/836.out b/tests/836.out new file mode 100644 index 0000000..06dd19e --- /dev/null +++ b/tests/836.out @@ -0,0 +1,32 @@ +REG EEF1C7FFD68987FE +REG 3BD2B48000000000 +REG 0000000000000004 +REG D687FAF7D687FAF7 +REG 000000008E174948 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000004948 +REG 0000000000000001 +REG 00000FFC0000000D +REG 00000000FAD12233 +REG EFFFFFFF00000001 +REG 0000000000000028 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFF00000000 +REG 0000000080000000 +REG 212533B2200003B2 +REG 00000FFC00004CB0 +REG 0000000000000013 +REG FFFFFFFFFFFFFFFF +REG 00000000FFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 10D8C000212533B2 +REG 00000FFC00004CB0 +REG F000000000000000 +REG FFFFFBFFFFFFF9FF +REG DEDACC4DDFFFFC4D +REG FFFFFFFFFFFFFFFF +REG 0000000100000000 +REG FFFFFFFF00000004 +REG 0000000110491291 diff --git a/tests/837.bin b/tests/837.bin new file mode 100644 index 0000000..f29d21d Binary files /dev/null and b/tests/837.bin differ diff --git a/tests/837.out b/tests/837.out new file mode 100644 index 0000000..b68dc9e --- /dev/null +++ b/tests/837.out @@ -0,0 +1,32 @@ +REG 0000000000000040 +REG 0000000000F2D59F +REG FFFFFFFFFFFFFFFF +REG 00000003BF9EFC00 +REG 00000003FFDEFC00 +REG 00000003BEC0FC00 +REG 1E5AFFFFFE5AFFF9 +REG FFFFFFFFFFFFFFFF +REG 201EDD5D98BD6C00 +REG 0808080808030200 +REG FFFFFFFFFFFFFFB6 +REG 00000003BEC0CE45 +REG E1A5000001A50006 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000012 +REG 0000000000000000 +REG 00000000403FCDB6 +REG 1E5B00001E5AB3F0 +REG 00000000403FCDB6 +REG FFFFFFFFFFFFB3F6 +REG 0000000000000000 +REG FFFFFFFFC090324A +REG FFFFFFFFFFFFFFBF +REG 0000000020000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 00000003FFDEFC00 +REG 0000000000000000 +REG 0000000000000000 +REG 201EDD5D98BD6C00 +REG 0000000091B00901 diff --git a/tests/838.bin b/tests/838.bin new file mode 100644 index 0000000..a270246 Binary files /dev/null and b/tests/838.bin differ diff --git a/tests/838.out b/tests/838.out new file mode 100644 index 0000000..622b9b2 --- /dev/null +++ b/tests/838.out @@ -0,0 +1,32 @@ +REG 000000002A4D360F +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFF02509 +REG FF800FFFFF800FFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000FFFE1 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 00000000000FFFE1 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000100000001 +REG 0000000000000000 +REG 000000002FC80000 +REG 0000000000000000 +REG 000000000000B961 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000000D360E +REG 000000005FE1E9FE diff --git a/tests/839.bin b/tests/839.bin new file mode 100644 index 0000000..73748ec Binary files /dev/null and b/tests/839.bin differ diff --git a/tests/839.out b/tests/839.out new file mode 100644 index 0000000..f4a8280 --- /dev/null +++ b/tests/839.out @@ -0,0 +1,32 @@ +REG 9D00000000000030 +REG FFFFFFFFFFFFFFFF +REG 0000000020000000 +REG 0000000000148000 +REG FFFFFFFFFFFFEF63 +REG 0000000000000000 +REG 0000000000000019 +REG 000000000000109D +REG 0000000000000001 +REG 0000000000000000 +REG 0000000300000000 +REG 0000000000000000 +REG FC40C0544FCEA000 +REG 0000000000000000 +REG 00000054749D57D9 +REG 0000000000000000 +REG 00000000FFFFFA6F +REG 00000000E1CC0001 +REG 0000000000000000 +REG FFFFFFFFFFFFFD37 +REG FFFFFFFFFFFFFFFF +REG 02003D2AA020109C +REG 000000000000109D +REG FFFFFFFFFFFFD08E +REG D45371AE75681BE0 +REG E000000000000000 +REG 0000000000000000 +REG FFFFE00000000000 +REG FC40C0544FCE8F63 +REG 0000000000000000 +REG 00000000E2EC0000 +REG 0000000081E1C8C8 diff --git a/tests/84.bin b/tests/84.bin new file mode 100644 index 0000000..a18f315 Binary files /dev/null and b/tests/84.bin differ diff --git a/tests/84.out b/tests/84.out new file mode 100644 index 0000000..1286d33 --- /dev/null +++ b/tests/84.out @@ -0,0 +1,32 @@ +REG FFFFFFFFC1940000 +REG 0000000000000040 +REG 0000000000000006 +REG FFFFFFFFFFF020FF +REG 0000002100000021 +REG 0000000000300000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000030 +REG 0000000000000000 +REG 0000000000000000 +REG FFF01F21FFF01F21 +REG FFFFFFFFFFFFC000 +REG F4F3FFFFC72B0000 +REG 000000008FF84284 +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG 000000007FFFFFFF +REG 0000000000000000 +REG 0000000000307CEA +REG 0000000000000000 +REG FFFFFFFFFFFF9FDD +REG 0000000000000000 +REG 0000000000000000 +REG F4F3FFFFFFFF0000 +REG 00000020FFFFFF22 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000020 +REG FFFFFFFFFFF01FFF +REG 000000005FF10508 diff --git a/tests/840.bin b/tests/840.bin new file mode 100644 index 0000000..5a15d15 Binary files /dev/null and b/tests/840.bin differ diff --git a/tests/840.out b/tests/840.out new file mode 100644 index 0000000..525b455 --- /dev/null +++ b/tests/840.out @@ -0,0 +1,32 @@ +REG 006D9C2009269E13 +REG 0000000000000000 +REG 0000000004800000 +REG 0000000000000040 +REG FFFFFFFFF6D2000F +REG FFFFFFFFF0036CE0 +REG 0000000024448F84 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFF00FFFF0000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000001FFFFFFF +REG 0000000000000020 +REG FFFFFFFFF6D2000F +REG FFFFFFFFFB7F8CFF +REG 0000000004807302 +REG 000000000000000B +REG 0000000000000000 +REG 0000000000009B5D +REG 0000000004807301 +REG 0000000000000000 +REG 0000000004807301 +REG FFFFFFFFFFFFFFFF +REG 0000000000009B5D +REG 0000000022FF000F +REG 0000000088891F08 diff --git a/tests/841.bin b/tests/841.bin new file mode 100644 index 0000000..78036c8 Binary files /dev/null and b/tests/841.bin differ diff --git a/tests/841.out b/tests/841.out new file mode 100644 index 0000000..ca19fc4 --- /dev/null +++ b/tests/841.out @@ -0,0 +1,32 @@ +REG 000000000000334E +REG 0000000000000039 +REG FFFFFFFFFFC00000 +REG FFFFFFFFFFC00000 +REG 3FFD0000000043C7 +REG 0000000000000000 +REG 3FFD000000000039 +REG 0000000000000008 +REG 000000000000334E +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000FF00 +REG FFFFFFFFD4C4FFFF +REG 0000000000000000 +REG 0000000000000000 +REG C002FFFFFFFFFFFE +REG 0000000000000039 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000AF570008 +REG 0000000000000000 +REG 3FFD00009E970039 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFCCB2 +REG 000000000000005E +REG 000000000000331E +REG 0000000000000000 +REG 0000000000000008 +REG 000000000000334E +REG 000000008000111E diff --git a/tests/842.bin b/tests/842.bin new file mode 100644 index 0000000..0b3645f Binary files /dev/null and b/tests/842.bin differ diff --git a/tests/842.out b/tests/842.out new file mode 100644 index 0000000..35201e2 --- /dev/null +++ b/tests/842.out @@ -0,0 +1,32 @@ +REG 00000000000036B7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000004040001 +REG 0000000000000000 +REG 0000000000000063 +REG FFFEFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000135 +REG 0000000004040001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000063 +REG 0000000000000000 +REG 0000000000000000 +REG 0020000000008080 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000719A0020 +REG FFFFFFFFFFFFFF3A +REG 0000000040000000 +REG 0000000000005061 +REG 0000000000000000 +REG 0000000000000000 +REG 0001000000000000 +REG 0000000000000000 +REG 0000000000005126 +REG A24C000000000000 +REG 0000000000007809 +REG 00FFFFFFFFFF0000 +REG 0000000000000000 +REG 0000000101110105 diff --git a/tests/843.bin b/tests/843.bin new file mode 100644 index 0000000..ccdf0f9 Binary files /dev/null and b/tests/843.bin differ diff --git a/tests/843.out b/tests/843.out new file mode 100644 index 0000000..030969d --- /dev/null +++ b/tests/843.out @@ -0,0 +1,32 @@ +REG FFBE7DF7FFBE536D +REG 0000001B007FFF9B +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFF44 +REG 0000000000000000 +REG 0000000000000007 +REG 7D165FFFFD100000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFB4E +REG 000000017D100000 +REG 00000000000004B0 +REG FFFFFFFFFFFFFFFE +REG 0000001B007FFF9B +REG 0000001B008013F5 +REG 00000000800007E5 +REG 0000000000000000 +REG 00000001FD100000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG F803E8B2F7FF8FC3 +REG 0000000000000000 +REG 07FC26078A67703D +REG 0000000000000000 +REG D165F007C0000000 +REG 000000000000002E +REG FFBE7DF7FFBE7DF7 +REG 0000000080000000 +REG FFFFFFFFFFFFFFFF +REG 0000000040010430 diff --git a/tests/844.bin b/tests/844.bin new file mode 100644 index 0000000..f2aebb3 Binary files /dev/null and b/tests/844.bin differ diff --git a/tests/844.out b/tests/844.out new file mode 100644 index 0000000..51d9e9d --- /dev/null +++ b/tests/844.out @@ -0,0 +1,32 @@ +REG FFFFFFFFBFFDC020 +REG 00000000000003FF +REG FFFFFFFFFFFFFF04 +REG 0000000000000000 +REG 000000000000CB02 +REG 0000000219880000 +REG 00000000000046D0 +REG 7FFF7EEA08004D0D +REG 0000000000000000 +REG 0000000000000304 +REG 0000000400000000 +REG 0000000000000001 +REG 0000000400002A33 +REG 0000000000000E3C +REG 0000000000000000 +REG 0000000400000000 +REG 0000000040000000 +REG FFFFFFFF8E20438E +REG 0000000000000000 +REG 000000000000438F +REG 0000000000000001 +REG 000000000000438F +REG 000000000000081B +REG FFFFFFFFBFFFFFFF +REG FFFFFFFF8E20438E +REG 0000000071E00000 +REG 0000000000000000 +REG 000000000000081A +REG 0000000000000000 +REG 00000000000000FB +REG 7FFF7EEA08004331 +REG 0000000080881A9E diff --git a/tests/845.bin b/tests/845.bin new file mode 100644 index 0000000..9b63499 Binary files /dev/null and b/tests/845.bin differ diff --git a/tests/845.out b/tests/845.out new file mode 100644 index 0000000..c0a87cf --- /dev/null +++ b/tests/845.out @@ -0,0 +1,32 @@ +REG 7FFFFFFFF00FFFF8 +REG 0000000000000000 +REG 0000000008080402 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000005 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000008080402 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF7FD07FB8 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000FFFFB460 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 001005EF08407F31 +REG 0000000000000000 +REG FFFFFFFFDFFFFFFF +REG 0000000000000000 +REG 0000000000007B73 +REG 00000000885F088C diff --git a/tests/846.bin b/tests/846.bin new file mode 100644 index 0000000..808fe99 Binary files /dev/null and b/tests/846.bin differ diff --git a/tests/846.out b/tests/846.out new file mode 100644 index 0000000..ef0687a --- /dev/null +++ b/tests/846.out @@ -0,0 +1,32 @@ +REG 00000000B369A5A6 +REG 0000000040000000 +REG 0000000033270000 +REG FFFFFFFF33270000 +REG 0000000000000010 +REG FFFFFFFFFF880000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020001F65 +REG 0000000000000000 +REG 000000003FFFFFF0 +REG FFFFFFFFFFFFFFFF +REG 0000001000000000 +REG 0000000000000000 +REG FFFFFFCD188D8094 +REG FFFFFFFFFF87EADA +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0181F6D58185F6D6 +REG 0000000000780000 +REG 0000000040000000 +REG 0000000000000000 +REG 0000FB6AC0C2FB6A +REG 0000000000000000 +REG 0000000000780000 +REG 00000000002051F5 +REG 80007DB560617DB5 +REG 0000000000000000 +REG 000000005E910911 diff --git a/tests/847.bin b/tests/847.bin new file mode 100644 index 0000000..e9871c9 Binary files /dev/null and b/tests/847.bin differ diff --git a/tests/847.out b/tests/847.out new file mode 100644 index 0000000..222a61b --- /dev/null +++ b/tests/847.out @@ -0,0 +1,32 @@ +REG FFFFFFFFDB7BE77A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFD612 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 00000000000060BB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 00000000FFFFFFF0 +REG FFFFFFFFFFFF9F44 +REG 0000000000000000 +REG 21425B8800038034 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 000182EC00000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF8830 +REG 00000000C9730000 +REG 000000009FE83F08 diff --git a/tests/848.bin b/tests/848.bin new file mode 100644 index 0000000..5dcdacc Binary files /dev/null and b/tests/848.bin differ diff --git a/tests/848.out b/tests/848.out new file mode 100644 index 0000000..de256cc --- /dev/null +++ b/tests/848.out @@ -0,0 +1,32 @@ +REG FFFFFFFFD0FBFB74 +REG 000000002F040488 +REG 0000000000000FF0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000022040488 +REG 00000000D16764D1 +REG FFFFFFFFFFFFFFFF +REG 000000002F040488 +REG 000000005E080910 +REG 00000000D16764D1 +REG 0000000000000000 +REG 000000000000C5C9 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000004 +REG 000000002F040488 +REG 0000000000000000 +REG 000000000000003D +REG 0000000022040248 +REG 0000000000000FF0 +REG FFFFFFFFFFFE8B3D +REG 0000000000000FEF +REG C101220BC101220B +REG FFFFFFFFFFFE8B3E +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000C9764D1 +REG 0000000000000000 +REG 0000000084080490 diff --git a/tests/849.bin b/tests/849.bin new file mode 100644 index 0000000..642fc96 Binary files /dev/null and b/tests/849.bin differ diff --git a/tests/849.out b/tests/849.out new file mode 100644 index 0000000..69fc718 --- /dev/null +++ b/tests/849.out @@ -0,0 +1,32 @@ +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000003FFE1 +REG 0000000000000000 +REG 0000000000000AD3 +REG 0000200000000000 +REG 0000000000000005 +REG 0000000000000000 +REG 0000000000000034 +REG 0000080000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000001FF6BD0000 +REG 0000000000000034 +REG 0000000000000000 +REG 0000000000000100 +REG 0000000008000000 +REG 0000000000001903 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 0BFFFFFFFF800025 +REG FFFFFFF97D500001 +REG 0000000000000100 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000111E08921 diff --git a/tests/85.bin b/tests/85.bin new file mode 100644 index 0000000..e06d510 Binary files /dev/null and b/tests/85.bin differ diff --git a/tests/85.out b/tests/85.out new file mode 100644 index 0000000..320b518 --- /dev/null +++ b/tests/85.out @@ -0,0 +1,32 @@ +REG 0000000000003C22 +REG 0000000000000000 +REG 005B5E90005B5C10 +REG 0000000003000001 +REG 000000000000B6BD +REG FFFFFFFF00FF0000 +REG FFFFFFFFFFFF4942 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 005B5E90005B5155 +REG 0000000020003C23 +REG FFFFFFFFDFFF4942 +REG 005B5E90205C12CE +REG 0000000000000000 +REG FFFFFFFFFFFF4942 +REG 005B5E90005BAA3B +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000002DAF +REG 00FFFFFF0000FFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFE928 +REG 00000000E7210000 +REG FFA4A16FDFA48288 +REG 4000000000006561 +REG 45B553866FFEF564 +REG 0000000000000000 +REG 005B5E90005B5155 +REG 0000000080910910 diff --git a/tests/850.bin b/tests/850.bin new file mode 100644 index 0000000..b8547d4 Binary files /dev/null and b/tests/850.bin differ diff --git a/tests/850.out b/tests/850.out new file mode 100644 index 0000000..54a987f --- /dev/null +++ b/tests/850.out @@ -0,0 +1,32 @@ +REG 0000000000404000 +REG 080000000FFC0000 +REG 0000000000000000 +REG 00001FC000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF800000FF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF7FFFFFFF +REG 0003FFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000023960000 +REG 0000000000000000 +REG 0000000000080300 +REG 0000000000000000 +REG 0002080808080807 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000080300 +REG 0000000000000000 +REG 0002080808080807 +REG 000000007FFFFE84 +REG 0000000000400000 +REG FFA260B560000000 +REG FFFFFFFF7FFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000007FFFFFFF +REG 0000000040905048 diff --git a/tests/851.bin b/tests/851.bin new file mode 100644 index 0000000..47827aa Binary files /dev/null and b/tests/851.bin differ diff --git a/tests/851.out b/tests/851.out new file mode 100644 index 0000000..e6afbc5 --- /dev/null +++ b/tests/851.out @@ -0,0 +1,32 @@ +REG FFFDE0F0FFFD96E1 +REG FFFFFFFFFFF1873C +REG FFFFFFFFFFFFFA5F +REG FF000000000157E1 +REG 0000000000003631 +REG 000000000000003C +REG 0000000000000020 +REG FFFFFFFFFFFFFFDF +REG 0000000000000040 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0808037608080376 +REG 0000000059580005 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFBB75FFFFBB75 +REG FFFFFFFFFFFFA319 +REG FFFFFFFFFFFFC116 +REG FFFFFFFFFFFFBFCE +REG 0000000000000000 +REG 000000001CC90000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000011 +REG 0000000041FF9701 diff --git a/tests/852.bin b/tests/852.bin new file mode 100644 index 0000000..e7dac72 Binary files /dev/null and b/tests/852.bin differ diff --git a/tests/852.out b/tests/852.out new file mode 100644 index 0000000..abf3d57 --- /dev/null +++ b/tests/852.out @@ -0,0 +1,32 @@ +REG F5AD6CFFEE01661A +REG 0000000000000000 +REG FFFFFFFFFFFFFE00 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000022 +REG 0000000000000000 +REG 0000000000000000 +REG 00000001FFFFFF00 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FF0000040003EF7E +REG 0000002000000000 +REG 0000000000000020 +REG 0000000000000026 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000400000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FF0000040003AD70 +REG 0000000000000022 +REG 0000000000000000 +REG 000000005667FFFF +REG 000000000000EB67 +REG 0000000049105000 diff --git a/tests/853.bin b/tests/853.bin new file mode 100644 index 0000000..08a7941 Binary files /dev/null and b/tests/853.bin differ diff --git a/tests/853.out b/tests/853.out new file mode 100644 index 0000000..aaa977e --- /dev/null +++ b/tests/853.out @@ -0,0 +1,32 @@ +REG 000001F08F0433EF +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFF6E17D945 +REG C109DD1FFFF8ECDD +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF6E17FFFF +REG 000003BA400003BA +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0001DD200001DD21 +REG 0000000000000000 +REG 0000000000000000 +REG FFFE22DFFFFE22DF +REG 0000000000000000 +REG 0000000000FFE000 +REG 0000000000000000 +REG FFFFFFFFFC3FFFFF +REG 000007FFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF70FBCC10 +REG 0020000000000000 +REG 000000008F0433EF +REG 0000000000000000 +REG 0000000089F00486 diff --git a/tests/854.bin b/tests/854.bin new file mode 100644 index 0000000..bdf45b9 Binary files /dev/null and b/tests/854.bin differ diff --git a/tests/854.out b/tests/854.out new file mode 100644 index 0000000..d6c6ee7 --- /dev/null +++ b/tests/854.out @@ -0,0 +1,32 @@ +REG FFFEFFFC69AC03A8 +REG 0000000000000244 +REG 0000000000000000 +REG 000000000000658B +REG 000000000002CB80 +REG FFFEFFFF3072FFFF +REG 0000000000000000 +REG 0000030000000000 +REG 0000000000000001 +REG FFFF000000000000 +REG 0000100000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000260E00000000 +REG 0000000000000000 +REG 0000000000000003 +REG 0000000000000000 +REG 000000000071A6B3 +REG 00000000CF8D0001 +REG 0000000000000040 +REG 0000000000000000 +REG 8397FFF983800009 +REG 00010000CF8D0000 +REG 000000000071A6B3 +REG 0000000000000003 +REG FFFEFFFFFFFFFFFF +REG 0000000000000003 +REG FFFCFFFD9158FFFD +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000050111090 diff --git a/tests/855.bin b/tests/855.bin new file mode 100644 index 0000000..6550681 Binary files /dev/null and b/tests/855.bin differ diff --git a/tests/855.out b/tests/855.out new file mode 100644 index 0000000..d6c976f --- /dev/null +++ b/tests/855.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 2088000000000000 +REG FE00000000000001 +REG FFFFFFFFEC8DFFCC +REG FFFFFFFFEC8D5C57 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0101010101010101 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000068000000 +REG 01FFFFFFFFFFFFFF +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG FDF9BCEDFDE9BCED +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000005F36 +REG FEC8DFFCCFFFF800 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001F +REG 0000000000000000 +REG 0000000000000040 +REG FE00000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000013720034 +REG 00000000811FFE00 diff --git a/tests/856.bin b/tests/856.bin new file mode 100644 index 0000000..ec450a4 Binary files /dev/null and b/tests/856.bin differ diff --git a/tests/856.out b/tests/856.out new file mode 100644 index 0000000..8989a4e --- /dev/null +++ b/tests/856.out @@ -0,0 +1,32 @@ +REG 000007FFF800BEC5 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 00000000A039585B +REG FFFFFFFF4974DF7B +REG 0000000000009FE8 +REG 000000000000585B +REG 000000000000AB3B +REG 0000000000000000 +REG FFFFFFFFFFFF46C4 +REG FFFFFFFFFFF00000 +REG FFFFFFFFFFFFFFFE +REG 00000000000046C4 +REG 0000000000000040 +REG FFFFFFFFFFFFA7A4 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF4974D720 +REG 0000000000000000 +REG FFFFFFFFFFFFE7ED +REG 0000000000000000 +REG 0000000000001A07 +REG 0000000000700000 +REG 0000000000000000 +REG 000000000000FC48 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001A07 +REG 0000000007FFF800 +REG 0000001000000010 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000108001000 diff --git a/tests/857.bin b/tests/857.bin new file mode 100644 index 0000000..a047a01 Binary files /dev/null and b/tests/857.bin differ diff --git a/tests/857.out b/tests/857.out new file mode 100644 index 0000000..d621772 --- /dev/null +++ b/tests/857.out @@ -0,0 +1,32 @@ +REG 0000001400000005 +REG 000007C000000000 +REG 000000200000001B +REG FFFFFFFDFFE00000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFBFA0BE6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000405F419 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000040040882 +REG 0000000000000000 +REG FFFFFFFF4CBC0BE5 +REG FE0003FFFE0003FF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFBFA0BE5 +REG 0000000000000000 +REG 8008110000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FBFFFFFFFE000000 +REG FFFFFFFFBFFBF77D +REG FFFFFFFFFBFA0BE5 +REG 0000000100091104 diff --git a/tests/858.bin b/tests/858.bin new file mode 100644 index 0000000..69d6da0 Binary files /dev/null and b/tests/858.bin differ diff --git a/tests/858.out b/tests/858.out new file mode 100644 index 0000000..34009fd --- /dev/null +++ b/tests/858.out @@ -0,0 +1,32 @@ +REG 000000471DA8AEF5 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000510A +REG FFFFFFFFFFFCFF7D +REG 000000000000510A +REG 0000000017C7A641 +REG 0000000062E020DB +REG 0000000000000000 +REG FFFFFE0000BAF453 +REG 0000000017C7A641 +REG 0000000062E020DC +REG 0000000017C7A640 +REG FFFFFFFFFFFFFFFF +REG 000000001FE20000 +REG 0000000020450000 +REG FFFFFFFFFFFFFFFF +REG 3C02595EE000FB18 +REG 000002FC21E60000 +REG FFFFFF7184200000 +REG 0000000000000001 +REG FFFFFFFFFFFF98B8 +REG 0000000000008000 +REG FFFFFFFFE83859BF +REG 0000000017C7A640 +REG FFFFFD03DE199E46 +REG E000000000000000 +REG 0000008E7BDBFFFF +REG 0000000000000000 +REG 000000005F1F1885 diff --git a/tests/859.bin b/tests/859.bin new file mode 100644 index 0000000..f0184b4 Binary files /dev/null and b/tests/859.bin differ diff --git a/tests/859.out b/tests/859.out new file mode 100644 index 0000000..4076367 --- /dev/null +++ b/tests/859.out @@ -0,0 +1,32 @@ +REG E920440020000017 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000084000000 +REG FFFFFFFFFFFFA779 +REG FFFFFFFFFFFFA779 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000FFA778 +REG 000000000000F5DA +REG 000000000000F5DA +REG F7FFFFFFFFEE1FFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFF7E2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000FFF +REG 0000000000000003 +REG 000000004400A770 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000F5DA +REG 0000000048014E40 diff --git a/tests/86.bin b/tests/86.bin new file mode 100644 index 0000000..bf2600f Binary files /dev/null and b/tests/86.bin differ diff --git a/tests/86.out b/tests/86.out new file mode 100644 index 0000000..e750985 --- /dev/null +++ b/tests/86.out @@ -0,0 +1,32 @@ +REG 00000000000077BE +REG 0000000000007FBE +REG 0000000000000000 +REG FFFFEBF5FFFFEBED +REG 000000000120005E +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFF8EE10001 +REG FFFFC000FFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFC4A2 +REG FFFFFFFF7FFFFFBF +REG FFFFFFFFFFFF8EE1 +REG 0000000000000000 +REG C7707C0080000000 +REG FFFFFFE000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFD7EC +REG 0000002000000000 +REG 0000000001201FFF +REG 0000000000000000 +REG 0000000D0000000D +REG 000000000000001B +REG 0000000000000000 +REG 0000002000000000 +REG FFFFFFFFFFFFC4A2 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000080400001 diff --git a/tests/860.bin b/tests/860.bin new file mode 100644 index 0000000..2d28523 Binary files /dev/null and b/tests/860.bin differ diff --git a/tests/860.out b/tests/860.out new file mode 100644 index 0000000..be18cb6 --- /dev/null +++ b/tests/860.out @@ -0,0 +1,32 @@ +REG 040000000000AA12 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG E000000000000000 +REG 0000004000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF7FBFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFC000 +REG FFFFFFFFFFFFE459 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000050800002 diff --git a/tests/861.bin b/tests/861.bin new file mode 100644 index 0000000..d95020d Binary files /dev/null and b/tests/861.bin differ diff --git a/tests/861.out b/tests/861.out new file mode 100644 index 0000000..d7e9b0f --- /dev/null +++ b/tests/861.out @@ -0,0 +1,32 @@ +REG 0000000020000881 +REG 0000000000000000 +REG 0000000000000000 +REG 3C97FFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000002E65 +REG 84401F1E84401F1E +REG 0000000084407884 +REG 6D00000000000001 +REG 92FFFFFFFFFFFF84 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 92FFFFFFFFFFFFFF +REG C7FFFFFFFFFFFFFF +REG 0000000000000000 +REG 3000000600000006 +REG 0000000000000000 +REG 4D00000000000000 +REG 0000000000000000 +REG 0000000044525E55 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF0F25 +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 0000000000000000 +REG 92FFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000080001109 diff --git a/tests/862.bin b/tests/862.bin new file mode 100644 index 0000000..d453fc0 Binary files /dev/null and b/tests/862.bin differ diff --git a/tests/862.out b/tests/862.out new file mode 100644 index 0000000..112b9be --- /dev/null +++ b/tests/862.out @@ -0,0 +1,32 @@ +REG 00000000063A5A87 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000012 +REG 000B11CB00000000 +REG FFF4EE3500000000 +REG 0000000000000000 +REG FFF4EE3500000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000063A0000 +REG FEFFFFFFFFFFFFFF +REG 00000000063A0000 +REG 0000000000000000 +REG 00000000000022AD +REG FFFFFFFFDD5F4F02 +REG FFFFFFFFDD5F9E11 +REG FFFFFFFFFFFFEB2C +REG 0000000084884408 +REG 0000000000000000 +REG FFF4EE3500000000 +REG 0000000000000000 +REG 00000000EE6D0000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFC0 +REG 00000000063A0000 +REG 0000000049108810 diff --git a/tests/863.bin b/tests/863.bin new file mode 100644 index 0000000..2e01886 Binary files /dev/null and b/tests/863.bin differ diff --git a/tests/863.out b/tests/863.out new file mode 100644 index 0000000..318106d --- /dev/null +++ b/tests/863.out @@ -0,0 +1,32 @@ +REG FFFE2D374A7F1EC6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000011 +REG 0000000000000004 +REG FFFFFFFFFFFFFFFF +REG 002BB3FFE8000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFC0000B2 +REG 0000000000000000 +REG FFFFFFFFFFFFFFD0 +REG FFFFFFFFFFFFE577 +REG 0000000000000000 +REG 0000000000000020 +REG 000000000000000A +REG 0000000000000000 +REG 0000000000000015 +REG 0000000000000000 +REG 000000000000002C +REG 0000000000000000 +REG 00002D374A7F3722 +REG 000000005767FFD0 +REG 0000000000000000 +REG 0000000040000000 +REG 0000000000000004 +REG 0000000000000001 +REG 00002D374980C86F +REG FFFFFFFFFFFFFFF8 +REG 0000000051001E88 diff --git a/tests/864.bin b/tests/864.bin new file mode 100644 index 0000000..b0eb7b5 Binary files /dev/null and b/tests/864.bin differ diff --git a/tests/864.out b/tests/864.out new file mode 100644 index 0000000..a64bbfd --- /dev/null +++ b/tests/864.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFCF86 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000042444088 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000056490000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000000 +REG 0000000000000000 +REG FFFFFFEFFFFFFFEF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 9D43FFFC9D431FFC +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFCFF +REG 00A8000000A80000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000030AC +REG 000000000000E401 +REG 0000000000000005 +REG 0000000000000000 +REG 0004000000000000 +REG 0000000084888110 diff --git a/tests/865.bin b/tests/865.bin new file mode 100644 index 0000000..0285c43 Binary files /dev/null and b/tests/865.bin differ diff --git a/tests/865.out b/tests/865.out new file mode 100644 index 0000000..f4df438 --- /dev/null +++ b/tests/865.out @@ -0,0 +1,32 @@ +REG 03FFFFFBC0A5F063 +REG 0000000000004092 +REG 0000000000000001 +REG 210571548B5B8271 +REG 0000000000000000 +REG 0000000000004092 +REG 000000010000A960 +REG 2105715483E2389C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000015 +REG 178D2D3E7D172D3E +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFF886B62B +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG D6D3DF8800000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000100007451 +REG FFFFFFFFFFFFF8B6 +REG 00000000410C1000 diff --git a/tests/866.bin b/tests/866.bin new file mode 100644 index 0000000..de4e18b Binary files /dev/null and b/tests/866.bin differ diff --git a/tests/866.out b/tests/866.out new file mode 100644 index 0000000..1125f17 --- /dev/null +++ b/tests/866.out @@ -0,0 +1,32 @@ +REG 22D8AD1E929C051D +REG 0000000032FBA213 +REG 9FFFFFFFFFFE0C26 +REG 0000000000000010 +REG 0000000000000000 +REG FFFFFFFFD2500000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFDDFF +REG FDFCFFFFFFFFFFFE +REG 09A8000000000004 +REG 0000000000000000 +REG A00000000000DDDF +REG 0000000000000000 +REG A000000000005951 +REG FFFFFFFFFFDE0000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG FFCF6BFF80002BFF +REG 0000000000220000 +REG 0002000000020000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG A000000000000C26 +REG BC00000003FFFFFB +REG 0203000000000001 +REG 0000000000000014 +REG 0000000000000000 +REG FFFFFFFFCD045DED +REG FFFFFFFF77800000 +REG 0203000000000001 +REG 0000000105090882 diff --git a/tests/867.bin b/tests/867.bin new file mode 100644 index 0000000..553ccaa Binary files /dev/null and b/tests/867.bin differ diff --git a/tests/867.out b/tests/867.out new file mode 100644 index 0000000..a5b174e --- /dev/null +++ b/tests/867.out @@ -0,0 +1,32 @@ +REG 87FFBED487FFFFE8 +REG 000000000313701C +REG 0000000000000001 +REG 0000000000002C00 +REG 0000000000000004 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000018 +REG FFFFFFFF95BC0020 +REG 0000000000000000 +REG FFFFFFFFFFFFE576 +REG 0000000000000000 +REG FFFFFFF00FFFFE8A +REG 0000000000000000 +REG 00000000000025E1 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 00000000000025E6 +REG FFFFFFFF95BC0242 +REG 0000000000000000 +REG 0000000000000000 +REG 7800412B7800000B +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000000025DE +REG 7800412B7800000B +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000048008800 diff --git a/tests/868.bin b/tests/868.bin new file mode 100644 index 0000000..bfc4ead Binary files /dev/null and b/tests/868.bin differ diff --git a/tests/868.out b/tests/868.out new file mode 100644 index 0000000..12461f6 --- /dev/null +++ b/tests/868.out @@ -0,0 +1,32 @@ +REG FFFFFFF0ED50B0CC +REG 0000000000000000 +REG 7FFFFFFCFFFFFFFC +REG 000000000800009B +REG 0000000000000000 +REG 00000000000031E0 +REG 0000000000000000 +REG 0000000000000003 +REG 0000000000000000 +REG 0000000000000000 +REG FBBCDB04FBBCDB04 +REG 00000000000004C0 +REG 0000000055914C59 +REG 0000138000000001 +REG FFFFFFFFB30B0000 +REG 0000000016AC3540 +REG FFFFFFFFFFFFDB04 +REG 000000000800009C +REG 8000000000000000 +REG FFFFFFFFFFFFDB04 +REG 0000000000000000 +REG 0000000000000000 +REG 0000012C0800009C +REG 0000000055914C59 +REG FFFFFFFFE953B3C5 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF7F +REG 0000000000000000 +REG 0000000000000000 +REG 302D0D0030200D00 +REG 0000000040000807 diff --git a/tests/869.bin b/tests/869.bin new file mode 100644 index 0000000..57a2a22 Binary files /dev/null and b/tests/869.bin differ diff --git a/tests/869.out b/tests/869.out new file mode 100644 index 0000000..8e97c8c --- /dev/null +++ b/tests/869.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFF5940 +REG 8000000000000010 +REG 000000002040D6D8 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000F20040 +REG 0000000000000021 +REG 0000000000000039 +REG FFFFFFFFFFFFFFF0 +REG 8000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000006 +REG 0000000000000000 +REG 000000000000000F +REG 0000000000004001 +REG 0000000000000006 +REG 000000000000CE2D +REG 0000000000000001 +REG FFFFFFFFBFBFB9B1 +REG 0000000000000000 +REG 00000000D44E3A11 +REG 0000000000000002 +REG 0000000007790020 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFBFFE +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000008081ADB1 diff --git a/tests/87.bin b/tests/87.bin new file mode 100644 index 0000000..1385e10 Binary files /dev/null and b/tests/87.bin differ diff --git a/tests/87.out b/tests/87.out new file mode 100644 index 0000000..98241fe --- /dev/null +++ b/tests/87.out @@ -0,0 +1,32 @@ +REG FC05B4FBA61EFB7B +REG C000000000000000 +REG FFFFFFFFFFFFFFFF +REG F7FFFFFFBC541B81 +REG 0000010000000100 +REG 0000383900007FFF +REG FFFFFFFFFFFFFFFE +REG 0808080808080807 +REG 0000383900003839 +REG FFFFFFFFFFFFFFFE +REG 0000000000006FFF +REG 0000000000003651 +REG F7FFFFFFBC541B52 +REG 0000000000000000 +REG FFFFFFFFFFFFD0C6 +REG 000000000E003450 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000365000003650 +REG 0000000000000001 +REG 000000000004040F +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 08080400FA07C047 +REG FFFFFFFFF1FFCBB1 +REG F7FFFFFFBC541B4A +REG FFFFFFFFBC540000 +REG 0000000000000000 +REG 00000000A9A36FFF +REG F7F7FBFEF7F80B69 +REG 000000009E104108 diff --git a/tests/870.bin b/tests/870.bin new file mode 100644 index 0000000..2400628 Binary files /dev/null and b/tests/870.bin differ diff --git a/tests/870.out b/tests/870.out new file mode 100644 index 0000000..870296a --- /dev/null +++ b/tests/870.out @@ -0,0 +1,32 @@ +REG 0007FE002627FDFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFDFFFFFF +REG 0000000000FFE1EF +REG 0000000000000000 +REG 00003E0001E3EF80 +REG 0000000000FFE1EF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFF802000A1BF38E +REG 000000004F880F82 +REG 000000002F080F82 +REG 00000000BE971F54 +REG 0000000000000000 +REG 0000000006800000 +REG FFFFC1FFFE1C1260 +REG 0000000000000000 +REG 0000000000FFE1EF +REG 000000000C000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000001E0 +REG 0000000000000000 +REG 000000000000003F +REG FFFFFFFFFFFFFFFF +REG 000000011F101F04 diff --git a/tests/871.bin b/tests/871.bin new file mode 100644 index 0000000..a0d0d80 Binary files /dev/null and b/tests/871.bin differ diff --git a/tests/871.out b/tests/871.out new file mode 100644 index 0000000..a7bf2f7 --- /dev/null +++ b/tests/871.out @@ -0,0 +1,32 @@ +REG 000000000000359A +REG 0403030200000000 +REG 0000000000000000 +REG 0C0C0BEE08040C10 +REG 0000000000000000 +REG FFFFFFFFFFFFFEEB +REG 0000000000000000 +REG 000000000000007D +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFF82 +REG FFFFFFFFFFFFFF82 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 006B3400000B3400 +REG 0000000000000000 +REG 0000000000000000 +REG 000000008110101C diff --git a/tests/872.bin b/tests/872.bin new file mode 100644 index 0000000..2b4df0b Binary files /dev/null and b/tests/872.bin differ diff --git a/tests/872.out b/tests/872.out new file mode 100644 index 0000000..09a5c78 --- /dev/null +++ b/tests/872.out @@ -0,0 +1,32 @@ +REG 00000000FFB59B31 +REG 0000000000000000 +REG FFFFE12DC04A7FFF +REG 0000000000001B31 +REG FFFFFFFFFFFFC225 +REG 0000000000000000 +REG 0000000000000001 +REG 000000000000F696 +REG 0000000000000000 +REG FFFF000000FFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 00001ED2C0000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000008084E441 +REG F7F7F7F7F7F7FBFC +REG 000000000000B218 +REG 0000000000000000 +REG 000000000000B218 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000001B31 +REG 0000000000000000 +REG 0000000000000000 +REG 0808080808080404 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000FFB58000 +REG 0000000000000000 +REG 0000000000000001 +REG 000000005111C908 diff --git a/tests/873.bin b/tests/873.bin new file mode 100644 index 0000000..bae930b Binary files /dev/null and b/tests/873.bin differ diff --git a/tests/873.out b/tests/873.out new file mode 100644 index 0000000..2eb7ffb --- /dev/null +++ b/tests/873.out @@ -0,0 +1,32 @@ +REG 00000000D5F2626F +REG DFFFF2A0E00052A1 +REG 0000000084444408 +REG 00000000D5F02932 +REG FFFC0000000092B5 +REG 0000000000004B5D +REG 0000000000000000 +REG FFFC0000000092B5 +REG FFFFFFFFFFFFFD5F +REG FFFFFFFFFFFFFFFF +REG DFFFF2A0E0002933 +REG 0000000042144A4F +REG 00000000D5F1FFFF +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000021E00000 +REG 0000000000003392 +REG 0003FFFFFFFF6D4A +REG A00000000000006B +REG 20000D5F20000000 +REG 20000D5F20000000 +REG D1FFFFF9521BEAEF +REG FFFFFFFFFFFFB4A3 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000008000 +REG 0000000000000000 +REG 000000008429149F diff --git a/tests/874.bin b/tests/874.bin new file mode 100644 index 0000000..d02e497 Binary files /dev/null and b/tests/874.bin differ diff --git a/tests/874.out b/tests/874.out new file mode 100644 index 0000000..6b64cd1 --- /dev/null +++ b/tests/874.out @@ -0,0 +1,32 @@ +REG 0000000000000001 +REG 0000000000000000 +REG 00000000000008D2 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 00000000FFFFFFFF +REG 00000000DDC000FF +REG FFFFFFFFC00709C8 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFE0038 +REG 000000000000003A +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000948 +REG DDC000FFDDC00081 +REG 00000000C0040000 +REG FFFFFFFFFFFE0036 +REG 0000000085C00000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFC00709C8 +REG 0000000000000000 +REG 000000000000000A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000001AFE +REG 0000000000000000 +REG 0000000000000000 +REG 00000001110E90A5 diff --git a/tests/875.bin b/tests/875.bin new file mode 100644 index 0000000..54f0716 Binary files /dev/null and b/tests/875.bin differ diff --git a/tests/875.out b/tests/875.out new file mode 100644 index 0000000..d01116a --- /dev/null +++ b/tests/875.out @@ -0,0 +1,32 @@ +REG 19D1B47B1E2E4B6E +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000020 +REG 0000000048000000 +REG 0000000000000000 +REG 0000000000000000 +REG BF8026A8B185C633 +REG 0000000007FFFF6D +REG DFFFFFFFA3D8CEC1 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000005 +REG FFFFFFFF30D5FFCB +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000048FFFFE7 +REG 0000000000000000 +REG 0000000048FFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000007FFFF6E +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFFE +REG 0000000007FFFF6E +REG FFFFFFFFB7000000 +REG 000000000000007B +REG 0000000000000000 +REG 0000000000000000 +REG 000000010001FE01 diff --git a/tests/876.bin b/tests/876.bin new file mode 100644 index 0000000..9ee3dee Binary files /dev/null and b/tests/876.bin differ diff --git a/tests/876.out b/tests/876.out new file mode 100644 index 0000000..2199fc7 --- /dev/null +++ b/tests/876.out @@ -0,0 +1,32 @@ +REG 000008001EFFFFFF +REG 0000000000000000 +REG FFFFFFFF88870022 +REG 0000000000000001 +REG FFFFC2E7C0000001 +REG 0000000000000000 +REG 00000000003FA709 +REG 0808060708060407 +REG FFFFFFFFFFFFFFFF +REG 000000007778FFDD +REG 0001000000000000 +REG FFFEFFFFFFFFFFFF +REG FFFFF7FFFFFFFFFF +REG 0000000000000000 +REG FF737FFFFFFFFFC0 +REG 000000000000003F +REG FFFFFFFFFFFFFFFF +REG FFFFEE7FFFFC2E7F +REG 0000000000000000 +REG 3FFFFFFEB96AFFFE +REG 0000000000000000 +REG FFFDE58F9690CE00 +REG 0000000000250000 +REG 3FFFFFFEB96AFFFE +REG C000000146950001 +REG 0000000000000001 +REG 00000000003FC000 +REG 00000000003FA84A +REG FFFFFFFFFFFFFEE6 +REG 0000000000000000 +REG 000000000000000C +REG 0000000081019C08 diff --git a/tests/877.bin b/tests/877.bin new file mode 100644 index 0000000..d65a8f8 Binary files /dev/null and b/tests/877.bin differ diff --git a/tests/877.out b/tests/877.out new file mode 100644 index 0000000..57a7650 --- /dev/null +++ b/tests/877.out @@ -0,0 +1,32 @@ +REG FFFFFFFF8F500032 +REG 0000000000000001 +REG 0007C00000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000037600000000 +REG 00000000595C0000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003F +REG 0007D00000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000060000000 +REG 0000000013F70F56 +REG 0000000070A80000 +REG FFFFFFFFFFFFFFFF +REG 2400000024000000 +REG 0000000000000001 +REG 000F00000114540C +REG 000010003EE20000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000007 +REG 0000000003C00000 +REG 0000000000000020 +REG FFFFFFFFFFFFF70A +REG 0000000000000000 +REG 0000000001BB0000 +REG 0000000089089088 diff --git a/tests/878.bin b/tests/878.bin new file mode 100644 index 0000000..c98f726 Binary files /dev/null and b/tests/878.bin differ diff --git a/tests/878.out b/tests/878.out new file mode 100644 index 0000000..b3a0039 --- /dev/null +++ b/tests/878.out @@ -0,0 +1,32 @@ +REG 0000000016240000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFACCB0400 +REG 0000000044840880 +REG FFFFFFFFFFFFFFFF +REG 0000000080000000 +REG 0000000080000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF0000FF00 +REG ED40000000000000 +REG FFFFFFFFFFFFFFFE +REG 0050000000400000 +REG 000000000B120000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000200000000 +REG FFFFFFFFE9DBFFFF +REG 000000000000076A +REG FFFFFFFF0000FF00 +REG 0000000000000000 +REG 000000000FCFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000400 +REG 0000000000000028 +REG 0000000024840880 +REG 0000000000000000 +REG 0000000049081100 diff --git a/tests/879.bin b/tests/879.bin new file mode 100644 index 0000000..1bc5d7d Binary files /dev/null and b/tests/879.bin differ diff --git a/tests/879.out b/tests/879.out new file mode 100644 index 0000000..fc54fdd --- /dev/null +++ b/tests/879.out @@ -0,0 +1,32 @@ +REG 0000000000009CAF +REG 0000000000000040 +REG 0000000000000000 +REG 000000000000001A +REG FFFE00000000007F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000021 +REG 0000000000000000 +REG 0000000000000017 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000009CA6 +REG 0000000000000022 +REG 0000000000000003 +REG 0000000000000021 +REG 0000000000000030 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000009CC4 +REG 0000000000000000 +REG 00000000000031E7 +REG 0000000000000000 +REG 000000000000063C +REG 0000000000000000 +REG 0000000000000000 +REG 000000007FFFFF80 +REG 0000000000004531 +REG 0000000000000000 +REG 0000000084000001 diff --git a/tests/88.bin b/tests/88.bin new file mode 100644 index 0000000..9793fcb Binary files /dev/null and b/tests/88.bin differ diff --git a/tests/88.out b/tests/88.out new file mode 100644 index 0000000..b3402cc --- /dev/null +++ b/tests/88.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 00000004873BABB8 +REG 00000004873C3923 +REG 0000000040240440 +REG FFFF4F5100000000 +REG 0000000000000020 +REG 000000000000003A +REG 000000000000588C +REG 0000000000000000 +REG 0000000000005E7B +REG 0000000000000021 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 00000001B4320040 +REG 0000000004000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000004873C0444 +REG 0000000080000000 +REG 00000001B4320000 +REG FFFFFFFFFFFFA774 +REG 0000000040240440 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFE4BCDFFBF +REG 0000000000005E7B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000048181090 diff --git a/tests/880.bin b/tests/880.bin new file mode 100644 index 0000000..0af7b94 Binary files /dev/null and b/tests/880.bin differ diff --git a/tests/880.out b/tests/880.out new file mode 100644 index 0000000..ca85c33 --- /dev/null +++ b/tests/880.out @@ -0,0 +1,32 @@ +REG FFFFFFFF00000000 +REG 0000000000000001 +REG FFFFFFFFFFFF8E06 +REG 3FFFFFFF80000000 +REG 000000000000001F +REG 000000007FFFFFFF +REG FF8095B7FFFFFFFF +REG 00000000001FF7FF +REG 0000000000000000 +REG E0000000003FFFFF +REG 03FFD9FC25DDFC00 +REG 0000000080001C3E +REG 0000000000001C3E +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000001FF800 +REG 0000000000000018 +REG FFFFFFFFFFFF0000 +REG 0000001FFFFFFFC0 +REG 0000000000000003 +REG 0000000000000F80 +REG 0000000000000000 +REG 0000000080001C3D +REG 0000000000000000 +REG FFFFFFFFFFFFD178 +REG FFFFFFFFFFFFFFFE +REG 0000000008080807 +REG 000000000000001F +REG FFFF807FFFFFD177 +REG 0000000000000040 +REG 0000000091108249 diff --git a/tests/881.bin b/tests/881.bin new file mode 100644 index 0000000..84befd7 Binary files /dev/null and b/tests/881.bin differ diff --git a/tests/881.out b/tests/881.out new file mode 100644 index 0000000..a0b56e7 --- /dev/null +++ b/tests/881.out @@ -0,0 +1,32 @@ +REG 0000000000000002 +REG 0000000000000000 +REG FFFFFFFFFFFF669B +REG 00000000004E0000 +REG 0000000000000007 +REG 0000000000000002 +REG 0000000000200020 +REG FFFFFFFF411EB14B +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000029 +REG FFFFFFFFFFDFC093 +REG 00000000BEE10000 +REG FFFFFFFFFFFFFFF8 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000000043AE +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000200000 +REG 0000000000006AC6 +REG 0000000000000029 +REG 0000000091089090 diff --git a/tests/882.bin b/tests/882.bin new file mode 100644 index 0000000..190e803 Binary files /dev/null and b/tests/882.bin differ diff --git a/tests/882.out b/tests/882.out new file mode 100644 index 0000000..2dc1a26 --- /dev/null +++ b/tests/882.out @@ -0,0 +1,32 @@ +REG D30EF57BB1A6AC2F +REG 2CF113892C000789 +REG FFFFFFFFFFFFEDA7 +REG 0000000000000000 +REG FFFE9FFF00000000 +REG 0000000000000020 +REG 0000000000006950 +REG FFFFFFFFFFFFFFFF +REG 0000007FFFFFF5D7 +REG 0000000000006950 +REG FFFFFFFFFFFFEDA7 +REG D30EECF6D3FFF876 +REG 00000000248D34A8 +REG FFFFFF8000000A29 +REG 0000000073B5FFFF +REG FFFFFFFFFFFFFFFF +REG E3181FFDE3181F8D +REG FFFFFFFFFFFFFFFF +REG FFFFFFDA3C580000 +REG 00E3FFFFFFFFC6C3 +REG 0000000000000000 +REG FFEFFFFFFFEF18C0 +REG 0000000000000000 +REG FFFFFFFFFEE80000 +REG 00000000A40F0000 +REG 000000000000092C +REG 0000000001180000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFF0000FF0000 +REG FFFFFF7FFFFFFFFF +REG 00000000891A8508 diff --git a/tests/883.bin b/tests/883.bin new file mode 100644 index 0000000..8b88f92 Binary files /dev/null and b/tests/883.bin differ diff --git a/tests/883.out b/tests/883.out new file mode 100644 index 0000000..c2f344b --- /dev/null +++ b/tests/883.out @@ -0,0 +1,32 @@ +REG 000F4F402B9E801A +REG 0000000000000000 +REG 000FFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFAD41 +REG 000000000C4B3800 +REG 07FFFC001283BFFF +REG 000000000C7F3800 +REG 0000000000000000 +REG 0000000000000000 +REG FFF0000000000000 +REG FFFFFFFFB17EFFFE +REG 0000000093340000 +REG 07FFFC001283BFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF4B92FFFF +REG FFFFFFFFFF7FFFFF +REG 000000001FBC0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000001E1617FE6 +REG 000000012CF47FE5 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000C800000 +REG 0000000000000000 +REG 00000001E1617FE6 +REG 0000000089008890 diff --git a/tests/884.bin b/tests/884.bin new file mode 100644 index 0000000..ec90ae0 Binary files /dev/null and b/tests/884.bin differ diff --git a/tests/884.out b/tests/884.out new file mode 100644 index 0000000..a1e6715 --- /dev/null +++ b/tests/884.out @@ -0,0 +1,32 @@ +REG 0000000000000431 +REG 0000000020000000 +REG 000000000C400000 +REG 0000000000000000 +REG 00000000DFC00000 +REG 0000000000000000 +REG 0000000020000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF7BC3FFD0 +REG 000000000000003F +REG FFFFFFFF80000000 +REG 0000000000000000 +REG FFFFFFFFDF7FB77F +REG 1B1BBF789380A190 +REG 00000000E86C003F +REG 0000000000000031 +REG 0000000000000000 +REG 0000000000000022 +REG 1B1BBF7893804880 +REG 0000000000000000 +REG 0000000020000000 +REG 00000000843C002F +REG 0000000000000000 +REG 0000000000000000 +REG 0000001000000010 +REG FFFFFFFFFFFFFFD0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFF023 +REG 000000008000003F +REG 000000009E1E001E diff --git a/tests/885.bin b/tests/885.bin new file mode 100644 index 0000000..4f0311f Binary files /dev/null and b/tests/885.bin differ diff --git a/tests/885.out b/tests/885.out new file mode 100644 index 0000000..b0920ff --- /dev/null +++ b/tests/885.out @@ -0,0 +1,32 @@ +REG 0000000000000984 +REG 0000000003000001 +REG 0000000000000000 +REG 00000000000054DC +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000006BA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFF3838498 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFAF4 +REG 0000000003000001 +REG FFFFFFFFF815312F +REG 0000000003000000 +REG 0000000001140000 +REG 0000000000000015 +REG 0000000000000000 +REG 0000000A28000000 +REG 0000000047040000 +REG 0000000000000000 +REG 0000000000002CAC +REG 0000000000000120 +REG 0000000007EACEFB +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFF945 +REG 0000000000000000 +REG FFFFFFFFF815312F +REG 0000000000000000 +REG 0000000080803F40 diff --git a/tests/886.bin b/tests/886.bin new file mode 100644 index 0000000..ede1fce Binary files /dev/null and b/tests/886.bin differ diff --git a/tests/886.out b/tests/886.out new file mode 100644 index 0000000..99153b6 --- /dev/null +++ b/tests/886.out @@ -0,0 +1,32 @@ +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000018 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000A5F30006 +REG FFFFFFFFFC000007 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000A40800000000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000D934 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 diff --git a/tests/887.bin b/tests/887.bin new file mode 100644 index 0000000..538293f Binary files /dev/null and b/tests/887.bin differ diff --git a/tests/887.out b/tests/887.out new file mode 100644 index 0000000..88db3eb --- /dev/null +++ b/tests/887.out @@ -0,0 +1,32 @@ +REG FFFFE000134D0000 +REG 0000000000000000 +REG 000000003E120000 +REG FFFFF0D3FFFFF0D3 +REG FFFFFFFFFFFFB49F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000052A3 +REG 0000000100000000 +REG 0000000000030000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000005 +REG 0000000000000000 +REG 0000020000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000006 +REG 0000000000000000 +REG 0000000040038888 +REG FFFFFFFFBFFC7777 +REG 0000000000000020 +REG FFFFFFFFFFFFFB01 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000100071110 diff --git a/tests/888.bin b/tests/888.bin new file mode 100644 index 0000000..e16bc3a Binary files /dev/null and b/tests/888.bin differ diff --git a/tests/888.out b/tests/888.out new file mode 100644 index 0000000..5b5a077 --- /dev/null +++ b/tests/888.out @@ -0,0 +1,32 @@ +REG 000000001B24FFFF +REG FFFFFFFE00000000 +REG 0000000000000000 +REG 0000000000180000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFCB +REG 00000001FFFFAADF +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000D0EF +REG 0000000020000000 +REG 0000000000000000 +REG FFFFFFF9FFFFFFFA +REG 0000000000000000 +REG 0000000000000018 +REG 0000000000000000 +REG FFFF0C2E3CF486C3 +REG 0000000000000000 +REG FFFFFFFFFFFFFFCB +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000010 +REG 00000000FFFF8000 +REG 0000000000000000 +REG FFFFFFFFFFFFF936 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFE00000000 +REG 0000000000000000 +REG 0000000081080808 diff --git a/tests/889.bin b/tests/889.bin new file mode 100644 index 0000000..8d58225 Binary files /dev/null and b/tests/889.bin differ diff --git a/tests/889.out b/tests/889.out new file mode 100644 index 0000000..09893d5 --- /dev/null +++ b/tests/889.out @@ -0,0 +1,32 @@ +REG FFFFFFD3FFFFFF94 +REG 000000000000003A +REG 0000000000000020 +REG 000000000000002B +REG 0000002C0000002B +REG FFFFFFD3FFFFFFD4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000002000000 +REG FFFFFFFFFFFF91F7 +REG FFFFFB06EFFFF00F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000002C0000002B +REG 000000000000002B +REG 000000000000001D +REG 0000002C0000002B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFB06F0DF0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000044010804 diff --git a/tests/89.bin b/tests/89.bin new file mode 100644 index 0000000..cefce48 Binary files /dev/null and b/tests/89.bin differ diff --git a/tests/89.out b/tests/89.out new file mode 100644 index 0000000..08a75f9 --- /dev/null +++ b/tests/89.out @@ -0,0 +1,32 @@ +REG ABBA5F7F0A40FFE2 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000002E +REG 0000000000000000 +REG FBFCFDFEF8F7FDFB +REG 000000008000003C +REG 0000000000000000 +REG 0000000000000000 +REG 0403020107080205 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFE8FFF3FFE8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 1700000000000000 +REG 01700000000020C8 +REG 0000000000000000 +REG 000000000000001E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF80000001 +REG 0000000001400000 +REG 000000004015E016 diff --git a/tests/890.bin b/tests/890.bin new file mode 100644 index 0000000..fa40f31 Binary files /dev/null and b/tests/890.bin differ diff --git a/tests/890.out b/tests/890.out new file mode 100644 index 0000000..a7ca37f --- /dev/null +++ b/tests/890.out @@ -0,0 +1,32 @@ +REG 2A792A0014725F0D +REG 0000000000000000 +REG 000000006AA736C1 +REG 00000000000036C1 +REG FFF00187F8000187 +REG D586D5FFEB8D8D5D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG E4E54428E4FFC429 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 1B1ABBD71B003BD6 +REG EB8D8D5CEB8D8D5C +REG FFFFFFFFFFFFFFFF +REG E4E54428E4FFC429 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFF00187 +REG 0000000000000001 +REG 0000000000000000 +REG 00000000E0000000 +REG 00000000FFF00187 +REG 0000000000000000 +REG A393000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000050006010 diff --git a/tests/891.bin b/tests/891.bin new file mode 100644 index 0000000..0e5b6cd Binary files /dev/null and b/tests/891.bin differ diff --git a/tests/891.out b/tests/891.out new file mode 100644 index 0000000..1d9d64e --- /dev/null +++ b/tests/891.out @@ -0,0 +1,32 @@ +REG 0000000000000017 +REG FFFFFFFFBFFFFCAB +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFF0241FFFF +REG 2000000000000000 +REG 0000000000000000 +REG 1FFFFFFFFFFFFFFF +REG 00000000283AFCAB +REG 00000000233FF2DA +REG 000000003DBE0000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFC000003F +REG 0000011C0000011C +REG FFFFFFFFF5480000 +REG 0000000000000000 +REG FFFFFFFFC000003F +REG 0000000001F40000 +REG 2000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020F0F440 +REG E000000000000001 +REG FFFFFFFF97C50000 +REG FFFFFFFF97C50000 +REG 0000000081E1E881 diff --git a/tests/892.bin b/tests/892.bin new file mode 100644 index 0000000..2017f7e Binary files /dev/null and b/tests/892.bin differ diff --git a/tests/892.out b/tests/892.out new file mode 100644 index 0000000..6f19edf --- /dev/null +++ b/tests/892.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFE0 +REG 0000002000000007 +REG FFFFFFFFCD800020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000000A +REG 000000000000BDBB +REG FFFFFFFFCD800000 +REG 0000000000000040 +REG 000000000000001E +REG FFFFFFFFCD800020 +REG FFFFFFFFFFFFFFFF +REG 0000000033D9000E +REG 0000000040000000 +REG 0000000000000040 +REG FFFFFFFFFFFFAA75 +REG 000000000000BDBB +REG 0000000000000020 +REG 0000000000000040 +REG 0000000000000000 +REG 0F98C20000000040 +REG 0000000032000000 +REG 00000000804FF284 +REG 0000000000000017 +REG 0000000100006325 +REG 0059000032000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000002 +REG F0673DFFFFFFFFBF +REG 00000000489FE509 diff --git a/tests/893.bin b/tests/893.bin new file mode 100644 index 0000000..fb23d06 Binary files /dev/null and b/tests/893.bin differ diff --git a/tests/893.out b/tests/893.out new file mode 100644 index 0000000..d85e719 --- /dev/null +++ b/tests/893.out @@ -0,0 +1,32 @@ +REG F3F0203FF7FE1191 +REG 0000001B0000000A +REG 0000000000000000 +REG FFFFFFE000000000 +REG FFFFFFFFE4665100 +REG 0000000000000000 +REG E0407FE8C0407FE8 +REG 0000000000000000 +REG 00000FFFFC8CCA00 +REG FFFFC8CCA0000000 +REG FFFFFE221826CBC0 +REG 43FFFFFFC000227D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0808080808080800 +REG 0000373360000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000F03F7F00 +REG FFFFFFFFFFFF9559 +REG 000000003A060000 +REG FFFFFFFFE4665101 +REG 0000000000006AB6 +REG 0C0FDFC00801DFC0 +REG 0000372060000000 +REG 0000000000000000 +REG 0000000000000003 +REG 0000000000000010 +REG FFFFFFFFFFFFFFFF +REG 0000000000006AA6 +REG 00000001010C1E08 diff --git a/tests/894.bin b/tests/894.bin new file mode 100644 index 0000000..c2eab6c Binary files /dev/null and b/tests/894.bin differ diff --git a/tests/894.out b/tests/894.out new file mode 100644 index 0000000..97abed5 --- /dev/null +++ b/tests/894.out @@ -0,0 +1,32 @@ +REG FFFFFE2840253E21 +REG FFFFFFFFFFFFFFFE +REG FFFFFFE0000001E0 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000012D00000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000001F1177001F +REG 0010000000000000 +REG 0000000000000001 +REG 0000000000000006 +REG FFFFFFDFFFFFFFE6 +REG 0000000000000001 +REG FFFFFFDF70ADFFE1 +REG FFFFFFE0EE80FFE0 +REG FFFFFFDFFFFFFFE1 +REG FFFFFFDFFFFFFFE1 +REG 0000000051DE0000 +REG 0000000000000000 +REG 0000001FFFFFAD50 +REG 0000000000000000 +REG 000000200000001E +REG 000000200000001F +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000080000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000100000109 diff --git a/tests/895.bin b/tests/895.bin new file mode 100644 index 0000000..92bf745 Binary files /dev/null and b/tests/895.bin differ diff --git a/tests/895.out b/tests/895.out new file mode 100644 index 0000000..cb09970 --- /dev/null +++ b/tests/895.out @@ -0,0 +1,32 @@ +REG FFFFB36ED0963DA5 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000099A30000 +REG 0000000000014000 +REG 40000000000008F1 +REG 000000000000591F +REG 0000000103000000 +REG 0000000000000000 +REG 0000000000000000 +REG FF782D8D80000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000100000001 +REG 0000000000003FEC +REG FFFFFFFF665D5052 +REG 00000A0000000A00 +REG E0F9F4D916421880 +REG 0000000000000000 +REG FFFFFFFFFFFF993F +REG 0000000000000021 +REG 0000000000000000 +REG 00004C912E6666FE +REG 0000000000001E0B +REG FFFFFFFFFEFFEEBF +REG 0000000000000020 +REG 0000000000005052 +REG 0000000081800000 +REG FFFFFFFF6FE11E28 +REG 0000000080000000 +REG FFFFB36E9181993F +REG 000000011F1FFF02 diff --git a/tests/896.bin b/tests/896.bin new file mode 100644 index 0000000..8909334 Binary files /dev/null and b/tests/896.bin differ diff --git a/tests/896.out b/tests/896.out new file mode 100644 index 0000000..75d5dcd --- /dev/null +++ b/tests/896.out @@ -0,0 +1,32 @@ +REG 3FFFFFFE00000010 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000011 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000000E21A0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFDFFFFFFFDF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFAC38 +REG 0000000000000000 +REG 0000000000000000 +REG 0000001E00000000 +REG 000000001FFBF002 +REG FFFFFFFFFFFFFFBF +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 000000000000710D +REG 0000000050110510 diff --git a/tests/897.bin b/tests/897.bin new file mode 100644 index 0000000..99874a5 Binary files /dev/null and b/tests/897.bin differ diff --git a/tests/897.out b/tests/897.out new file mode 100644 index 0000000..a47fadb --- /dev/null +++ b/tests/897.out @@ -0,0 +1,32 @@ +REG 08080808227C836B +REG 0000000000000000 +REG 0204000000000000 +REG 0000000000000000 +REG 0808080808080504 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000000 +REG 0000000008000000 +REG 00000000FFFFFF00 +REG 0000000000000000 +REG 000000000000C344 +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG 00000E9A00000E9A +REG 0000000000000000 +REG 0000000000000000 +REG 00000000E75C0000 +REG FFFFFFFFFFFFFFFF +REG 0000000080000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000A24F0000 +REG 0000000000000000 +REG FFFFFFFFFFFF7400 +REG 0000000000001709 +REG 0000000000000000 +REG 0000000100811088 diff --git a/tests/898.bin b/tests/898.bin new file mode 100644 index 0000000..6acbc5d Binary files /dev/null and b/tests/898.bin differ diff --git a/tests/898.out b/tests/898.out new file mode 100644 index 0000000..fc258ec --- /dev/null +++ b/tests/898.out @@ -0,0 +1,32 @@ +REG 000000000000003E +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFFF +REG FFFDFFF800000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF0000FF00 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFC5 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF78F7FFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000000003A +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFD +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 000000000000003F +REG 0000000051111111 diff --git a/tests/899.bin b/tests/899.bin new file mode 100644 index 0000000..19af288 Binary files /dev/null and b/tests/899.bin differ diff --git a/tests/899.out b/tests/899.out new file mode 100644 index 0000000..ab4b894 --- /dev/null +++ b/tests/899.out @@ -0,0 +1,32 @@ +REG 000000000000746A +REG FFFFFFFFFFFFFFE6 +REG 0000000000000000 +REG FFFFFFFFFFF0A009 +REG 00000000FFFFE600 +REG 0000000000000000 +REG FFFFFFFFEC8FA86B +REG 000000000000000F +REG 0000000000000000 +REG 000000003FFF938D +REG 000009BFFF7F5FF6 +REG 0000000000000000 +REG 0000000000000000 +REG 4000203040002030 +REG FFFFFFFFEC8FB9EB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000006080004 +REG 000009BFFF7F5FF6 +REG 0000000000000000 +REG FFFFFFFFFFFFFF39 +REG 0000000000000000 +REG 9CB804019CB80401 +REG 0000000000000000 +REG 000009BFFF7F5FF6 +REG 000009BFFFFF938D +REG 0000000006080004 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000004F +REG 000000000000000F +REG 0000000048F08CEC diff --git a/tests/9.bin b/tests/9.bin new file mode 100644 index 0000000..08505b7 Binary files /dev/null and b/tests/9.bin differ diff --git a/tests/9.out b/tests/9.out new file mode 100644 index 0000000..a3b1e53 --- /dev/null +++ b/tests/9.out @@ -0,0 +1,32 @@ +REG FFFFDA228470E194 +REG 0FFF80DA59C1E9EF +REG 0FFF80DA59C1F9A4 +REG 000000001417FFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 00000000000046E4 +REG FFFFFFFFDF07D276 +REG 0000000000000000 +REG FFFFFF800007FFFF +REG 00001EE518600000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000006EAA +REG 0000007FFFF80000 +REG 0000000000009401 +REG 0000000000000000 +REG 0000000000000010 +REG 0000000000000000 +REG FFFFFFFFFFFF9401 +REG 0000000000000000 +REG 0000000020F79401 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 01FFE00000000000 +REG FFFFFFFFFFFF9155 +REG 0000000000000000 +REG FFFFFF800007FFFF +REG 0000000020F79401 +REG 0000000051F00515 diff --git a/tests/90.bin b/tests/90.bin new file mode 100644 index 0000000..71aac1e Binary files /dev/null and b/tests/90.bin differ diff --git a/tests/90.out b/tests/90.out new file mode 100644 index 0000000..5729b9a --- /dev/null +++ b/tests/90.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFF929 +REG 00000000603A0000 +REG 0000FFFFFDFFFFE6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003A +REG FFFFFFFBFFFF8449 +REG 0000000000000000 +REG FFFFFFFFFFF5D9FD +REG 0000000000000000 +REG 000000000000003A +REG 0000000000000000 +REG FFFFFFFBFFFFF2B7 +REG 000000000000CECC +REG 0000000000000000 +REG FFFFFFFFFFFFFFB7 +REG 0000000000000000 +REG 0000000000000010 +REG 0007400000000000 +REG 0000000000000000 +REG 0000000000000021 +REG 0000000000000001 +REG 0000000040404048 +REG 0000000400007BB7 +REG 0000000092450000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000040808090 diff --git a/tests/900.bin b/tests/900.bin new file mode 100644 index 0000000..f40cf03 Binary files /dev/null and b/tests/900.bin differ diff --git a/tests/900.out b/tests/900.out new file mode 100644 index 0000000..e630b8d --- /dev/null +++ b/tests/900.out @@ -0,0 +1,32 @@ +REG FFFFFFFFD0F05357 +REG 00001FFFCC700FDA +REG 0000000000000001 +REG FFFFFFFFFFFFFF8B +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 000000002F0FF024 +REG FFFFF80000000011 +REG 000000034070FDA1 +REG 0000000000000000 +REG 0000000000000000 +REG 00001FFFFB800000 +REG FFFFFFFFD0F00FDA +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000010 +REG 0000000034070FDA +REG 0000000000000010 +REG FFFFFFFFFFFFD0A0 +REG 0000000000000010 +REG 0000000000000800 +REG FFFFF80000000001 +REG FFFFFFFF2F0FF825 +REG 0000000000000000 +REG FFFFF80000000001 +REG 2FFFFFFFFFFFFC00 +REG 000047FFFFFFF039 +REG 000000034070FDA0 +REG 00001EEFFB7FFFFF +REG 00000000881F0049 diff --git a/tests/901.bin b/tests/901.bin new file mode 100644 index 0000000..078e6ff Binary files /dev/null and b/tests/901.bin differ diff --git a/tests/901.out b/tests/901.out new file mode 100644 index 0000000..ddaaf0a --- /dev/null +++ b/tests/901.out @@ -0,0 +1,32 @@ +REG 0000000000000003 +REG FF2303E76F13BB34 +REG 0000000000000000 +REG 3FFFFFF800000000 +REG FF00000000000000 +REG FFFFFFFFFFFFCAFF +REG FFFFFFFFFFFFFD7B +REG 000000000000D81C +REG 0000000000005177 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000000 +REG 000000006F13BB34 +REG 0000000000000000 +REG A70F2800A2050000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFB +REG 262303E76F13DFFE +REG 0000000080600000 +REG FF00000000000000 +REG FF2303E76F13DFFE +REG 0000000000000000 +REG FFFFFF17FFFFFFFF +REG 000000000000001A +REG 0000000000000000 +REG 0120000000000000 +REG 0000000000000000 +REG 0000000000000012 +REG 7FF800000F9DBC4F +REG 0000000000000000 +REG 0000000100809000 diff --git a/tests/902.bin b/tests/902.bin new file mode 100644 index 0000000..1e9af0f Binary files /dev/null and b/tests/902.bin differ diff --git a/tests/902.out b/tests/902.out new file mode 100644 index 0000000..1559955 --- /dev/null +++ b/tests/902.out @@ -0,0 +1,32 @@ +REG FFFDE5B39BB57FB1 +REG FFFFFFFFFFFFFFFF +REG 0000000000000007 +REG FFFFFF19ADBBDFDF +REG FFFFFFFFFFFFFFA7 +REG 0000000000000000 +REG 0000000400000004 +REG 0000000040000000 +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000004E7060004 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000020004 +REG 00021A4C00021A04 +REG 000000000000A060 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFE9B88DEA4D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFF19ADA269 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000004D25800041 +REG 0000000088008909 diff --git a/tests/903.bin b/tests/903.bin new file mode 100644 index 0000000..5b36ba9 Binary files /dev/null and b/tests/903.bin differ diff --git a/tests/903.out b/tests/903.out new file mode 100644 index 0000000..1dd16f1 --- /dev/null +++ b/tests/903.out @@ -0,0 +1,32 @@ +REG 0000000000000001 +REG 7E5BEB6BE7B193E8 +REG E00082BFB5CB65D3 +REG D082BFB5FFFFFBE5 +REG 0000155B80000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 7E5BEB6BE7B193E8 +REG 2F7D4049A009763E +REG 0000000000000000 +REG A3273D3AEDEDA1F0 +REG 000415FDAE5B2E9F +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0808080808080808 +REG FFFFFFFFFFFFFFE8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000415FDAE5B2E9F +REG FFFFFFFFFFFFFFFF +REG 00000000249992F2 +REG 0000000000000000 +REG 0000000024998000 +REG FFFFC00000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 7E5BEB6BF7BF93E8 +REG D082BFB5FFFFFBE5 +REG 000000005E0909F0 diff --git a/tests/904.bin b/tests/904.bin new file mode 100644 index 0000000..0eb9d3a Binary files /dev/null and b/tests/904.bin differ diff --git a/tests/904.out b/tests/904.out new file mode 100644 index 0000000..a8a1917 --- /dev/null +++ b/tests/904.out @@ -0,0 +1,32 @@ +REG 000000010021FFF0 +REG 0000000000000000 +REG 0000000000000001 +REG 03FFFFFFFFFFFFC0 +REG FFFFFFFEFFDEAE2D +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFEFFDEAE2C +REG 00000000000000C2 +REG 000000010021FFF0 +REG FFEA000000000000 +REG 0016000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 7FEF57157FEF5715 +REG DA80000000000000 +REG 0000000000000000 +REG 0000000040840388 +REG 0000000000000000 +REG 0837DFFFFFFFFF81 +REG FFFFFFFFFFFFFFFF +REG 000000010021FFF0 +REG 0000000000000000 +REG 42403FFFFFFFFFFF +REG 00000000041C77B6 +REG FFFFFFFFFFFFFFED +REG 0000000000000000 +REG FFFFFFFFFFFFFFED +REG 0000000000000000 +REG 0000000081080710 diff --git a/tests/905.bin b/tests/905.bin new file mode 100644 index 0000000..37d0a8c Binary files /dev/null and b/tests/905.bin differ diff --git a/tests/905.out b/tests/905.out new file mode 100644 index 0000000..aef95eb --- /dev/null +++ b/tests/905.out @@ -0,0 +1,32 @@ +REG 00000000FFFFFFC4 +REG 3030000030300000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000096C90000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 3030000030300000 +REG 0000000000000000 +REG FFFFFFFFFFE19F29 +REG 0000000096C90000 +REG 8180000181800000 +REG 0000002000000015 +REG FFFFFFFFF0FF9F29 +REG FFFFFFFFFFC3FFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFC7 +REG 00000000FFFFFFFD +REG 0000000000000000 +REG 0000000000000000 +REG 00000000FFFFFFFD +REG 303000AE303000AE +REG FFFFFFFFFFFFFFFF +REG 0000000400000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000050004501 diff --git a/tests/906.bin b/tests/906.bin new file mode 100644 index 0000000..f873739 Binary files /dev/null and b/tests/906.bin differ diff --git a/tests/906.out b/tests/906.out new file mode 100644 index 0000000..14f5c3a --- /dev/null +++ b/tests/906.out @@ -0,0 +1,32 @@ +REG FF00FF00F0DF00FF +REG 0000000000000000 +REG 0000000000000000 +REG 00000000FA760000 +REG 0000000000000000 +REG EE605C539EB27400 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000028040404 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000005 +REG FFFFFFFFF0200000 +REG 0000000100000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000029CF593A000 +REG 0000000000000000 +REG FFFFFFE6FFFF3E55 +REG FFFFFFE6FFFF9D9C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG EE605C539EB27400 +REG 00000000F6770400 +REG 0000000000000000 +REG F077402FE0000000 +REG 0000000000000000 +REG F077402EE57F0100 +REG FFFFFFFFFB800000 +REG 0000000090080809 diff --git a/tests/907.bin b/tests/907.bin new file mode 100644 index 0000000..1b08b4e Binary files /dev/null and b/tests/907.bin differ diff --git a/tests/907.out b/tests/907.out new file mode 100644 index 0000000..3d89186 --- /dev/null +++ b/tests/907.out @@ -0,0 +1,32 @@ +REG 00000000AC800000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040000000 +REG 0000000000000000 +REG FFFFE00000000000 +REG FFFFFFFFFFFFFE5A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFE6B0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000004000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFD9910000 +REG 0000000000000000 +REG 0000000026100000 +REG FFFFFFFFFFFFB516 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000DC90000 +REG 0000000000000000 +REG 0000000040100640 diff --git a/tests/908.bin b/tests/908.bin new file mode 100644 index 0000000..4c9966c Binary files /dev/null and b/tests/908.bin differ diff --git a/tests/908.out b/tests/908.out new file mode 100644 index 0000000..f5371ca --- /dev/null +++ b/tests/908.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000001A0000001A +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF88FFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000002000000020 +REG FFFFFFFF14DB24BB +REG 0000000000000000 +REG 0000000003950000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 23FFFFFE23FFFF80 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000049111088 diff --git a/tests/909.bin b/tests/909.bin new file mode 100644 index 0000000..31b27d0 Binary files /dev/null and b/tests/909.bin differ diff --git a/tests/909.out b/tests/909.out new file mode 100644 index 0000000..a49b4bb --- /dev/null +++ b/tests/909.out @@ -0,0 +1,32 @@ +REG EBF8FFFFFFFF0000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000FFFF00FF +REG 0339FFC37E0C0893 +REG 000000000000FFFF +REG FFFFFFFFFFFF0000 +REG 0000000000000000 +REG FFFFFFFFFFFFD65D +REG 0000000000000000 +REG 00000000A1F3BA73 +REG 0000000000000000 +REG 000000005D860000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000A07A +REG FFFFFFFFFFFFFFDD +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFE74A +REG 000FFFF006E23F70 +REG 0000000000000000 +REG FFFFFFFF0000FF00 +REG 0000000000000000 +REG FFFFFFFFFFFEDE1B +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0339FFC41FFFFFFF +REG 000000010880C11D diff --git a/tests/91.bin b/tests/91.bin new file mode 100644 index 0000000..d865b3d Binary files /dev/null and b/tests/91.bin differ diff --git a/tests/91.out b/tests/91.out new file mode 100644 index 0000000..8d2ec48 --- /dev/null +++ b/tests/91.out @@ -0,0 +1,32 @@ +REG 0000000000000081 +REG FFFFFFFFFFFFFF00 +REG FFFFFFFFFFFFFFE4 +REG FFFFFFFFFFFFFFFF +REG 0000000000003DFB +REG 0000000000000001 +REG 000000000000000C +REG 0000000000000000 +REG 000000003FF80000 +REG FFFFFFFFFFFFFFFF +REG 0000000110000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000011 +REG 0000000020000000 +REG FFFFFFFFFFFFB98F +REG 0000000A423127E1 +REG FFFFFFFFFFFFFFE4 +REG FFFFFFFFFFFFFFE4 +REG FFFFFFFFFFFFFFE4 +REG 0000000000000001 +REG 00000000000001E0 +REG 0000000000002BFF +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG FFFFFFF5BDCED81F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000100 +REG FFFFFFFFFFFFF000 +REG 0000000091FF009E diff --git a/tests/910.bin b/tests/910.bin new file mode 100644 index 0000000..c0dff2c Binary files /dev/null and b/tests/910.bin differ diff --git a/tests/910.out b/tests/910.out new file mode 100644 index 0000000..1dd9098 --- /dev/null +++ b/tests/910.out @@ -0,0 +1,32 @@ +REG 0000574100003DDF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000CE8 +REG 0000000000000000 +REG 0000000000000039 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000005741 +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFEFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000001000000 +REG 0000000000000007 +REG 0000000000000002 +REG 0000000000000005 +REG 000000000000003F +REG FFFFFFFFFFFFFEFF +REG FFFFFFFFFFFFFFC1 +REG 00000000FF000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000040811108 diff --git a/tests/911.bin b/tests/911.bin new file mode 100644 index 0000000..7f117f2 Binary files /dev/null and b/tests/911.bin differ diff --git a/tests/911.out b/tests/911.out new file mode 100644 index 0000000..14e4e24 --- /dev/null +++ b/tests/911.out @@ -0,0 +1,32 @@ +REG 000000000000C093 +REG 0000000000000000 +REG FFFFFFFFFFFFEA5F +REG 000000000000C093 +REG 0000000040000000 +REG 0000000000000002 +REG FE000407EFF00040 +REG 0000000000000000 +REG 0000000019200000 +REG 0000000000000000 +REG 0000000000000040 +REG 000000004F0244B2 +REG FE000407EFF0003F +REG 000000003FF78422 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFD87EB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000068A20000 +REG FFFFFFFFE409BA47 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFE409BA47 +REG 0000000005C00000 +REG FFFFFFFFB0FD7BDD +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000BA48 +REG FFFFFFFFFFFF8422 +REG 0000000000000000 +REG 000000011E050845 diff --git a/tests/912.bin b/tests/912.bin new file mode 100644 index 0000000..f9ee752 Binary files /dev/null and b/tests/912.bin differ diff --git a/tests/912.out b/tests/912.out new file mode 100644 index 0000000..a03ce9f --- /dev/null +++ b/tests/912.out @@ -0,0 +1,32 @@ +REG F0169CDCDD5BD2A3 +REG 001B7ACF00000007 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000751 +REG 0008307FFFFF0000 +REG FFFFFFFFFFFFF8AF +REG FFFFFFFFFFFFFFF4 +REG 0000000000000000 +REG F78BE44FF73BB8F9 +REG FFFFFFFFF78BE5DE +REG 01E0000001E0D080 +REG FFFFFFFFFFFFF8AF +REG 08741BB008C49BBD +REG FFFFFFA7FFFFFFA7 +REG FFFFFFFFFFFF7FFE +REG 13BBFDFF40000000 +REG FFFFFFFFBF6F7FFE +REG F259DFFFFF5E9180 +REG 0000000000000000 +REG 02DEB000000003C0 +REG 0000000019400000 +REG 0000000000000000 +REG FFFFFFFFFFFF7FFE +REG 0000000000000000 +REG 0000000019400000 +REG 0000000000080000 +REG 0003327FFFFFD0EC +REG 007FFFE86E800000 +REG 0003840100038418 +REG 0000000051E41FE0 diff --git a/tests/913.bin b/tests/913.bin new file mode 100644 index 0000000..8252130 Binary files /dev/null and b/tests/913.bin differ diff --git a/tests/913.out b/tests/913.out new file mode 100644 index 0000000..64b30d6 --- /dev/null +++ b/tests/913.out @@ -0,0 +1,32 @@ +REG 0000000000002021 +REG 0000000000000000 +REG 0000000000003826 +REG FFFFFFFFFFE7FFFF +REG FFFFFFFFE26F0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFE789D +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000040 +REG 000000000000C5A2 +REG 0000020704C00000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFCFFE7DFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000089000000 diff --git a/tests/914.bin b/tests/914.bin new file mode 100644 index 0000000..cdae518 Binary files /dev/null and b/tests/914.bin differ diff --git a/tests/914.out b/tests/914.out new file mode 100644 index 0000000..62f462d --- /dev/null +++ b/tests/914.out @@ -0,0 +1,32 @@ +REG 034D60019FFFDC37 +REG FFFFFFFFFFFFC9CF +REG 00000000400023E9 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000800 +REG 00000000FFFFC9CF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFC9CF +REG 0000000000002001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000040000 +REG CCCC3A7000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFC9CF +REG 0000000000000000 +REG 0000000000000000 +REG CCCC3A7000000800 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FCB29FFDFF270000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF9FFFDC16 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000050040849 diff --git a/tests/915.bin b/tests/915.bin new file mode 100644 index 0000000..9d0be23 Binary files /dev/null and b/tests/915.bin differ diff --git a/tests/915.out b/tests/915.out new file mode 100644 index 0000000..43d179d --- /dev/null +++ b/tests/915.out @@ -0,0 +1,32 @@ +REG C007805000080101 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF80020116 +REG 0000000048484084 +REG 0000000000000000 +REG 00000000A0970002 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000009000 +REG FFFFFFFFB46E0000 +REG 0000000000000010 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF5F68FFFE +REG 0000000000000000 +REG 1FFFFFFFFFFFFF7F +REG 0000000000000000 +REG 00000000000000FB +REG FFFFFFFFFFFFFFFF +REG 000000007FFDFEE9 +REG 0000000000000000 +REG 00000000000036FF +REG 00000000000001F7 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000020 +REG 00000000BC450000 +REG FFFFFFA1213B0000 +REG 0000000000000000 +REG E000000000000080 +REG 0000000084908108 diff --git a/tests/916.bin b/tests/916.bin new file mode 100644 index 0000000..4897d95 Binary files /dev/null and b/tests/916.bin differ diff --git a/tests/916.out b/tests/916.out new file mode 100644 index 0000000..61394ad --- /dev/null +++ b/tests/916.out @@ -0,0 +1,32 @@ +REG 800000003FFFFFFA +REG FFFFFFFFFFFFFFFF +REG 00000000000044B1 +REG 0000000000004000 +REG 000000008F6A0001 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000005000202 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000005 +REG 0000000000000000 +REG FFFFFFFFFFFFBB59 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000004000 +REG 0000000000000005 +REG 0000000000000040 +REG 0000000000000031 +REG FFFFFFFFFFFFF38F +REG 0000000000000000 +REG FFFFFFFF943DFFFF +REG 0000000000000000 +REG 0000000000000005 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000005000202 +REG 000000009E811110 diff --git a/tests/917.bin b/tests/917.bin new file mode 100644 index 0000000..0a1469e Binary files /dev/null and b/tests/917.bin differ diff --git a/tests/917.out b/tests/917.out new file mode 100644 index 0000000..763e8c9 --- /dev/null +++ b/tests/917.out @@ -0,0 +1,32 @@ +REG 0000000003180253 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000003 +REG 0000000000000022 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000000508228D +REG 8E4C000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFCE7DD72 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000508228D +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF9AB60000 +REG 0000000000000003 +REG 0000000000004000 +REG 0000000000000040 +REG FFFFFFFFF8C7DD72 +REG 0000000000000022 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000002A56FFE2 +REG 0000000034650000 +REG 0000000089090008 diff --git a/tests/918.bin b/tests/918.bin new file mode 100644 index 0000000..0a0491e Binary files /dev/null and b/tests/918.bin differ diff --git a/tests/918.out b/tests/918.out new file mode 100644 index 0000000..e6ae728 --- /dev/null +++ b/tests/918.out @@ -0,0 +1,32 @@ +REG FFFFFFFFCD28583E +REG 0000000000000000 +REG 0000001F0000001F +REG FFFFFFFFFFFFFFFF +REG 0000000000000017 +REG 0000000000000000 +REG 0000000000000022 +REG 000000000000001F +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000022 +REG FFFFFFFFFF00187F +REG 00000000B26752D8 +REG 0000000000000022 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000FFFFFFFF +REG FF80000000000000 +REG 000000000000FFFF +REG 0000000000000000 +REG 000000000000FFFF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000B80000000 +REG 000000000000FFFE +REG 0000000000000000 +REG FFFFFFFF7FB0BFBE +REG 0000000000000015 +REG 0000000000000010 +REG FFFFFFFFFFFFFFFF +REG 000000000000001C +REG 00000000801E0000 diff --git a/tests/919.bin b/tests/919.bin new file mode 100644 index 0000000..7d503a2 Binary files /dev/null and b/tests/919.bin differ diff --git a/tests/919.out b/tests/919.out new file mode 100644 index 0000000..1022002 --- /dev/null +++ b/tests/919.out @@ -0,0 +1,32 @@ +REG 000000000007A2C6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000064F3 +REG 0000000000002000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFF447655 +REG 0000000032D62000 +REG 00020200C89E0000 +REG FFFFFFFFFF447655 +REG FFFFFFFFFFFFFDFD +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFF80001 +REG FFFFFFFFFFFF9B0C +REG 0000000000000000 +REG 000000000007FFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFDFC +REG 0000000000002000 +REG 0000000000000000 +REG 0000000000001FFF +REG 0000404000000000 +REG FFFFFFFFFFF80000 +REG 0002020000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000041008048 diff --git a/tests/92.bin b/tests/92.bin new file mode 100644 index 0000000..10efd11 Binary files /dev/null and b/tests/92.bin differ diff --git a/tests/92.out b/tests/92.out new file mode 100644 index 0000000..7769c16 --- /dev/null +++ b/tests/92.out @@ -0,0 +1,32 @@ +REG FFFFFFFFAF408FF7 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000003 +REG 0000000800000008 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000048408408 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000001 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000048408408 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000016E1 +REG 000000000000000D +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000B70D +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000016E1 +REG 0000000000000000 +REG 0000000090810811 diff --git a/tests/920.bin b/tests/920.bin new file mode 100644 index 0000000..ea315b1 Binary files /dev/null and b/tests/920.bin differ diff --git a/tests/920.out b/tests/920.out new file mode 100644 index 0000000..754f398 --- /dev/null +++ b/tests/920.out @@ -0,0 +1,32 @@ +REG 0000002180F0F6DF +REG 000000002B7B0000 +REG 00000000000FFFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000004B15 +REG 00000020FFFFF9B8 +REG 0808000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000016 +REG 00000000000000E6 +REG 0FFFF9BB0E0009BB +REG 0000000000000000 +REG FFFFFFFFB4A5FFFF +REG FFFFFFFFFFFFFF00 +REG 00000000644F0000 +REG 0000000080F0F08E +REG FFFFFFFF9BB10000 +REG 0000000000001ACE +REG 0000000080F0F1CD +REG FFFFFFFF9BB0FFFF +REG FFFFFFFF9BB0FFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000008722 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000000000E6 +REG 000000009FE1E11C diff --git a/tests/921.bin b/tests/921.bin new file mode 100644 index 0000000..ea1f05e Binary files /dev/null and b/tests/921.bin differ diff --git a/tests/921.out b/tests/921.out new file mode 100644 index 0000000..046919f --- /dev/null +++ b/tests/921.out @@ -0,0 +1,32 @@ +REG 0000000091D9FBAF +REG 000000000000725A +REG 2000000020000000 +REG 00000125F115389F +REG FFFFFEDA0EEAC720 +REG 000CF10000000000 +REG 0000000000037780 +REG 0000000020000000 +REG FFFFFFFFFFFFFFFA +REG 0000000000000014 +REG 0000000091F9FA31 +REG 0000000000000001 +REG FFFFFFFFFFFFFFEB +REG 2F6DEA0000000000 +REG 0000000000000808 +REG FFFFFFFF6E25F402 +REG 03FFFFF51F248425 +REG 0000000000000000 +REG 03FFFFF4640605CE +REG 0000000000000006 +REG 00000000000067BF +REG 0000000000000000 +REG 0000000000200000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 000CF0FFFFFFFFFA +REG 0000000000000000 +REG 000000002F8100A7 +REG 0400451A00149204 +REG 0024000000000000 +REG 0000000000000000 +REG 000000005F009E11 diff --git a/tests/922.bin b/tests/922.bin new file mode 100644 index 0000000..a459922 Binary files /dev/null and b/tests/922.bin differ diff --git a/tests/922.out b/tests/922.out new file mode 100644 index 0000000..019bf92 --- /dev/null +++ b/tests/922.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFDF85 +REG 0000000000000000 +REG 0000000000000000 +REG 77CFDB87F9C8A33E +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FE3AE3E0FE3B6334 +REG 001FFDFFFFFFB1C0 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000000003F8 +REG 0000000000000040 +REG 2000000000000000 +REG 0000000000002008 +REG 00000000CE4519F6 +REG 0000000000000000 +REG 77CFDB87F9C8A33E +REG 00000000A49F0001 +REG 0000000000000000 +REG E000000000000000 +REG 000000001F00DFC1 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFCE4519F6 +REG 000000000000FC00 +REG FE3AE3E0FE3B6334 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000002007 +REG 0000000000002047 +REG 77CFDB87F9C8A33D +REG 0000000048870130 diff --git a/tests/923.bin b/tests/923.bin new file mode 100644 index 0000000..35f82b0 Binary files /dev/null and b/tests/923.bin differ diff --git a/tests/923.out b/tests/923.out new file mode 100644 index 0000000..7eb48c5 --- /dev/null +++ b/tests/923.out @@ -0,0 +1,32 @@ +REG 0000000040EA07D5 +REG 0000000000000000 +REG 000000000000003F +REG FFFFFFFFFFFFA6EE +REG 00000000AAC70000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000FFF4A630 +REG 000000000000C4BF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFF5BD +REG 0000000000000000 +REG 0000000000001900 +REG 00000000207503FA +REG 0000000000000000 +REG 800FFFFFFFFFFFC0 +REG FFFFFFFFBF15F80B +REG 000000000000C4BF +REG F5BD000000007FFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000030404060200 +REG FFFFFFFFBFFBF7C0 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000C4BF +REG 0000000000000000 +REG 000000009FE94C60 diff --git a/tests/924.bin b/tests/924.bin new file mode 100644 index 0000000..1248ea3 Binary files /dev/null and b/tests/924.bin differ diff --git a/tests/924.out b/tests/924.out new file mode 100644 index 0000000..903fc24 --- /dev/null +++ b/tests/924.out @@ -0,0 +1,32 @@ +REG 8114AD1200BCBDF3 +REG 00000000000064BB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000006 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000080F440F0 +REG 0000000200000002 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000300000003 +REG FFFFFFFFFFFFFFFF +REG 0000000020885FF0 +REG 0000000000000000 +REG FFFFFFFFDF770B07 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000007F72 +REG 0000000000000000 +REG 0114AD12008A5689 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 800000000036E07D +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000101E881E0 diff --git a/tests/925.bin b/tests/925.bin new file mode 100644 index 0000000..9ef84d9 Binary files /dev/null and b/tests/925.bin differ diff --git a/tests/925.out b/tests/925.out new file mode 100644 index 0000000..79b1149 --- /dev/null +++ b/tests/925.out @@ -0,0 +1,32 @@ +REG FFFFFFDF7FFFC133 +REG 000054570000AEB8 +REG FFFFFFFFE00000BF +REG FFFFFFFFFFFF957E +REG 00000000347FFFFD +REG E80054570000AEB8 +REG 000000000000307B +REG FFFFFFFF81495840 +REG 0000000000000000 +REG 0000000000000057 +REG 17FFFFDFFFFFFFDE +REG 0000000000000000 +REG 17FFFFDFE6C0FFDE +REG 0000000000000001 +REG E800002000000021 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBB +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFF800002 +REG 0000000000000000 +REG 0000000000000040 +REG F800000000000005 +REG 0000000000000040 +REG E800002000000020 +REG 0000000000003A00 +REG 0000000000000000 +REG E8005457000092BB +REG 0000000034000000 +REG E7FFFFFFFFFFC868 +REG 000000007EB6A7C0 +REG 000000004D09FFA8 diff --git a/tests/926.bin b/tests/926.bin new file mode 100644 index 0000000..eb056ef Binary files /dev/null and b/tests/926.bin differ diff --git a/tests/926.out b/tests/926.out new file mode 100644 index 0000000..0036cae --- /dev/null +++ b/tests/926.out @@ -0,0 +1,32 @@ +REG FBFFFB350FFFA6A0 +REG 0000000000000002 +REG FFFFFFFFFFFFFFFE +REG 0000000000000009 +REG 0000000000001042 +REG 0000000000000000 +REG FFFFFFFF8FFFFFCC +REG FFFFFFFFAAEC0009 +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFFE +REG 0000000000000001 +REG 040004CAF0000000 +REG 000000200000000B +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFF9157A +REG 0000000000001042 +REG 0000000000000000 +REG 0000000000001042 +REG FE90900B3FFFFFDA +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000009 +REG 0000000000000000 +REG 0000000000000000 +REG 0000010420000000 +REG 0000000000000013 +REG FFFFFFFFFFFFFF79 +REG 000000200000000B +REG 0000000000000000 +REG 0000000000000079 +REG 0000000100108808 diff --git a/tests/927.bin b/tests/927.bin new file mode 100644 index 0000000..2ac712f Binary files /dev/null and b/tests/927.bin differ diff --git a/tests/927.out b/tests/927.out new file mode 100644 index 0000000..c1fd4dd --- /dev/null +++ b/tests/927.out @@ -0,0 +1,32 @@ +REG FFFFFFFF64F01FE5 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFE000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 9000001FFFFFFFFF +REG FFFFFFFF00000000 +REG FFFFFFFFFFFFFFD3 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF3 +REG 000000000000002C +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000003 +REG 0000000000000000 +REG 000000000000000E +REG 000000000000000E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000084048404 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 000000000000D97F +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000011E080808 diff --git a/tests/928.bin b/tests/928.bin new file mode 100644 index 0000000..09dcda1 Binary files /dev/null and b/tests/928.bin differ diff --git a/tests/928.out b/tests/928.out new file mode 100644 index 0000000..df673e2 --- /dev/null +++ b/tests/928.out @@ -0,0 +1,32 @@ +REG 00000000200020BC +REG 000000000000003D +REG 00000000FFFF0007 +REG 000000000000001A +REG 0000000000000000 +REG FFFFFFFFFFD00003 +REG 000000000000DDA2 +REG 000000000000F9E1 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF9 +REG 0000000000000006 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000B5080000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000030 +REG 0000000000000006 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF0000FFFF +REG 0000000000000000 +REG 00000000604E0000 +REG 0000000040108080 diff --git a/tests/929.bin b/tests/929.bin new file mode 100644 index 0000000..1b0bd8b Binary files /dev/null and b/tests/929.bin differ diff --git a/tests/929.out b/tests/929.out new file mode 100644 index 0000000..c7e603e --- /dev/null +++ b/tests/929.out @@ -0,0 +1,32 @@ +REG 000400000000F580 +REG E4A783FFFFFE0000 +REG 0000000000000000 +REG 0000000000000020 +REG 00000000000DAC3F +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFE000000000000 +REG 0405030808070000 +REG 0000000080000000 +REG 0000000000000040 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFF253C1 +REG 0000000000000000 +REG 000000002F000202 +REG FFFFFFFF0B953B10 +REG 0FFFFFFFFF807000 +REG 0000000000000000 +REG FFFFFF8000000020 +REG 0000000000000A46 +REG FFFFFFFFFFFFF599 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF3B10 +REG FFFFFFFFFFFFD1F9 +REG 0000000000003B10 +REG 1B587C0000020000 +REG 00000000FFB00000 +REG 000000009E000404 diff --git a/tests/93.bin b/tests/93.bin new file mode 100644 index 0000000..3530b29 Binary files /dev/null and b/tests/93.bin differ diff --git a/tests/93.out b/tests/93.out new file mode 100644 index 0000000..80a5155 --- /dev/null +++ b/tests/93.out @@ -0,0 +1,32 @@ +REG C280FFFFC280AA46 +REG FFFFFFFFFFFFC36B +REG 0000000FFFFFFFFF +REG 000000004F800040 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFD2AE2020 +REG 0000000000010000 +REG 0001FFFE00000000 +REG 0000000000000000 +REG 0000000000002178 +REG 00000000000055B8 +REG FFFFFFFFFFFFAA47 +REG 00000000000003FF +REG 0000000000000000 +REG FFFFFFFFFFFFDE88 +REG 0000000504000000 +REG 581008B958100001 +REG A7EFF746A7EFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFAA47 +REG 0000000000000000 +REG 0000000000000000 +REG 00000005810035DC +REG FFFFFFFF0E5C0001 +REG FFFFFFFFFFFFAA47 +REG 0000000000800000 +REG 0000039AA55C4041 +REG 0000000000000504 +REG 0000000000000000 +REG 0000000000000000 +REG 000000005FE00080 diff --git a/tests/930.bin b/tests/930.bin new file mode 100644 index 0000000..f75a7a5 Binary files /dev/null and b/tests/930.bin differ diff --git a/tests/930.out b/tests/930.out new file mode 100644 index 0000000..21fda3f --- /dev/null +++ b/tests/930.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 000000000000001A +REG FEFF7F5EFFFFFF5D +REG 0000000000000020 +REG FFFFFFFFFFFFFFDC +REG 0000000000000021 +REG 8189320D8000320D +REG 000000000C49906C +REG 0000000000000000 +REG 000000000000001B +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 1B7217A01B7217A0 +REG FFFFFFFFD77BBF45 +REG 0000000000000001 +REG 0000002000000020 +REG FFFFFFFFFFFFFE0F +REG FFFFFFFFFFFFFFFF +REG 00000000000000A1 +REG 0000000040000000 +REG F44B5FFFFD6BFFFF +REG 0000000000000081 +REG 0000000000000004 +REG 0000000000000000 +REG 000000005DA5001B +REG 00000000AD69A2DC +REG 000000000C49906B +REG 0000000000000000 +REG 00000000AD69A2DC +REG 000000000000003B +REG 000000005DA50000 +REG 0000000091088091 diff --git a/tests/931.bin b/tests/931.bin new file mode 100644 index 0000000..c401215 Binary files /dev/null and b/tests/931.bin differ diff --git a/tests/931.out b/tests/931.out new file mode 100644 index 0000000..69fa544 --- /dev/null +++ b/tests/931.out @@ -0,0 +1,32 @@ +REG FFF003FDFF67FFFF +REG 0000000000000013 +REG 0000000000080000 +REG 7F80000000000000 +REG 0000000000000000 +REG FFF003FFFF67FFFF +REG 000000000000940E +REG B80000006BFF32F1 +REG 000000000000940E +REG 00000000D7FE65E3 +REG 0000000000000000 +REG 0000000000000040 +REG 806DEEEEEFE09EEE +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 7F80000084020000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF80 +REG 0000000000000000 +REG FFFFFFFF9FE097C0 +REG 00000000D7FE65E3 +REG 000000000007FE00 +REG 11121111101F6111 +REG 0000000000000000 +REG FFFFFFFFFFFA0A80 +REG 0000000000000000 +REG 7F80000000000000 +REG 000000008F4FFFFF +REG 0000000000000000 +REG 000000009E9FFFFF diff --git a/tests/932.bin b/tests/932.bin new file mode 100644 index 0000000..385c6d6 Binary files /dev/null and b/tests/932.bin differ diff --git a/tests/932.out b/tests/932.out new file mode 100644 index 0000000..232de7b --- /dev/null +++ b/tests/932.out @@ -0,0 +1,32 @@ +REG FFFFFFFFD237FC00 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFBF3F +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000040 +REG 0000000000000000 +REG 000000002DC80400 +REG 0000000000000000 +REG 000000002DC80400 +REG 0000000000000800 +REG 0000000016E40200 +REG 0000000000000000 +REG 0000000000010000 +REG 0000000001100000 +REG 0020000000000000 +REG FDBC2BFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000400 +REG 0000000000000020 +REG 0243D40000000400 +REG 0000000000000000 +REG 0000000000000000 +REG 0243D40000000000 +REG 0000000000000000 +REG 0000000001100000 +REG 0000000000000400 +REG FFDFFFFFE91BFDFF +REG 0000000100811080 diff --git a/tests/933.bin b/tests/933.bin new file mode 100644 index 0000000..75a54d2 Binary files /dev/null and b/tests/933.bin differ diff --git a/tests/933.out b/tests/933.out new file mode 100644 index 0000000..ff7f7b5 --- /dev/null +++ b/tests/933.out @@ -0,0 +1,32 @@ +REG 07DFFFE259DA0000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFA9FF0040 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000020084048 +REG 0000000000000020 +REG 0000000000000000 +REG 000000000000003A +REG 0000000100000001 +REG FFFFFFFFFFFF0820 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFF0820 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000000018000 +REG 00000000041FFFFF +REG 0000000080108091 diff --git a/tests/934.bin b/tests/934.bin new file mode 100644 index 0000000..6282701 Binary files /dev/null and b/tests/934.bin differ diff --git a/tests/934.out b/tests/934.out new file mode 100644 index 0000000..85ae70c --- /dev/null +++ b/tests/934.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFBE +REG 0000000000000002 +REG 0808080808080808 +REG 0000000000004FA5 +REG 00000000000059A0 +REG 0000000000000000 +REG 00000000004FA500 +REG 0000000000000001 +REG 0000000000000000 +REG 000000126F87F03D +REG 0000000000004FA5 +REG 0000000000000040 +REG 0000000000000040 +REG 0000000000040000 +REG 0000001226EC3F3D +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFA65F +REG FFFFFFFFFFFFC6A4 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0004000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFDF +REG 0000000000000000 +REG 000000000000003E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000068580000 +REG 00000000489BB0FD +REG 0000000048109000 diff --git a/tests/935.bin b/tests/935.bin new file mode 100644 index 0000000..6df88fb Binary files /dev/null and b/tests/935.bin differ diff --git a/tests/935.out b/tests/935.out new file mode 100644 index 0000000..03457bd --- /dev/null +++ b/tests/935.out @@ -0,0 +1,32 @@ +REG F7F7F7F73FFFD901 +REG 08080808C8000000 +REG F7F7F7F7BFFFEC7B +REG 0000000000000000 +REG 0000000083DF8D0A +REG 7FFFFFFFFF0C2E16 +REG FFFFFFFFEE5F34A5 +REG FFFFFFF7BFFFEC7B +REG FFFFFFFFEE5F34A1 +REG 0000000000F3D1F8 +REG FFFFFFFFFF0C2E56 +REG FFFFFFFFFFFFFFFF +REG 0000000020800000 +REG FFFFFFFFFF0C2E16 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFF003FFF +REG 0000000000000000 +REG 0000000000000041 +REG FFFFFFFFDCBE0040 +REG FFFFFF08C70C2E07 +REG F7F7F7F7380011F8 +REG 0000000000000006 +REG 0000000000000000 +REG 08080808C8000000 +REG 0000000000000000 +REG 000000002173D1EA +REG 0000000080048440 +REG FFFFFF0000000000 +REG FFFFFFFFFFFFFFFF +REG 000000009E090880 diff --git a/tests/936.bin b/tests/936.bin new file mode 100644 index 0000000..0f5a480 Binary files /dev/null and b/tests/936.bin differ diff --git a/tests/936.out b/tests/936.out new file mode 100644 index 0000000..0dde00d --- /dev/null +++ b/tests/936.out @@ -0,0 +1,32 @@ +REG 00000000800033C7 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000FFFEE1 +REG 0000000000000011 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000004C47 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 00000001FFFDC380 +REG 0000000000000040 +REG 0000000000000000 +REG 00000FFFFFFFFFE0 +REG 00000001FFFDCFC7 +REG 0000000000000000 +REG 0000000000000000 +REG 00000FFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000000 +REG 000000002B210000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000049009108 diff --git a/tests/937.bin b/tests/937.bin new file mode 100644 index 0000000..7c61b43 Binary files /dev/null and b/tests/937.bin differ diff --git a/tests/937.out b/tests/937.out new file mode 100644 index 0000000..fb22b62 --- /dev/null +++ b/tests/937.out @@ -0,0 +1,32 @@ +REG FFFFF01F3BFFF01F +REG D4D26C00000001C1 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000FE01000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFF0000FFFF0000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000002 +REG 00000000C4000000 +REG 000000FFFFFF0000 +REG 0000000000000005 +REG 0000000000000000 +REG 00F556C000007E62 +REG FFFFFFFFFFFFFFFF +REG 2B2D93FFFFFFFE3E +REG 0000000000000000 +REG 0000007075349B00 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFF556C000007E62 +REG 2B2D93FFFFFFFE3E +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG D4D26BFFFFFFE9B1 +REG 0000000000000000 +REG 0000000108910904 diff --git a/tests/938.bin b/tests/938.bin new file mode 100644 index 0000000..4fe5d95 Binary files /dev/null and b/tests/938.bin differ diff --git a/tests/938.out b/tests/938.out new file mode 100644 index 0000000..e9d03fe --- /dev/null +++ b/tests/938.out @@ -0,0 +1,32 @@ +REG 0000000000000040 +REG 000000003CC40000 +REG 8000000000000008 +REG FFFFFFF7FFFFFFF7 +REG 000000000000000B +REG 0000000000000800 +REG 0000000000000001 +REG 0000000000000000 +REG 00000000300001C3 +REG 0000000000000020 +REG 0000000000000020 +REG 00000000000001C3 +REG 0000000000000001 +REG FFFFFFFF20000040 +REG 000000000000162B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000030000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFF20000040 +REG 0000000000000020 +REG 0000000000000040 +REG 000000000000166B +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF5CA0013F +REG 0000000000000000 +REG 0000000080000800 diff --git a/tests/939.bin b/tests/939.bin new file mode 100644 index 0000000..20e7808 Binary files /dev/null and b/tests/939.bin differ diff --git a/tests/939.out b/tests/939.out new file mode 100644 index 0000000..1ab249a --- /dev/null +++ b/tests/939.out @@ -0,0 +1,32 @@ +REG 000000001217DF9D +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG FFFFFFFFFFFF903A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 7FFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFF8BF7 +REG 0000000000000040 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000006 +REG 0000000000000000 +REG 0000000012170020 +REG 0000000000000000 +REG 8000000000000001 +REG 00000000000006FD +REG FFFFFFFFFFFFFFFF +REG 0000007FFFFFFFFF +REG 0000000000005DE6 +REG 0000000100000088 diff --git a/tests/94.bin b/tests/94.bin new file mode 100644 index 0000000..5599964 Binary files /dev/null and b/tests/94.bin differ diff --git a/tests/94.out b/tests/94.out new file mode 100644 index 0000000..14a6efb --- /dev/null +++ b/tests/94.out @@ -0,0 +1,32 @@ +REG 0000000000000080 +REG 0300000000000E90 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000001A +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000020487B84 +REG 0000000000000000 +REG FFFFFFFFFFFF3C16 +REG 0000008074A15E00 +REG 00000000403A400C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000003A4000 +REG 00000000C0100010 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000403A50AF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0300000000000E90 +REG FFFFFFFFFFFF3C16 +REG 000000004110F710 diff --git a/tests/940.bin b/tests/940.bin new file mode 100644 index 0000000..23f4bfc Binary files /dev/null and b/tests/940.bin differ diff --git a/tests/940.out b/tests/940.out new file mode 100644 index 0000000..f4590c7 --- /dev/null +++ b/tests/940.out @@ -0,0 +1,32 @@ +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000003B00 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 00000000C6400000 +REG 0000000000000000 +REG 0000000000000000 +REG 0629C267C6691B9E +REG 01FFFFE000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000C6400000 +REG 00000000000001FF +REG 0000000000001471 +REG 0000000000001471 +REG 0000000086304028 +REG 000000000000003B +REG 0000000000000000 +REG FFFFFFFFFFFFFF98 +REG FFFFFFFFFFFFFE00 +REG 0000000039C047F4 +REG 01FFFFE000000000 +REG 0000000000000000 +REG 0000000000980000 +REG 00000000000001FF +REG 0000000000000000 +REG FFFFFFFFC63FB80B +REG 0000000000000001 +REG 000000008C608050 diff --git a/tests/941.bin b/tests/941.bin new file mode 100644 index 0000000..8b25fda Binary files /dev/null and b/tests/941.bin differ diff --git a/tests/941.out b/tests/941.out new file mode 100644 index 0000000..7c8ab4e --- /dev/null +++ b/tests/941.out @@ -0,0 +1,32 @@ +REG 00000005B3275324 +REG FFFFFFF9FFFFFFD8 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG AE4CAF8C00000143 +REG 0000000000000000 +REG 0000000598272DDC +REG 0000000000000000 +REG 0000000000000014 +REG 0000000098040000 +REG FFFFFFFFFFFFFFFF +REG 0000000598270007 +REG FFFFFFFFD5000000 +REG 000000002B000000 +REG FFFFBFFF97701A03 +REG 0000000000000015 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000A4B +REG AE4CAF8C00000143 +REG 000000059827000A +REG 0000000000000003 +REG 000000002AFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000005C327000A +REG FFFFFFFFFFFFFFFF +REG 0000000280000000 +REG 0000000080008003 diff --git a/tests/942.bin b/tests/942.bin new file mode 100644 index 0000000..94554f9 Binary files /dev/null and b/tests/942.bin differ diff --git a/tests/942.out b/tests/942.out new file mode 100644 index 0000000..3ff4463 --- /dev/null +++ b/tests/942.out @@ -0,0 +1,32 @@ +REG FFFFFFFFBB3F7892 +REG 000000000000000F +REG 0000000000000000 +REG FFFFFFFFFFFF7CD7 +REG 0000000000000020 +REG A0000000089810ED +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040020044 +REG 0000000000000000 +REG 00000000000010ED +REG FFFFFFFFFFFFBCB7 +REG FFFFFFFFFFA4C075 +REG 000000002C810044 +REG 0000000000000000 +REG 00000004194BA000 +REG 0000000011C00000 +REG A000000017D810ED +REG 000000000755B000 +REG 000000000000007F +REG 0000000044C0876D +REG 0000048B0000048B +REG FFFFFFFFD37EFFBC +REG 00000000000010ED +REG 44C0755B44C0755B +REG 023800000000030F +REG FFFFFFFFFFFFFFFF +REG 0000000044C0876D +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 5FFFFFFFF767EF12 +REG 0000000000000117 diff --git a/tests/943.bin b/tests/943.bin new file mode 100644 index 0000000..6f175d4 Binary files /dev/null and b/tests/943.bin differ diff --git a/tests/943.out b/tests/943.out new file mode 100644 index 0000000..ce0a71b --- /dev/null +++ b/tests/943.out @@ -0,0 +1,32 @@ +REG FFFFFFDB2F3F76E3 +REG 0000000000000001 +REG 00000000D5F88018 +REG 0000000000008010 +REG 0000000000000000 +REG 00000024CFFFF6CC +REG 021FFFFF80078000 +REG FDE000007FF88000 +REG FFFFFFFFFF87FFE6 +REG 000000000000CE1A +REG 0000000000780018 +REG 0000000000FF8000 +REG 0000000000000018 +REG 0000000000007C00 +REG 000021FFF7782279 +REG 00000000003FFFF0 +REG 000000000000003E +REG 019C340001903400 +REG 0000000000000000 +REG 0000000007FFFFFE +REG 0040000000000000 +REG FDC000007FF88050 +REG 00000000003FFFF0 +REG 00000000D5F88018 +REG 0000000000000018 +REG FFE0000000000050 +REG 0000000060000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001E +REG 000000000077F9E0 +REG 000000011009E709 diff --git a/tests/944.bin b/tests/944.bin new file mode 100644 index 0000000..fe75128 Binary files /dev/null and b/tests/944.bin differ diff --git a/tests/944.out b/tests/944.out new file mode 100644 index 0000000..6aa9ddf --- /dev/null +++ b/tests/944.out @@ -0,0 +1,32 @@ +REG FFFFF8CFC6900225 +REG 0000000040000000 +REG DD24177D1B240000 +REG 0000000000000000 +REG FFFFFFFFFFFFFF9F +REG 00000FFF3E000226 +REG 0000000000800000 +REG 0000000000000060 +REG 00000000000010AD +REG 0000000000000000 +REG FFFFFFFFFFFFFF9F +REG 0000000000005000 +REG 00000000477AFFD4 +REG 0000000000005028 +REG FFFFFFFFE9090000 +REG FFFFFFFFE9090000 +REG 0000000000024000 +REG FFFFFFFFFFFFFFD4 +REG FFFFFFFFE9090000 +REG 00000000477AFFD4 +REG 0000000000000000 +REG 000FFFFFFFE00000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000003FFFFFFFFF +REG 0000000000004E62 +REG 0000000100000001 +REG 0000000000005028 +REG 0000000000000000 +REG 0000000101129E00 diff --git a/tests/945.bin b/tests/945.bin new file mode 100644 index 0000000..353841e Binary files /dev/null and b/tests/945.bin differ diff --git a/tests/945.out b/tests/945.out new file mode 100644 index 0000000..ce11a58 --- /dev/null +++ b/tests/945.out @@ -0,0 +1,32 @@ +REG FFFFFFFFF8FFFFFF +REG 0000000000007350 +REG 020000000B7D7800 +REG B9FEA8CBB9F2A8CB +REG FFFFFFFFFFFFAA0C +REG 0000000062A20000 +REG FFFFFFFFFFFFAA0C +REG 0000000000C4C800 +REG FFFFFFF800000000 +REG 0000000000003132 +REG FFFFFFFF00000000 +REG FFFFFFFFFFFFFFFF +REG 0808080808080808 +REG 0000000000001899 +REG 0000000007000000 +REG 0000000000000002 +REG F100020007000001 +REG FFFFFFFFFFFFFFFF +REG 0000000007000000 +REG 0200000065DCFF54 +REG 75B5FFFFFFFFFBD8 +REG 000000010508880B +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFDAF69AA +REG 0000000008000000 +REG FFFFFFFFFDAF69A9 +REG 0808080808080808 +REG FFFFFFFFFFFFDE74 +REG FFFFFFFFFFFF8CB0 +REG 0000000109FEE9E0 diff --git a/tests/946.bin b/tests/946.bin new file mode 100644 index 0000000..f79e595 Binary files /dev/null and b/tests/946.bin differ diff --git a/tests/946.out b/tests/946.out new file mode 100644 index 0000000..7f17eea --- /dev/null +++ b/tests/946.out @@ -0,0 +1,32 @@ +REG B78382E99C02EC8A +REG FFFFFFFFFFFF68A1 +REG FFE0000000000000 +REG 0000000000000000 +REG 8CCD7332FFFF8CCD +REG 0000003D0000083B +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFFFFFFD9F +REG 0000000000000000 +REG F000000003FFFFFF +REG FFFFFFFFFFFF587E +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF8000FFFF +REG FFFF0000FFFFFFFF +REG 0000000000000000 +REG 61F1F45961F1F459 +REG F000000103FFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 400001D1BFFFF7C5 +REG 0000000000000000 +REG 000000003FFFFFFF +REG FFE0000000000000 +REG 0000000000000000 +REG 0000000000000030 +REG 0000000100000001 +REG FFFFFFFF8000FFFF +REG 587C7D16587C7D16 +REG 000000003FFFFFFF +REG 000000011F00100D diff --git a/tests/947.bin b/tests/947.bin new file mode 100644 index 0000000..d4b2254 Binary files /dev/null and b/tests/947.bin differ diff --git a/tests/947.out b/tests/947.out new file mode 100644 index 0000000..b5c1629 --- /dev/null +++ b/tests/947.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFF4AB5 +REG FFFFFFFFC7FFFFFF +REG 0000000037FFFFC0 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF4AB5 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFF4AB6 +REG FFFFFFFFFFFF4AB6 +REG 000000000000003F +REG 00000000AFED0000 +REG 0000000000000000 +REG FFFFFFFFFFFF4AB5 +REG 0000000000000039 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFC0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFFC800003E +REG 0000000000000000 +REG FFFFFFFFFFFF4AB7 +REG 000000000000B400 +REG 0000000100000001 diff --git a/tests/948.bin b/tests/948.bin new file mode 100644 index 0000000..beb39ca Binary files /dev/null and b/tests/948.bin differ diff --git a/tests/948.out b/tests/948.out new file mode 100644 index 0000000..818dd71 --- /dev/null +++ b/tests/948.out @@ -0,0 +1,32 @@ +REG 000000000000003B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000ACAD +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFDFF1 +REG FFFFFFFFEFFFFFFF +REG 000803800FFFFFFF +REG FFF7FC7FF0005FA1 +REG 0000000000000000 +REG 0000000000000013 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000005FA1 +REG 000000000000001E +REG FFFFFFFFFD4BF2A6 +REG FFF7FC7FF0000000 +REG 0000000016B00000 +REG 00000000000040FC +REG 000000000000ACAD +REG FFFFE00000000000 +REG 0000000020000000 +REG 0000000000000020 +REG 00000000E915ACAD +REG FFFFFFFFA0000000 +REG 0000000000000000 +REG 0000000081010888 diff --git a/tests/949.bin b/tests/949.bin new file mode 100644 index 0000000..1f2fb0c Binary files /dev/null and b/tests/949.bin differ diff --git a/tests/949.out b/tests/949.out new file mode 100644 index 0000000..f3cd4df --- /dev/null +++ b/tests/949.out @@ -0,0 +1,32 @@ +REG 0000001C0008F04D +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFF8FFFFFFF9 +REG FFFFFFFFFFFFFFEF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001E +REG FFFFFFF8FFFFFFF9 +REG FFFFFFF8DAFEFAA1 +REG FFFFFFFFFFFFFFE4 +REG 000000001F294000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000010 +REG 000000001F294000 +REG 0000000025010548 +REG FFFFFFFFFFFFFFBF +REG 0007CAB50007CAB5 +REG FFFFFFF3FFFFFFF4 +REG FFFFFFF8DA41FAA0 +REG 0000001C0001000D +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFF8DAFEFAA0 +REG 0000000000000000 +REG 0000000094081090 diff --git a/tests/95.bin b/tests/95.bin new file mode 100644 index 0000000..626040c Binary files /dev/null and b/tests/95.bin differ diff --git a/tests/95.out b/tests/95.out new file mode 100644 index 0000000..ebd2917 --- /dev/null +++ b/tests/95.out @@ -0,0 +1,32 @@ +REG 00000000849FF523 +REG 0000000000000001 +REG 0000000000000000 +REG 000000200000001D +REG FFFFFFFFF8000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 1D0000001DFFDFF8 +REG FFFFFFDFFFFFFFE2 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000001D +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000001260 +REG 000000200000001E +REG 0000000000000001 +REG FFFFFFDFF99B3FE3 +REG 0000000000000000 +REG 1D0000001DFFDFF8 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000003560 +REG 000000000000001D +REG 0000000000000000 +REG 0000000000000000 +REG 0000353007FFCACF +REG 00000000800049AC diff --git a/tests/950.bin b/tests/950.bin new file mode 100644 index 0000000..f92e609 Binary files /dev/null and b/tests/950.bin differ diff --git a/tests/950.out b/tests/950.out new file mode 100644 index 0000000..994de2c --- /dev/null +++ b/tests/950.out @@ -0,0 +1,32 @@ +REG FE6BCD2666AB45FE +REG 0000000000000000 +REG 01010102BCDA25FF +REG 0000000000000000 +REG 000000009E530002 +REG 0000000000000000 +REG 0000000000000001 +REG 00000001BBD90000 +REG FE6BCD256ED025FE +REG 0000000000000000 +REG 000000009E530003 +REG 0000000000000000 +REG 0000000048084428 +REG 0012939D0012939D +REG 000000009E53BCA7 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000003 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFE871 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000009E53BCA7 +REG 0000000000000014 +REG 000000000000949C +REG 0000000050108851 diff --git a/tests/951.bin b/tests/951.bin new file mode 100644 index 0000000..2c8ed3e Binary files /dev/null and b/tests/951.bin differ diff --git a/tests/951.out b/tests/951.out new file mode 100644 index 0000000..4d8aa4f --- /dev/null +++ b/tests/951.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFDFFFE4 +REG 00001FFFDC95BC96 +REG FFF7256F25800000 +REG 000000000C0096CA +REG 00018012D952FFEA +REG 0000000000000001 +REG FFFFFFFFFFFF96CA +REG 00018012D952FFEA +REG 05007F9400003F94 +REG 0000000000000000 +REG FFFFFFFFFFFE7FEC +REG 0000000000000000 +REG 000000000C0096CA +REG FFFFFFFFFFFFFFC6 +REG 0000000000000000 +REG 000000000001C03D +REG 0000000000000000 +REG 0000000000000001 +REG 000000008B00C03D +REG FFFFFFFFFFFFA41B +REG 000000004AC40000 +REG BB3B652ABD3AA3E9 +REG 0000000000B00000 +REG 000000000000003A +REG 00018012D952FFEA +REG 000000008B00C03C +REG 0000000000000000 +REG 0000000000000000 +REG 000000008B00C03D +REG 0000000000000000 +REG FBFFFFFFFBB6FFFF +REG 000000009601807A diff --git a/tests/952.bin b/tests/952.bin new file mode 100644 index 0000000..78061f9 Binary files /dev/null and b/tests/952.bin differ diff --git a/tests/952.out b/tests/952.out new file mode 100644 index 0000000..f32b818 --- /dev/null +++ b/tests/952.out @@ -0,0 +1,32 @@ +REG FF00014FFFFFFFFF +REG 0000000000000001 +REG 0000000008000000 +REG F7F7F7F7FDFAF7FA +REG FFF9FA880004109D +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000F7FAF7F +REG 7FFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFD961 +REG 000000000F7FAF7F +REG FF00000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFE218 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFEB000000000 +REG 00060577FFFBFFFF +REG 0000000000000026 +REG FFFFFEB000000000 +REG 0000000000000000 +REG FFFFFFFF815DFFFE +REG FFFFFFFFFFFFFFFF +REG 00102AB57EB02AB5 +REG 00060577FFFBEF63 +REG FFFFFFFFFFFFFFFF +REG FFFFFEB000000000 +REG FFFFFFFFFFFFFFFF +REG 00FFFFFFFFFFFFFF +REG 0000000051090910 diff --git a/tests/953.bin b/tests/953.bin new file mode 100644 index 0000000..83cf20d Binary files /dev/null and b/tests/953.bin differ diff --git a/tests/953.out b/tests/953.out new file mode 100644 index 0000000..f5bf798 --- /dev/null +++ b/tests/953.out @@ -0,0 +1,32 @@ +REG 000003FFFEFDEEFB +REG 000003FFFFFFEFFF +REG C000000000000000 +REG 00000021FFFF8C12 +REG 000007FF3FF2DFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000004E67 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF90490040 +REG FFFFFFFFFFFFFFC0 +REG 0000000000004ED4 +REG 0000000000000000 +REG 000003FFFFFFEFFF +REG FFFFFFFF90490040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000F0000 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000FFFF0000 +REG 0000000000000009 +REG 0000000000000009 +REG 0000000004000000 +REG 000003FFFFF00000 +REG 0000000010010000 +REG 00000000881E9FE0 diff --git a/tests/954.bin b/tests/954.bin new file mode 100644 index 0000000..6590c02 Binary files /dev/null and b/tests/954.bin differ diff --git a/tests/954.out b/tests/954.out new file mode 100644 index 0000000..540d22a --- /dev/null +++ b/tests/954.out @@ -0,0 +1,32 @@ +REG 0000000049B21D7F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 03FFFFFFFFFFFFB6 +REG 0000000000000000 +REG 0000000000029330 +REG 0000000000000000 +REG 0000000000000011 +REG 0000000000000000 +REG 0000000000000000 +REG 63A3FC6060000060 +REG 0000000060000060 +REG FF7FFFFFF0016280 +REG FFFF9FFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001E +REG FFFFFFFFFFFFFFB6 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFC0000000 +REG 0000000000000000 +REG C0058A03C0000001 +REG 0000000000000000 +REG 0000000000000020 +REG 0000600000000000 +REG 0000000000000000 +REG 00000000404088F0 diff --git a/tests/955.bin b/tests/955.bin new file mode 100644 index 0000000..c5a92fb Binary files /dev/null and b/tests/955.bin differ diff --git a/tests/955.out b/tests/955.out new file mode 100644 index 0000000..799b37e --- /dev/null +++ b/tests/955.out @@ -0,0 +1,32 @@ +REG A9303F0100000002 +REG FFFFFFFFFFF5C280 +REG 078000000BEAE000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000080000000 +REG 0000000000000000 +REG FFFFFFFFEBEAE39D +REG FFFFFFE0AFAA9303 +REG 00000000AFAA001C +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000003139 +REG 0000000000FF0000 +REG 0000000000000000 +REG 0000000000006D18 +REG 00000000280484EF +REG 00000000F0000000 +REG 0000000000000000 +REG FFFFFFFF5056311D +REG FFFF80000000309F +REG 0000000000000000 +REG 0000000000FF0000 +REG FFFFFFFFD7FF7B10 +REG FFFFFFFFFFFFFFFF +REG 000000004F048884 +REG 0000800000000000 +REG 0000000080000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 000000011F091108 diff --git a/tests/956.bin b/tests/956.bin new file mode 100644 index 0000000..c0c4842 Binary files /dev/null and b/tests/956.bin differ diff --git a/tests/956.out b/tests/956.out new file mode 100644 index 0000000..c04698c --- /dev/null +++ b/tests/956.out @@ -0,0 +1,32 @@ +REG 0000000000004141 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFB1FBEDB +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFBEBF +REG 000000000000C780 +REG FFFFEE001FFFFFEF +REG 0000104CFFFF96CD +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 000011FFFFFF96CD +REG 0000413500004135 +REG 0000000000000000 +REG 000000000B2A0000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFEE001FFFFFFF +REG FFFFFFFFFFFFBEBF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFF00 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFBFFF8680 +REG 000000000B2A0000 +REG 0000000000000040 +REG 000000000000C780 +REG 0000000080081F01 diff --git a/tests/957.bin b/tests/957.bin new file mode 100644 index 0000000..9035d4c Binary files /dev/null and b/tests/957.bin differ diff --git a/tests/957.out b/tests/957.out new file mode 100644 index 0000000..123a0de --- /dev/null +++ b/tests/957.out @@ -0,0 +1,32 @@ +REG F935FFFF98E31DEF +REG 0000000000000001 +REG FFFFFFFFFFFF0000 +REG 0000000000000000 +REG 0000000000000000 +REG FF9ADB9EFF9ADB9E +REG 0000000000000001 +REG FFFFFFFF2010003E +REG 000000000000FFFF +REG 0000000000004EBE +REG FFFFFFFF621DFFEE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000001FFA0 +REG 0000000000000001 +REG F91FFFFFF3BD000F +REG 0000000000000000 +REG 0000000068490001 +REG 0000000000000000 +REG FFFFFFFFFFFFFD99 +REG 0000000000000026 +REG 0000000000000000 +REG 0000002000000020 +REG 0000000000000001 +REG 00000000000016D4 +REG FFFFFFFFFFFFB142 +REG FFF0000003FF4000 +REG 000000000007FFFF +REG F8F5FFFFFEF81B8A +REG FFFFFFFFFFF7B141 +REG 000000011FF10133 diff --git a/tests/958.bin b/tests/958.bin new file mode 100644 index 0000000..34d5148 Binary files /dev/null and b/tests/958.bin differ diff --git a/tests/958.out b/tests/958.out new file mode 100644 index 0000000..6204acf --- /dev/null +++ b/tests/958.out @@ -0,0 +1,32 @@ +REG 0000000000031859 +REG 1FFFFFFFFFFCE7A7 +REG 0000000020400824 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFF6B6F800264D +REG FFFFFFFFDFBE0823 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 00000000610B0001 +REG 0000000000000000 +REG 1FFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFCC90C6F8 +REG 0000000000000000 +REG 0000000020000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFE0007 +REG 0000000000000000 +REG FFFFFFFFCC90C6F8 +REG FFFFF6B6F800264D +REG FFFFFFFFFFFDF600 +REG 0000000100000001 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000000003FE9 +REG 0000000000000000 +REG 0000000040801050 diff --git a/tests/959.bin b/tests/959.bin new file mode 100644 index 0000000..471ba77 Binary files /dev/null and b/tests/959.bin differ diff --git a/tests/959.out b/tests/959.out new file mode 100644 index 0000000..c176bbc --- /dev/null +++ b/tests/959.out @@ -0,0 +1,32 @@ +REG 97537C8000172B44 +REG FFFFF6D62828C4A2 +REG 0000000000000000 +REG 0000000000001F7F +REG 0000000000000000 +REG 000000000000003A +REG FFFFFFFFFFFFFFFF +REG 0000000000000080 +REG 0000000000000000 +REG FFFFFFFFFFFF0FFF +REG 0000000000000000 +REG 000000004088F84F +REG FFFFFFFFFFFFFFC5 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG FFFFE1FFFFFDF5E0 +REG 0000000000031600 +REG 000000000000E000 +REG 0000000000000001 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000400 +REG 97537C910017D8E1 +REG FFFFE1FFFFFC01FF +REG 800000006A9E0000 +REG 0000000000000000 +REG 97537C910017D8D1 +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG 000000004088F84F +REG 0003BDAF5C4B8262 +REG 000000011FFAEF08 diff --git a/tests/96.bin b/tests/96.bin new file mode 100644 index 0000000..176bf1b Binary files /dev/null and b/tests/96.bin differ diff --git a/tests/96.out b/tests/96.out new file mode 100644 index 0000000..ecfbea3 --- /dev/null +++ b/tests/96.out @@ -0,0 +1,32 @@ +REG 0877F7F7F8FBD836 +REG FFFFFFF8000030CF +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000009016 +REG 0000000000000000 +REG E19ED7771E6C0000 +REG 0000000000000000 +REG FFFFFFFFFFFFD762 +REG 0000000000000000 +REG 0000000070C9E780 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFE780 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000070CA0000 +REG 1E6BFFFF1E6BFFFF +REG 0000000000000000 +REG FFFFFFFF8F35FFFF +REG 0000000000000000 +REG 0000000003010506 +REG 0000000000000000 +REG 0000000000000006 +REG FFFFFFFFFFFF8B7B +REG 0000000800000000 +REG 0000000000000006 +REG 0000000051088010 diff --git a/tests/960.bin b/tests/960.bin new file mode 100644 index 0000000..08dd9a7 Binary files /dev/null and b/tests/960.bin differ diff --git a/tests/960.out b/tests/960.out new file mode 100644 index 0000000..f9ac6cd --- /dev/null +++ b/tests/960.out @@ -0,0 +1,32 @@ +REG 00000000014ACE1C +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 1000000000000002 +REG 0000000000000002 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFF53FB5 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 07FFB862FFFFB862 +REG 0000000000078000 +REG 0000000000000000 +REG 0000000007F53FB0 +REG 0000000000077FFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG EFFFFFFFFFFFFFFD +REG 0000000000000000 +REG 0000000000077FFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000078000 +REG 0000000000000002 +REG 0000000040080800 diff --git a/tests/961.bin b/tests/961.bin new file mode 100644 index 0000000..4288551 Binary files /dev/null and b/tests/961.bin differ diff --git a/tests/961.out b/tests/961.out new file mode 100644 index 0000000..0c985cb --- /dev/null +++ b/tests/961.out @@ -0,0 +1,32 @@ +REG 0000000036BD0000 +REG 0000000004010000 +REG 000000000F400000 +REG 0000000000003871 +REG 0000000000000000 +REG 000000000006D7A0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFEC +REG 000000000000611F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000013 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000013 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000611F +REG 0000000041F081E8 diff --git a/tests/962.bin b/tests/962.bin new file mode 100644 index 0000000..a1a506f Binary files /dev/null and b/tests/962.bin differ diff --git a/tests/962.out b/tests/962.out new file mode 100644 index 0000000..bc45f07 --- /dev/null +++ b/tests/962.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFC2CF +REG 000000000CE9A000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG FFFFFFFF6360F86B +REG FFFFFFFFFFFFFFD2 +REG 0000000000000040 +REG FFFFFFFFFFFFC28F +REG 0000000000000000 +REG 0000022200018E7C +REG 0000000007807433 +REG 000000000CE9A000 +REG FFFFFFFFFF807472 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000010000 +REG 0000000000006CB9 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFEF +REG 0000000000000030 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000051011049 diff --git a/tests/963.bin b/tests/963.bin new file mode 100644 index 0000000..1e98f4d Binary files /dev/null and b/tests/963.bin differ diff --git a/tests/963.out b/tests/963.out new file mode 100644 index 0000000..001ba7a --- /dev/null +++ b/tests/963.out @@ -0,0 +1,32 @@ +REG FFC0000067D3F8ED +REG 0000000000000020 +REG C1ABC9C87FFFFFFC +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000067CAFC32 +REG 0000000000000000 +REG 000000000000001A +REG 0000000000000000 +REG FFC0000000000000 +REG 0000000000000000 +REG FFFFFFFFFFF57BFF +REG 0000000000000000 +REG 0000000000000000 +REG FFC000000000756C +REG FFFFFFFFFFF807FF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFF9835FFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000031336 +REG FFC0000000000000 +REG FFFE2737FFFFFFE0 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000041001E41 diff --git a/tests/964.bin b/tests/964.bin new file mode 100644 index 0000000..229312d Binary files /dev/null and b/tests/964.bin differ diff --git a/tests/964.out b/tests/964.out new file mode 100644 index 0000000..38d4b76 --- /dev/null +++ b/tests/964.out @@ -0,0 +1,32 @@ +REG 00000000C5E4049E +REG 0000000000000000 +REG A50FFFFDA50EF837 +REG 00000081C0000000 +REG FFFFFFFFFFFFFFFF +REG 000000001B72533E +REG 000000007ECA533E +REG 0000000000000000 +REG 0000000000000000 +REG 00000081C0000000 +REG 00000000000000F8 +REG 0000000000000000 +REG 000000007ECA533E +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 000000007ECA533E +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000006B59840000 +REG 000000007ECA7B1C +REG 0000006B59840000 +REG 00000000000025AF +REG 000000000135ACC2 +REG 00000000000037A2 +REG 0000006B59840000 +REG 00000000221E2DBF +REG 0000000000000000 +REG FFFFFF94A67BFFFF +REG 0000000000000001 +REG 0000000000000000 +REG 0000000050108F70 diff --git a/tests/965.bin b/tests/965.bin new file mode 100644 index 0000000..54b054f Binary files /dev/null and b/tests/965.bin differ diff --git a/tests/965.out b/tests/965.out new file mode 100644 index 0000000..4a6ad41 --- /dev/null +++ b/tests/965.out @@ -0,0 +1,32 @@ +REG 00000000593100B8 +REG 0000000000000000 +REG 0000001FFFF8B2A0 +REG 0000000000000020 +REG 000FF0005A1007F0 +REG 0000000000000000 +REG FFFFE2CA80003A6B +REG FFFFFFFF0000FF00 +REG FFFFFFFFFFFFA5EF +REG 0000000000000000 +REG 0000000000000000 +REG 0A6CE00480000000 +REG FFFFFFFF0000FF00 +REG 0000000000000000 +REG FFFFFFFFFFFF5068 +REG 0000000000000000 +REG 00000000FFFFC595 +REG FFFFE2CA80003A6B +REG FFFFFFFFFFFFA5EF +REG 0000000000000000 +REG 0A6CE0047FFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFF5048 +REG FFFFFFFFA6CE0048 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000007FFF +REG FFFFFFFFFFF8B2A0 +REG 0000000000000000 +REG 000000011FFF4A1E diff --git a/tests/966.bin b/tests/966.bin new file mode 100644 index 0000000..faf207f Binary files /dev/null and b/tests/966.bin differ diff --git a/tests/966.out b/tests/966.out new file mode 100644 index 0000000..fd05ef7 --- /dev/null +++ b/tests/966.out @@ -0,0 +1,32 @@ +REG 00000016FFFFD131 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFBFFFFFFF +REG 0000000000000002 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000002 +REG FFFFFFFFFFFF93FF +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFBFFFFFFF +REG 0000000000000002 +REG 0000000000000003 +REG 00000016FFFFC194 +REG 000000000000D023 +REG 0000000000000002 +REG FFFFFFFFFFFFC910 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000006C00 +REG 0000000000000001 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFA +REG FFFFFFFFBFFFFFFF +REG 0000000000000001 +REG FFFFFFFFFFFFAFF6 +REG FFFFFFFF7CD7D4A6 +REG 0000000000004A42 +REG 0000000000000000 +REG FFFFDF35F500000A +REG 0000000108509090 diff --git a/tests/967.bin b/tests/967.bin new file mode 100644 index 0000000..57eb608 Binary files /dev/null and b/tests/967.bin differ diff --git a/tests/967.out b/tests/967.out new file mode 100644 index 0000000..f729dda --- /dev/null +++ b/tests/967.out @@ -0,0 +1,32 @@ +REG 0000000000000040 +REG 00000000186F3411 +REG 0000000000000000 +REG 0000000000000001 +REG FFFF01003F7FFFF8 +REG FFFF7F6CFFFFFF00 +REG 0000000000000003 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000400 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE0 +REG FFFFFFFFFFFFB0E9 +REG 0000D80000000000 +REG 0000000000000000 +REG 0000000000000003 +REG 000000003F7FFFF8 +REG 000000000000006C +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000039 +REG 0000000000000000 +REG 000000000000006C +REG 0000000000006F04 +REG FFFFFFFFFFFFD97E +REG B000000000000003 +REG 0000000000000003 +REG FFFFFFFFFFFFFFE0 +REG 000000011E850889 diff --git a/tests/968.bin b/tests/968.bin new file mode 100644 index 0000000..c654c36 Binary files /dev/null and b/tests/968.bin differ diff --git a/tests/968.out b/tests/968.out new file mode 100644 index 0000000..c5a3954 --- /dev/null +++ b/tests/968.out @@ -0,0 +1,32 @@ +REG 000000003FFFFFE4 +REG 0000000009550020 +REG 000000000000001A +REG 0000000000000000 +REG 000000000000003B +REG 0000000000000000 +REG FFFFFFFFDAB80020 +REG 000000000000001B +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000DA2B +REG 000000000000674B +REG FFFFFFFFFFFFFFE4 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000040 +REG B7C24000B5FFA5C8 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000001B +REG 000000000000001A +REG 000000002E750000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000040000041 diff --git a/tests/969.bin b/tests/969.bin new file mode 100644 index 0000000..db596df Binary files /dev/null and b/tests/969.bin differ diff --git a/tests/969.out b/tests/969.out new file mode 100644 index 0000000..214a421 --- /dev/null +++ b/tests/969.out @@ -0,0 +1,32 @@ +REG FFFFFFF6E5388428 +REG FFFFFF00FFFFFFFF +REG FFFFFFFFDFFFBD0C +REG 0000000000000006 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 1C22800000000006 +REG 0000000000007E8F +REG 0000000000000000 +REG 0000000000000000 +REG 000000FF00000000 +REG 0000000000000041 +REG 0000000000000000 +REG FFFFFFFFFFFC0000 +REG 0000000000000000 +REG 0000000020448428 +REG 0000000000000000 +REG FFFFFFFFFFFFFFF1 +REG 0000000000000020 +REG 000000FF00005804 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFF7FFEF4300 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000009091E85E diff --git a/tests/97.bin b/tests/97.bin new file mode 100644 index 0000000..41dc86b Binary files /dev/null and b/tests/97.bin differ diff --git a/tests/97.out b/tests/97.out new file mode 100644 index 0000000..e657973 --- /dev/null +++ b/tests/97.out @@ -0,0 +1,32 @@ +REG FFE0000C6F040472 +REG 0000000000020000 +REG FFFFFFFF60D0336C +REG 0000039800020B98 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFD +REG 0000000000000000 +REG 000000009F300000 +REG 0000000000068E80 +REG 000000009F300000 +REG 0000000000000014 +REG 0000000100000400 +REG FFFFFFFFC0000020 +REG 0000000000000017 +REG 0000000000000024 +REG 0808080808814313 +REG 0000000000000001 +REG FFFFFFFFC0000000 +REG FFFFFFFFD8F20000 +REG 000000003FFFFFE0 +REG 00000398000206E5 +REG 0000000040000000 +REG FFFFFFFFFFFFFFFD +REG FFFFFFFFFFFFFFDB +REG 0000000000000000 +REG 000039800020B980 +REG 000000000000001A +REG 0000000000000017 +REG FFFFFFFFFFFFFFFF +REG F7F7F7F7F77F0000 +REG 0000000000000000 +REG 0000000100100880 diff --git a/tests/970.bin b/tests/970.bin new file mode 100644 index 0000000..4d147cc Binary files /dev/null and b/tests/970.bin differ diff --git a/tests/970.out b/tests/970.out new file mode 100644 index 0000000..d4e5416 --- /dev/null +++ b/tests/970.out @@ -0,0 +1,32 @@ +REG F390000004E9B62E +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF7FFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFE7D3 +REG 000000000000008E +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG F00000000011A000 +REG 0000000000000000 +REG 0000000000006F39 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFF8DEA0 +REG 000000000000008F +REG 0000000000000011 +REG 0000000000000002 +REG 0000000000000000 +REG 0000000080000000 +REG 0000000000000020 +REG 0000000004E9CCA0 +REG 0000000000007A8E +REG FFFFFFF7FFFFFFF7 +REG 0000000000000000 +REG 0000000040009108 diff --git a/tests/971.bin b/tests/971.bin new file mode 100644 index 0000000..193687e Binary files /dev/null and b/tests/971.bin differ diff --git a/tests/971.out b/tests/971.out new file mode 100644 index 0000000..fae5f1c --- /dev/null +++ b/tests/971.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFAE6FF +REG 000000000000D288 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFC000 +REG 000000006D2B0008 +REG 0780000007800000 +REG 000000000000FFC7 +REG 0000000000000003 +REG FFFFFFFFFFFF25AA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF92D50038 +REG 000000006D2B0020 +REG 0000000000000000 +REG FFFFFFFF92D4FFC4 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF25AA +REG FFFFFFFFC7E70000 +REG 0000000000000000 +REG 0000000000000020 +REG 000000005ED80000 +REG FFFFFFFFFFFAD900 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000044009104 diff --git a/tests/972.bin b/tests/972.bin new file mode 100644 index 0000000..b1b1985 Binary files /dev/null and b/tests/972.bin differ diff --git a/tests/972.out b/tests/972.out new file mode 100644 index 0000000..ffc8a44 --- /dev/null +++ b/tests/972.out @@ -0,0 +1,32 @@ +REG F7F7F7F7DFD7F941 +REG 0000000000000000 +REG 0808080808080807 +REG FFFFFFFFD7DFF146 +REG FFFFFFFFA2BF0505 +REG FFFFFFFFFFFFF6DF +REG 00097FFFFEAA0004 +REG FFFFFFFFFFFFFFDF +REG 0000000020000000 +REG FFFFFF598E47F25C +REG FFFFFFFFFFFFFFDE +REG 0000000000000021 +REG FFFFFFFFFFFFFAFB +REG 0000000000000000 +REG FFFFFFFFD7DFF146 +REG FFF6800000000000 +REG 0000000000000001 +REG FFFFFFFFD7DFF146 +REG 0000000000000020 +REG FFFFFFFFFFFFFFF6 +REG 0000000000000000 +REG F7F7F7F7F7F7D7DB +REG FFFFFFFFD7DFF146 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFB6 +REG FFFFFFFFFFFF882F +REG 0009800000000000 +REG 000000000000AAAA +REG 0000000000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000101109011 diff --git a/tests/973.bin b/tests/973.bin new file mode 100644 index 0000000..04b2c49 Binary files /dev/null and b/tests/973.bin differ diff --git a/tests/973.out b/tests/973.out new file mode 100644 index 0000000..5ce9416 --- /dev/null +++ b/tests/973.out @@ -0,0 +1,32 @@ +REG 000000002001205B +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000231E +REG 00000000000ED072 +REG 0000000000000000 +REG 0000000000000000 +REG 000ED07200000072 +REG A00000C0A0000000 +REG 000000000000280A +REG 000ED07200000072 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF231E +REG 5FFFFF3F600ED072 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000040 +REG 00000000000007FF +REG A007FF389FE5403F +REG 00000000000ED072 +REG 0000000000000000 +REG 0000000000000003 +REG 000000000000000A +REG 0000000000000000 +REG 5FFFFF3F60000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000001101088 diff --git a/tests/974.bin b/tests/974.bin new file mode 100644 index 0000000..67d4e25 Binary files /dev/null and b/tests/974.bin differ diff --git a/tests/974.out b/tests/974.out new file mode 100644 index 0000000..9ec50cd --- /dev/null +++ b/tests/974.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFF19 +REG 0000000000000000 +REG FFFFFFFFFF39FFC0 +REG FFFFFFFFFFFFFFFF +REG 0000000000000020 +REG 0000000002DF9663 +REG 012348ED74C20000 +REG 07FFFFFFFD1FFFDE +REG 0800000000000000 +REG 0000000000000000 +REG 0000000005000000 +REG 0000000000000000 +REG 0000000006060000 +REG 0000000000000000 +REG FFFFFFFFFFFE77FF +REG 000000000000000E +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000003FFFC00 +REG 0000000006060000 +REG 0000000000000006 +REG 0000000000000000 +REG 000000000F8028A9 +REG 0000000000000000 +REG FFFFFFFFFFFFFF00 +REG 0000001700000017 +REG 0000000002E00021 +REG 0000000000000000 +REG 000000009AAF0000 +REG 0000000000000020 +REG 000000005E00009D diff --git a/tests/975.bin b/tests/975.bin new file mode 100644 index 0000000..0aa2578 Binary files /dev/null and b/tests/975.bin differ diff --git a/tests/975.out b/tests/975.out new file mode 100644 index 0000000..d19f445 --- /dev/null +++ b/tests/975.out @@ -0,0 +1,32 @@ +REG 124000080000B803 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000340000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 00020C6200020C62 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000340000 +REG FFFFFFFFFFFFC9C8 +REG 0000000002480056 +REG FFFFFFFFFFCBFFFD +REG 0000000002480056 +REG EDBFFFF7FFFFE6C4 +REG FFFFFFFFFFFFFFC3 +REG EDBFFFF7FFFFE6C3 +REG 0000000020000000 +REG FFFFFFFFFFFFFFE0 +REG 0000000000004000 +REG EDBFFFF7FFFFE6C3 +REG 0000000000000000 +REG FFFDF39DFFFDF39D +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 4000000000000003 +REG 0000000051101000 diff --git a/tests/976.bin b/tests/976.bin new file mode 100644 index 0000000..b8351c7 Binary files /dev/null and b/tests/976.bin differ diff --git a/tests/976.out b/tests/976.out new file mode 100644 index 0000000..8d1e760 --- /dev/null +++ b/tests/976.out @@ -0,0 +1,32 @@ +REG 0000000000001F11 +REG 0000000400000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000007EEF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 8418000180000001 +REG 0000000000000010 +REG 0000000000000000 +REG 000000000000007E +REG FFFFFFFFFC018111 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000C20C +REG 0000000000000000 +REG 0000000000000000 +REG 000000005D9B0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000040008108 diff --git a/tests/977.bin b/tests/977.bin new file mode 100644 index 0000000..c2fbbc4 Binary files /dev/null and b/tests/977.bin differ diff --git a/tests/977.out b/tests/977.out new file mode 100644 index 0000000..ab9b037 --- /dev/null +++ b/tests/977.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFBC +REG 0000000000000004 +REG FFFFFFFFFFFFFFFF +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFE +REG FFFFFFFFFFFFFFFF +REG 00FFFFFFFFFFFC00 +REG 0000000000000000 +REG FFFFFFFEFFFFFFFE +REG FFFFFFFFFFFDFFFF +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFF3DCCFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000080000 +REG 000FFFFFFFFFFF00 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFEFFFFFFFE +REG 0000000111110888 diff --git a/tests/978.bin b/tests/978.bin new file mode 100644 index 0000000..36c4ad1 Binary files /dev/null and b/tests/978.bin differ diff --git a/tests/978.out b/tests/978.out new file mode 100644 index 0000000..d40f91a --- /dev/null +++ b/tests/978.out @@ -0,0 +1,32 @@ +REG C07FFFFFFFFF8F3D +REG FFFFFFFFFFFFFFDA +REG FFFFFFFFFFFFFF80 +REG 0000000000000000 +REG FFFFFFFFFFC00000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000029 +REG FFFFFFFFFFFFFFC0 +REG 0000000000000038 +REG 0000000096BB003F +REG 0000000000000000 +REG FFFFFFFFFFFFBF32 +REG FFFFFFFFFFFFFFFF +REG 0000000000000047 +REG FFFFFFFFFFFFFFFC +REG 0000000000000000 +REG 0000000000000025 +REG 0000000000400000 +REG 0000000000000000 +REG 0000000000000009 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000407F +REG FFFFFFFFFFFFFFBF +REG 0000000000000009 +REG 0000000000000007 +REG 000000000000003F +REG 000003FFFFFFFFC8 +REG 000000005FFE8100 diff --git a/tests/979.bin b/tests/979.bin new file mode 100644 index 0000000..93b0d16 Binary files /dev/null and b/tests/979.bin differ diff --git a/tests/979.out b/tests/979.out new file mode 100644 index 0000000..cdfa905 --- /dev/null +++ b/tests/979.out @@ -0,0 +1,32 @@ +REG FF1FFF3F06B81340 +REG 0000000040FC0404 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000C0ED00 +REG 0000000000000020 +REG 001FFFC0537B0040 +REG 0000000000000075 +REG FF0000FFFF3FA3DE +REG 0000000000009202 +REG FFFFFFFFFFFFFF13 +REG 00000000AAFC0000 +REG 0000000000000005 +REG FFFEFF00FE625075 +REG 0000001F0000C10C +REG 0000000000000000 +REG FFFF6E9BA496FFFF +REG 0000001FAAFCC10C +REG 0000000000000020 +REG 1B38FD42BD801000 +REG FF0000FFFF3F1300 +REG 0000000000000000 +REG 8000000280000002 +REG 0000000000000020 +REG 0000001F0000001F +REG 0000000000000000 +REG 00000000000037A4 +REG 0000000000000000 +REG 00000000633584D3 +REG FFFFFFFFFFFF6DDD +REG FFFEFF00FE628B21 +REG 0000000081F80808 diff --git a/tests/98.bin b/tests/98.bin new file mode 100644 index 0000000..81c6da2 Binary files /dev/null and b/tests/98.bin differ diff --git a/tests/98.out b/tests/98.out new file mode 100644 index 0000000..7153cd6 --- /dev/null +++ b/tests/98.out @@ -0,0 +1,32 @@ +REG FFFFD300B3FD88AF +REG FFFFA60000003FFF +REG 0000000000004FF0 +REG 9FFFFFFF00000000 +REG 9FFFFFFF00000000 +REG FFFFFFFF807FFFFF +REG FFFFA60000000000 +REG FFFFFFFFFFFFC000 +REG 0000400000004FF0 +REG 0083A00000000000 +REG 0000400000004FF0 +REG 000000000001BFFF +REG 0000000000000000 +REG 0000000000000280 +REG 72730BFFE70F668E +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFA5FFFFFF6D5E +REG 01FFFFFC00000000 +REG 0000000000000000 +REG FFFFFFFFFFFC527C +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFDFFFF +REG 000000000001BFF1 +REG 00000000FFFFC000 +REG FFFFFFFF94250FC8 +REG FFFFFFFF4C0059FF +REG 8D8CF40018F10000 +REG 0000000000006D5E +REG 0000000098080084 diff --git a/tests/980.bin b/tests/980.bin new file mode 100644 index 0000000..10d2476 Binary files /dev/null and b/tests/980.bin differ diff --git a/tests/980.out b/tests/980.out new file mode 100644 index 0000000..e8e9e42 --- /dev/null +++ b/tests/980.out @@ -0,0 +1,32 @@ +REG 00000CD3A6B13EE1 +REG 00000BFFFFFFFFFF +REG 0000000000000199 +REG 0000000000000000 +REG 00000000594DFFEB +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG B281D568B2D9F378 +REG 00000C0000000000 +REG 0000000000000000 +REG 000000001A55FFFF +REG 0000000000000040 +REG 00000000CE5C0040 +REG FFFFF32C594DFFC8 +REG 00000C000000CD40 +REG 0000000000000020 +REG 00000000200F0020 +REG 00000C003A5AFFDF +REG 0000000000004CFB +REG 0000000000000001 +REG 000000001A55FFFF +REG 0000000000000199 +REG 00000000006F9F40 +REG 0000000000000000 +REG 0000000000000002 +REG 00000CD3A6B13EE3 +REG 8080606080000000 +REG 00000000594DFFC8 +REG 00000000CE5C0040 +REG 0000000000000000 +REG 0000000080010800 diff --git a/tests/981.bin b/tests/981.bin new file mode 100644 index 0000000..05a4500 Binary files /dev/null and b/tests/981.bin differ diff --git a/tests/981.out b/tests/981.out new file mode 100644 index 0000000..c76d0f7 --- /dev/null +++ b/tests/981.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFF6908 +REG 00000000001F0000 +REG 00000000000096D8 +REG FFFFFFFFFFE00000 +REG 0000000000000000 +REG 0000000000001F04 +REG FFFFFFFFFFFFEC02 +REG FFFFFFFFFFFF0000 +REG FFFFFFFFFFFF6928 +REG FFFFFFFFFFFFEC02 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000001474 +REG 0000000000006685 +REG 0000000000000000 +REG 0000000000000000 +REG 0000002000000020 +REG 000000000001EE71 +REG 0000000000001474 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000003FF1FF4000 +REG 0000000000000040 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFF8FFA +REG FFFFFFFFFFFF5B2E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000025380 +REG 0000000040000400 diff --git a/tests/982.bin b/tests/982.bin new file mode 100644 index 0000000..9e6c5d4 Binary files /dev/null and b/tests/982.bin differ diff --git a/tests/982.out b/tests/982.out new file mode 100644 index 0000000..82fa9d6 --- /dev/null +++ b/tests/982.out @@ -0,0 +1,32 @@ +REG B0078000FFD80138 +REG FFFFFFFFFFFFFFE7 +REG FFFFFFFFFFFFD161 +REG 0000000000000000 +REG FFFFFFFFFFFFE8B0 +REG 00000000000046B8 +REG 0000027FFC600000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFF80000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFD80039FFFFF +REG FFFFFFFFFFFFFFE7 +REG 0000000000000000 +REG 00000000FB3A0000 +REG 0000000075880000 +REG 000000000000001F +REG 00000000FB3A0000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000020000000 +REG 0000000000000000 +REG 0000000000080000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 000000000000C484 +REG 0000000000000000 +REG 0000000000000000 +REG 000000004101E002 diff --git a/tests/983.bin b/tests/983.bin new file mode 100644 index 0000000..fe92063 Binary files /dev/null and b/tests/983.bin differ diff --git a/tests/983.out b/tests/983.out new file mode 100644 index 0000000..124765d --- /dev/null +++ b/tests/983.out @@ -0,0 +1,32 @@ +REG 20003C3F20003C3F +REG 0407080805070302 +REG 0000000000000000 +REG FFFFEE47FFFFEE47 +REG 0000000001000000 +REG FFFFFFFFFFFFFFFF +REG FFFFEE47FFFFBC0D +REG FFFFFFFFFFFFFFE0 +REG 0000000000000001 +REG 0000000000000000 +REG 00001375DC7814C6 +REG FFFFFFFFFFFFCA7A +REG 08A065F16CD7BB18 +REG 0000000000008124 +REG 0008000000000000 +REG 0000000023205ACC +REG EC7F9A0E5E88456B +REG 000000000000001C +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000068 +REG FFFF65F18257E018 +REG 0000000000000000 +REG FFFFE53D7F800000 +REG 0000000000000068 +REG 000011B8000043F3 +REG 00001375DC780000 +REG EC7F7F4BDE08456B +REG 0000000000000000 +REG 0000000000000004 +REG 08A065F18FF7E05F +REG 0000000081EA9209 diff --git a/tests/984.bin b/tests/984.bin new file mode 100644 index 0000000..5923018 Binary files /dev/null and b/tests/984.bin differ diff --git a/tests/984.out b/tests/984.out new file mode 100644 index 0000000..96c7ab2 --- /dev/null +++ b/tests/984.out @@ -0,0 +1,32 @@ +REG 3433CD6FC17AD9B4 +REG 80000000DEC00000 +REG 000000000000001E +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFFFFFFFFF +REG 000000003FBFFDFC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000002 +REG 0000000000000000 +REG 00000000000048F9 +REG 0000000000000000 +REG 0000000000000000 +REG 8000000080000021 +REG 0000000000000000 +REG E4249800E4249800 +REG 000000000000001E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG FFFFFFFFFFFFFF00 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFE +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG 31B603C9C0400002 +REG 8000000080000000 +REG 0000000000000000 +REG 0000000111001110 diff --git a/tests/985.bin b/tests/985.bin new file mode 100644 index 0000000..a7a6769 Binary files /dev/null and b/tests/985.bin differ diff --git a/tests/985.out b/tests/985.out new file mode 100644 index 0000000..29abccc --- /dev/null +++ b/tests/985.out @@ -0,0 +1,32 @@ +REG 0000000052920464 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF9811 +REG 0000000000000000 +REG 0000000052920006 +REG 00000000EF3C0000 +REG 0000000000000000 +REG 0000000000000000 +REG 8000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 8000000000000000 +REG FFFFFFFFFFFFFFFF +REG 8000000000000000 +REG 0000000000000000 +REG 0808080808080808 +REG 0000000086240000 +REG 7FFFFFFFFFFFFFE0 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000003273 +REG FFFFFFFFFFFFFFFF +REG 8000000000000000 +REG 03FFFFFFFFFFFFFF +REG 0000000090090911 diff --git a/tests/986.bin b/tests/986.bin new file mode 100644 index 0000000..6ce5e27 Binary files /dev/null and b/tests/986.bin differ diff --git a/tests/986.out b/tests/986.out new file mode 100644 index 0000000..d5718ac --- /dev/null +++ b/tests/986.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFC00000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000073A +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG E9C904B9E9C904B9 +REG FAFBFEFAFAFBFEFA +REG 0000000000000040 +REG 0000000000000000 +REG 0504010505040105 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFF0000 +REG 0000000020050804 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000041101008 diff --git a/tests/987.bin b/tests/987.bin new file mode 100644 index 0000000..d60c234 Binary files /dev/null and b/tests/987.bin differ diff --git a/tests/987.out b/tests/987.out new file mode 100644 index 0000000..b0bb4f7 --- /dev/null +++ b/tests/987.out @@ -0,0 +1,32 @@ +REG 001C03FF4ACC0910 +REG 0000000000000000 +REG 000000000000133B +REG FFFFFFFFFFFFFFFF +REG 0000000000000021 +REG 001C03FF4ACC090D +REG 0000007FE9598121 +REG 0000000000000001 +REG 001C03FF4ACBE86C +REG 0000000022E3FFFD +REG 0000000000000000 +REG 001C03FF4ACC0911 +REG 000E01FFA565F436 +REG 000000000000000B +REG 0000000000000040 +REG 22E321BD22E3FFFD +REG 0000000000000911 +REG FFFFFFFFFFFFEC11 +REG FFFFFFFFFFFD789F +REG 0000000000000911 +REG 0000000000000020 +REG 000000001CE50000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFFF +REG 0000000000000004 +REG 000000000000000B +REG 0000000000000000 +REG 0000000000000000 +REG 0000000088A88490 diff --git a/tests/988.bin b/tests/988.bin new file mode 100644 index 0000000..dc805d9 Binary files /dev/null and b/tests/988.bin differ diff --git a/tests/988.out b/tests/988.out new file mode 100644 index 0000000..69803e7 --- /dev/null +++ b/tests/988.out @@ -0,0 +1,32 @@ +REG E1EBF5E14000001B +REG 0000000000000000 +REG 0000000040000000 +REG 0000000000000000 +REG 0000007C2617DB70 +REG 00000000000000FC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000FF0000 +REG 00001FFFFFFFFFFF +REG 0000000000000000 +REG 0000000040000000 +REG 00000000EEDA0000 +REG FFFFFFFEEEF7EFF4 +REG 0000000000000000 +REG 00FEFF9900FEFF99 +REG 001FFFFBFFFF98F8 +REG 000000000001FFF0 +REG 0000000000000020 +REG 0000000000FF0000 +REG FFFFFFFFFFFF9224 +REG FFFFFFFF777BF7FA +REG FFFFFFFFFFFF83E9 +REG FFFFFFFEEEF7EFD4 +REG 0000000000000037 +REG 0000000040000000 +REG 0000000000000021 +REG 0000000287AFD785 +REG 0000000000000000 +REG 001FFFFBFFFF98D8 +REG 07FFFFFBBBDFBFD0 +REG 0000000100901008 diff --git a/tests/989.bin b/tests/989.bin new file mode 100644 index 0000000..179de78 Binary files /dev/null and b/tests/989.bin differ diff --git a/tests/989.out b/tests/989.out new file mode 100644 index 0000000..b7338bf --- /dev/null +++ b/tests/989.out @@ -0,0 +1,32 @@ +REG DB921FFF5B984078 +REG 0000000000000010 +REG 00000000015C0000 +REG 0000000500000009 +REG 003C40000000554C +REG 0000000000003BB8 +REG 0000000000000020 +REG FFFFFFFFFFFFFFFE +REG 0000000000000001 +REG 0000000000000020 +REG 0000400000000080 +REG 02BDE00002B80000 +REG 0000000000000000 +REG 0000000100000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG 02BDE00002B83BB8 +REG 0000FFFF00000000 +REG 0000000000001419 +REG 000000002888484F +REG 0000000000000809 +REG 000000000000ACAB +REG 000000000000000E +REG 0000000000003F87 +REG 003C400000004000 +REG FFC3BFFFFFFFBFFF +REG 0000000000000000 +REG 0000000000000000 +REG F000000000001FFF +REG A6D00000A6DFC000 +REG 000000009110909E diff --git a/tests/99.bin b/tests/99.bin new file mode 100644 index 0000000..ca7e8c6 Binary files /dev/null and b/tests/99.bin differ diff --git a/tests/99.out b/tests/99.out new file mode 100644 index 0000000..0130ac0 --- /dev/null +++ b/tests/99.out @@ -0,0 +1,32 @@ +REG 0D8DD923B3C970A2 +REG FFFFFFFFFFFFFF83 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 26F41899FB6A7F18 +REG FFFFFFFFFFFFF10F +REG 0000000058B3070E +REG 8000000000000000 +REG FFFFF00000000000 +REG 590BE70C3199BE72 +REG FFFFFFFFA74CFE30 +REG 590BE17B7328FB58 +REG 590BE70C8A4CC040 +REG 000000000F000000 +REG FFFFC00000000000 +REG 590BE70C25EEBE72 +REG 000000000065E136 +REG 0000000000000000 +REG 000000003199BE72 +REG FFFFFFFFFEA40000 +REG B3162CC0745985F3 +REG FFFFFFFFFFFFFFFF +REG 590BE70C3199BE72 +REG FFFFFFFFFFFFFFFF +REG D90BE7662C599784 +REG 0000000058B3070E +REG FFFFFFFFFFFFFFFD +REG 0000000000000000 +REG 590BE70C3199BE72 +REG 0000000000009C6C +REG 0000000100882A89 diff --git a/tests/990.bin b/tests/990.bin new file mode 100644 index 0000000..62c7a4f Binary files /dev/null and b/tests/990.bin differ diff --git a/tests/990.out b/tests/990.out new file mode 100644 index 0000000..cbbf36e --- /dev/null +++ b/tests/990.out @@ -0,0 +1,32 @@ +REG 00000000C4844A49 +REG 0000020608000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFBB359 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000020 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFBBFB7F49 +REG FFFFFFFFFFFFFFE0 +REG 0000000000000020 +REG 0000000000000020 +REG 0000000000000000 +REG 0000000000010304 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFC0 +REG 00000000440480B7 +REG 0000000000000000 +REG 0000000000000000 +REG 00000000440480F8 +REG 0000000000000000 +REG 00000000900901F1 diff --git a/tests/991.bin b/tests/991.bin new file mode 100644 index 0000000..12597d1 Binary files /dev/null and b/tests/991.bin differ diff --git a/tests/991.out b/tests/991.out new file mode 100644 index 0000000..6f109c1 --- /dev/null +++ b/tests/991.out @@ -0,0 +1,32 @@ +REG 000000000000007F +REG 00000000000E0008 +REG 00000000000E0008 +REG 0000000000000000 +REG A6F2000B1684563E +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG E00FFFFFE0001FFF +REG 000000000000BB20 +REG FFFFFFE1FFFF07E1 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFE1 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000001 +REG 590DFFF4E97BA9C2 +REG FFFFFFFFFFFFFFBF +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFBF +REG A6F200007FFFFFFF +REG FFFFFFFFC01FFFFF +REG 00000000409F0930 diff --git a/tests/992.bin b/tests/992.bin new file mode 100644 index 0000000..de00868 Binary files /dev/null and b/tests/992.bin differ diff --git a/tests/992.out b/tests/992.out new file mode 100644 index 0000000..2fb96cd --- /dev/null +++ b/tests/992.out @@ -0,0 +1,32 @@ +REG 000000008084F88A +REG 0000000000000EFF +REG FFFFFFFF7F7B0775 +REG 0000000000000000 +REG FFFBFFFFFFFBFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000FFFBFFFF +REG BFF80003B8000003 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000005 +REG 000000004880F803 +REG FFFFFFFFFFF7AD4A +REG 0000000000000034 +REG 000021FC000021FC +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000400000 +REG 0000000000000000 +REG 0000000000000000 +REG EFF0000000000000 +REG 0000000400000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000111000046 diff --git a/tests/993.bin b/tests/993.bin new file mode 100644 index 0000000..d089e6f Binary files /dev/null and b/tests/993.bin differ diff --git a/tests/993.out b/tests/993.out new file mode 100644 index 0000000..ce0620a --- /dev/null +++ b/tests/993.out @@ -0,0 +1,32 @@ +REG FFE000006F78082E +REG FFE0000000000002 +REG 0000000000000001 +REG 0000000000004164 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFBF0740DF +REG 0000000000000040 +REG 000000006F78082C +REG FFFFFFFFFFFFFFFE +REG 0000000000000018 +REG 0000000000000001 +REG 0000000000000000 +REG 0000000900000000 +REG 0000000000000000 +REG 00000000A8A8581D +REG 0000100000001000 +REG 0000000000000000 +REG 0000000000004165 +REG FFFFFFFFFFFFB040 +REG FFFF7FFF7F0701BB +REG FFFFFFFFBF0701A3 +REG 0000000040F8FE44 +REG 6F78002B6F78082C +REG 0000000000000000 +REG 000000005404B001 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000020 +REG 0000000041E80008 diff --git a/tests/994.bin b/tests/994.bin new file mode 100644 index 0000000..f519d48 Binary files /dev/null and b/tests/994.bin differ diff --git a/tests/994.out b/tests/994.out new file mode 100644 index 0000000..21232ec --- /dev/null +++ b/tests/994.out @@ -0,0 +1,32 @@ +REG FFEFFFFFFFF00061 +REG 0000168000001680 +REG 0000000000000008 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0800000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0010000000100001 +REG FFFFE97FFFFFE97F +REG BBFFBC8000000001 +REG FFFFFFFFFFFFFFFF +REG 0000000000003D72 +REG FFFFFFFFFEFDFFFD +REG 0000000000000000 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000008 +REG 0000000000000008 +REG 0000000040880086 +REG 0000B10C0000FF00 +REG 0000000000000000 +REG 0010000000100000 +REG 0000000000000000 +REG FFFFFFFFBF77FF79 +REG 0000000001020003 +REG 0000000000000001 +REG FFFFFFFFBF77FF79 +REG 0000000000000020 +REG FFFFFFFFFFFFFF79 +REG 000000011F01E900 diff --git a/tests/995.bin b/tests/995.bin new file mode 100644 index 0000000..c8cfe1b Binary files /dev/null and b/tests/995.bin differ diff --git a/tests/995.out b/tests/995.out new file mode 100644 index 0000000..ea87231 --- /dev/null +++ b/tests/995.out @@ -0,0 +1,32 @@ +REG 00001F758000003C +REG 0000000000000000 +REG 0000000000006F88 +REG 0000000000000001 +REG 0000000000000001 +REG FFFFFFFE4D7EFFFF +REG 000000000000003D +REG 000000000000003C +REG 0000000000000000 +REG 0000000000000004 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000100000001 +REG 000000000000000F +REG 0000000000000000 +REG 000000000000003C +REG FFFFE08AC94601FF +REG 0000000000000004 +REG 000000010ADF0000 +REG 0000000000000005 +REG 0000000000000020 +REG 0000000000000000 +REG 000000000000001D +REG 0000000000000000 +REG 0000000040000000 +REG 000000000000000F +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000004 +REG FFFFFFFFFFFFB57A +REG 0000000000000001 +REG 000000008880109E diff --git a/tests/996.bin b/tests/996.bin new file mode 100644 index 0000000..22a8179 Binary files /dev/null and b/tests/996.bin differ diff --git a/tests/996.out b/tests/996.out new file mode 100644 index 0000000..5e77d36 --- /dev/null +++ b/tests/996.out @@ -0,0 +1,32 @@ +REG 2003C3C000000022 +REG 0000000044820400 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000017 +REG 0000000000000021 +REG 0000000000000022 +REG 0000000000000100 +REG 0000000000000021 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000020 +REG 0000000040000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000100 +REG FFFFFFFFFFFFFEFF +REG 0000000000000000 +REG 0000000000000000 +REG 00000000000043C8 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG FFFFFFFFFFFFFFDF +REG FC00000002003C30 +REG 00000000000064D3 +REG 0000000000000000 +REG FFFFFFFFFFC0000F +REG 0000000089040801 diff --git a/tests/997.bin b/tests/997.bin new file mode 100644 index 0000000..c8e1f88 Binary files /dev/null and b/tests/997.bin differ diff --git a/tests/997.out b/tests/997.out new file mode 100644 index 0000000..0dfc345 --- /dev/null +++ b/tests/997.out @@ -0,0 +1,32 @@ +REG FFFFFFFFFFFFFFFE +REG 0000000B5D000000 +REG 0000000B5CFFFFFF +REG 0000000000000040 +REG 0000000000000000 +REG 000000005D000001 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000007015 +REG FFFFFFFF00000000 +REG FFFFFFFFFFFFE318 +REG FFFFFFFFB1F00000 +REG 0000000000007015 +REG FFFFFFFFA2FFFFFF +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 00000000FFFFFFFF +REG 0000000000000000 +REG 0000000000006DD4 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000081FE885E diff --git a/tests/998.bin b/tests/998.bin new file mode 100644 index 0000000..ae3067d Binary files /dev/null and b/tests/998.bin differ diff --git a/tests/998.out b/tests/998.out new file mode 100644 index 0000000..d9907b7 --- /dev/null +++ b/tests/998.out @@ -0,0 +1,32 @@ +REG FFFFFFFFBE53FFFF +REG FFFFFFFFA7DBF847 +REG 0000000000000000 +REG 0000000000000001 +REG 0000000000000000 +REG FFFFFFFFFFFFFFFF +REG FFFFFFFFFFFFFFBF +REG 0000000000001B3B +REG 0000000040000000 +REG FFFFFFFF00FF0000 +REG 2011280000000000 +REG 000000000000082A +REG 0000000000000040 +REG FFFFFFFFFFFFF6A6 +REG 0000000040000000 +REG 0000000000000000 +REG 0000000000000005 +REG FFFFFFFFFEFFFFFF +REG 0000000000000000 +REG 0000000000900000 +REG 0000000000000000 +REG FFFFFFFABD600000 +REG 0000000000000040 +REG 0000000000000040 +REG 000000000000082A +REG 0000000001000000 +REG 0000000000000000 +REG 0000000000000001 +REG FFFFFFFF00FF3079 +REG 0000000041414841 +REG 0000000000003079 +REG 0000000050108894 diff --git a/tests/999.bin b/tests/999.bin new file mode 100644 index 0000000..56c8aca Binary files /dev/null and b/tests/999.bin differ diff --git a/tests/999.out b/tests/999.out new file mode 100644 index 0000000..6a93cbd --- /dev/null +++ b/tests/999.out @@ -0,0 +1,32 @@ +REG 0000000044170000 +REG 0000000004000000 +REG 0000000000000000 +REG 00800000000056CA +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG FF80000072840CF4 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 0000000000000040 +REG 0000000000000000 +REG 00800000000056C9 +REG FFFFFFFFFFFFFFFF +REG 0000000000000000 +REG 008000000000B3ED +REG FFFFFFFFFFFFFFFF +REG 0000000000000001 +REG 0000000000000001 +REG FFFFFFF8D7BFFFF0 +REG 0000000000000000 +REG 6500002B60000003 +REG 8000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 0000000000000000 +REG 000000000000003F +REG 00800000000056CA +REG FF7FFFFFFFFFA935 +REG 0000000000000000 +REG 0000000080804529 diff --git a/tests/micropython.bin b/tests/micropython.bin new file mode 100755 index 0000000..b949f0c Binary files /dev/null and b/tests/micropython.bin differ diff --git a/wishbone_arbiter.vhdl b/wishbone_arbiter.vhdl new file mode 100644 index 0000000..c7d249a --- /dev/null +++ b/wishbone_arbiter.vhdl @@ -0,0 +1,57 @@ +library ieee; +use ieee.std_logic_1164.all; + +library work; +use work.wishbone_types.all; + +entity wishbone_arbiter is + port ( + clk : in std_ulogic; + rst : in std_ulogic; + + wb1_in : in wishbone_master_out; + wb1_out : out wishbone_slave_out; + + wb2_in : in wishbone_master_out; + wb2_out : out wishbone_slave_out; + + wb_out : out wishbone_master_out; + wb_in : in wishbone_slave_out + ); +end wishbone_arbiter; + +architecture behave of wishbone_arbiter is + type wishbone_arbiter_state_t is (IDLE, WB1_BUSY, WB2_BUSY); + signal state : wishbone_arbiter_state_t := IDLE; +begin + wb1_out <= wb_in when state = WB1_BUSY else wishbone_slave_out_init; + wb2_out <= wb_in when state = WB2_BUSY else wishbone_slave_out_init; + + wb_out <= wb1_in when state = WB1_BUSY else wb2_in when state = WB2_BUSY else wishbone_master_out_init; + + wishbone_arbiter_process: process(clk) + begin + if rising_edge(clk) then + if rst = '1' then + state <= IDLE; + else + case state is + when IDLE => + if wb1_in.cyc = '1' then + state <= WB1_BUSY; + elsif wb2_in.cyc = '1' then + state <= WB2_BUSY; + end if; + when WB1_BUSY => + if wb1_in.cyc = '0' then + state <= IDLE; + end if; + when WB2_BUSY => + if wb2_in.cyc = '0' then + state <= IDLE; + end if; + end case; + end if; + end if; + end process; +end behave; diff --git a/wishbone_types.vhdl b/wishbone_types.vhdl new file mode 100644 index 0000000..24c46d7 --- /dev/null +++ b/wishbone_types.vhdl @@ -0,0 +1,27 @@ +library ieee; +use ieee.std_logic_1164.all; + +package wishbone_types is + constant wishbone_addr_bits : integer := 64; + constant wishbone_data_bits : integer := 64; + + subtype wishbone_addr_type is std_ulogic_vector(wishbone_addr_bits-1 downto 0); + subtype wishbone_data_type is std_ulogic_vector(wishbone_data_bits-1 downto 0); + + type wishbone_master_out is record + adr : wishbone_addr_type; + dat : wishbone_data_type; + cyc : std_ulogic; + stb : std_ulogic; + sel : std_ulogic_vector(7 downto 0); + we : std_ulogic; + end record wishbone_master_out; + constant wishbone_master_out_init : wishbone_master_out := (cyc => '0', stb => '0', we => '0', others => (others => '0')); + + type wishbone_slave_out is record + dat : wishbone_data_type; + ack : std_ulogic; + end record wishbone_slave_out; + constant wishbone_slave_out_init : wishbone_slave_out := (ack => '0', others => (others => '0')); + +end package wishbone_types; diff --git a/writeback.vhdl b/writeback.vhdl new file mode 100644 index 0000000..455ef9a --- /dev/null +++ b/writeback.vhdl @@ -0,0 +1,91 @@ +library ieee; +use ieee.std_logic_1164.all; + +library work; +use work.common.all; + +entity writeback is + port ( + clk : in std_ulogic; + + w_in : in Execute2ToWritebackType; + l_in : in Loadstore2ToWritebackType; + m_in : in MultiplyToWritebackType; + + w_out : out WritebackToRegisterFileType; + c_out : out WritebackToCrFileType; + + complete_out : out std_ulogic + ); +end entity writeback; + +architecture behaviour of writeback is + signal w : Execute2ToWritebackType; + signal l : Loadstore2ToWritebackType; + signal m : MultiplyToWritebackType; + signal w_tmp : WritebackToRegisterFileType; + signal c_tmp : WritebackToCrFileType; +begin + writeback_0: process(clk) + begin + if rising_edge(clk) then + w <= w_in; + l <= l_in; + m <= m_in; + end if; + end process; + + w_out <= w_tmp; + c_out <= c_tmp; + + complete_out <= '1' when w.valid or l.valid or m.valid else '0'; + + writeback_1: process(all) + begin + --assert (unsigned(w.valid) + unsigned(l.valid) + unsigned(m.valid)) <= 1; + --assert not(w.write_enable = '1' and l.write_enable = '1'); + + w_tmp <= WritebackToRegisterFileInit; + c_tmp <= WritebackToCrFileInit; + + if w.valid = '1' then + if w.write_enable = '1' then + w_tmp.write_reg <= w.write_reg; + w_tmp.write_data <= w.write_data; + w_tmp.write_enable <= '1'; + end if; + + if w.write_cr_enable = '1' then + report "Writing CR "; + c_tmp.write_cr_enable <= '1'; + c_tmp.write_cr_mask <= w.write_cr_mask; + c_tmp.write_cr_data <= w.write_cr_data; + end if; + end if; + + if l.valid = '1' and l.write_enable = '1' then + w_tmp.write_reg <= l.write_reg; + w_tmp.write_data <= l.write_data; + w_tmp.write_enable <= '1'; + end if; + if l.valid = '1' and l.write_enable2 = '1' then + w_tmp.write_reg2 <= l.write_reg2; + w_tmp.write_data2 <= l.write_data2; + w_tmp.write_enable2 <= '1'; + end if; + + if m.valid = '1' then + if m.write_reg_enable = '1' then + w_tmp.write_enable <= '1'; + w_tmp.write_reg <= m.write_reg_nr; + w_tmp.write_data <= m.write_reg_data; + end if; + if m.write_cr_enable = '1' then + report "Writing CR "; + c_tmp.write_cr_enable <= '1'; + c_tmp.write_cr_mask <= m.write_cr_mask; + c_tmp.write_cr_data <= m.write_cr_data; + end if; + end if; + end process; +end;