From 538a8629f4dcdc8faa1d0394a56791254b3310ad Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?Dan=20Hor=C3=A1k?= Date: Fri, 28 Aug 2020 16:20:53 +0200 Subject: [PATCH] syscon: switch to only 7 digits / 28 bits of the hash stored in the register MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit Signed-off-by: Dan HorĂ¡k --- git.vhdl | 2 +- syscon.vhdl | 4 ++-- 2 files changed, 3 insertions(+), 3 deletions(-) diff --git a/git.vhdl b/git.vhdl index f63f0a7..7b2d520 100644 --- a/git.vhdl +++ b/git.vhdl @@ -4,6 +4,6 @@ use ieee.std_logic_1164.all; library work; package git is - constant GIT_HASH : std_ulogic_vector(55 downto 0) := x"1234567890abcd"; + constant GIT_HASH : std_ulogic_vector(27 downto 0) := x"1234567"; constant GIT_DIRTY : std_ulogic := '0'; end git; diff --git a/syscon.vhdl b/syscon.vhdl index fa856a4..4dcda7e 100644 --- a/syscon.vhdl +++ b/syscon.vhdl @@ -93,7 +93,7 @@ architecture behaviour of syscon is -- GIT info register bits -- - -- 0 ..55 : git hash (56 bits) + -- 0 ..27 : git hash (7 digits = 28 bits) -- 63 : dirty flag -- @@ -175,7 +175,7 @@ begin -- GIT info register composition reg_gitinfo <= (63 => GIT_DIRTY, - 55 downto 0 => GIT_HASH, + 27 downto 0 => GIT_HASH, others => '0'); -- Wishbone response