From 4db1676ef8b37fe7f36abe14b3255e4b92fbc5bd Mon Sep 17 00:00:00 2001 From: Paul Mackerras Date: Mon, 4 May 2020 08:31:18 +1000 Subject: [PATCH] dcache: Don't assert on dcbz cache hit We can hit the assert for req_op = OP_STORE_HIT and reloading in the case of dcbz, since it looks like a store. Therefore we need to exclude that case from the assert. Signed-off-by: Paul Mackerras --- dcache.vhdl | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/dcache.vhdl b/dcache.vhdl index 550298b..7d61a85 100644 --- a/dcache.vhdl +++ b/dcache.vhdl @@ -597,7 +597,8 @@ begin if reloading and wishbone_in.ack = '1' and r1.store_way = i then do_write <= '1'; end if; - if req_op = OP_STORE_HIT and req_hit_way = i and cancel_store = '0' then + if req_op = OP_STORE_HIT and req_hit_way = i and cancel_store = '0' and + r1.req.dcbz = '0' then assert not reloading report "Store hit while in state:" & state_t'image(r1.state) severity FAILURE;