From 3ad3e2abfd4b344af040657cfe511b050761b786 Mon Sep 17 00:00:00 2001 From: Anton Blanchard Date: Sat, 11 Jan 2020 14:43:50 +1100 Subject: [PATCH] Removed unused core_terminated signal Right now it's unused. We can add it back when we add an LED to signify the core has terminated. Signed-off-by: Anton Blanchard --- soc.vhdl | 5 +---- 1 file changed, 1 insertion(+), 4 deletions(-) diff --git a/soc.vhdl b/soc.vhdl index fb8a36d..9b45b5d 100644 --- a/soc.vhdl +++ b/soc.vhdl @@ -26,10 +26,7 @@ entity soc is -- UART0 signals: uart0_txd : out std_ulogic; - uart0_rxd : in std_ulogic; - - -- Misc (to use for things like LEDs) - core_terminated : out std_ulogic + uart0_rxd : in std_ulogic ); end entity soc;