|
|
|
library vunit_lib;
|
|
|
|
context vunit_lib.vunit_context;
|
|
|
|
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
library ieee;
|
|
|
|
use ieee.std_logic_1164.all;
|
|
|
|
use ieee.numeric_std.all;
|
|
|
|
|
|
|
|
library work;
|
|
|
|
use work.common.all;
|
|
|
|
use work.ppc_fx_insns.all;
|
|
|
|
use work.insn_helpers.all;
|
|
|
|
|
|
|
|
library osvvm;
|
|
|
|
use osvvm.RandomPkg.all;
|
|
|
|
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
entity rotator_tb is
|
|
|
|
generic (runner_cfg : string := runner_cfg_default);
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
end rotator_tb;
|
|
|
|
|
|
|
|
architecture behave of rotator_tb is
|
|
|
|
constant clk_period: time := 10 ns;
|
|
|
|
signal ra, rs: std_ulogic_vector(63 downto 0);
|
|
|
|
signal shift: std_ulogic_vector(6 downto 0) := (others => '0');
|
|
|
|
signal insn: std_ulogic_vector(31 downto 0) := (others => '0');
|
|
|
|
signal is_32bit, right_shift, arith, clear_left, clear_right: std_ulogic := '0';
|
|
|
|
signal res: std_ulogic_vector(63 downto 0);
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
signal carry_out: std_ulogic;
|
|
|
|
signal extsw: std_ulogic;
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
|
|
|
|
begin
|
|
|
|
rotator_0: entity work.rotator
|
|
|
|
port map (
|
|
|
|
rs => rs,
|
|
|
|
ra => ra,
|
|
|
|
shift => shift,
|
|
|
|
insn => insn,
|
|
|
|
is_32bit => is_32bit,
|
|
|
|
right_shift => right_shift,
|
|
|
|
arith => arith,
|
|
|
|
clear_left => clear_left,
|
|
|
|
clear_right => clear_right,
|
|
|
|
sign_ext_rs => extsw,
|
|
|
|
result => res,
|
|
|
|
carry_out => carry_out
|
|
|
|
);
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
|
|
|
|
stim_process: process
|
|
|
|
variable behave_ra: std_ulogic_vector(63 downto 0);
|
|
|
|
variable behave_ca_ra: std_ulogic_vector(64 downto 0);
|
|
|
|
variable rnd : RandomPType;
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
begin
|
|
|
|
rnd.InitSeed(stim_process'path_name);
|
|
|
|
|
|
|
|
-- TODO: Consider making debug messages visible with a command line option
|
|
|
|
-- rather than uncommenting this line:
|
|
|
|
-- show(display_handler, debug);
|
|
|
|
|
|
|
|
test_runner_setup(runner, runner_cfg);
|
|
|
|
|
|
|
|
while test_suite loop
|
|
|
|
if run("Test rlw[i]nm") then
|
|
|
|
ra <= (others => '0');
|
|
|
|
is_32bit <= '1';
|
|
|
|
right_shift <= '0';
|
|
|
|
arith <= '0';
|
|
|
|
clear_left <= '1';
|
|
|
|
clear_right <= '1';
|
|
|
|
extsw <= '0';
|
|
|
|
rlwnm_loop : for i in 0 to 1000 loop
|
|
|
|
rs <= rnd.RandSlv(64);
|
|
|
|
shift <= rnd.RandSlv(7);
|
|
|
|
insn <= x"00000" & '0' & rnd.RandSlv(10) & '0';
|
|
|
|
wait for clk_period;
|
|
|
|
behave_ra := ppc_rlwinm(rs, shift(4 downto 0), insn_mb32(insn), insn_me32(insn));
|
|
|
|
check_equal(res, behave_ra, result("for rlwnm"));
|
|
|
|
end loop;
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
|
|
|
|
elsif run("Test rlwimi") then
|
|
|
|
is_32bit <= '1';
|
|
|
|
right_shift <= '0';
|
|
|
|
arith <= '0';
|
|
|
|
clear_left <= '1';
|
|
|
|
clear_right <= '1';
|
|
|
|
rlwimi_loop : for i in 0 to 1000 loop
|
|
|
|
rs <= rnd.RandSlv(64);
|
|
|
|
ra <= rnd.RandSlv(64);
|
|
|
|
shift <= "00" & rnd.RandSlv(5);
|
|
|
|
insn <= x"00000" & '0' & rnd.RandSlv(10) & '0';
|
|
|
|
wait for clk_period;
|
|
|
|
behave_ra := ppc_rlwimi(ra, rs, shift(4 downto 0), insn_mb32(insn), insn_me32(insn));
|
|
|
|
check_equal(res, behave_ra, result("for rlwnimi"));
|
|
|
|
end loop;
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
|
|
|
|
elsif run("Test rld[i]cl") then
|
|
|
|
ra <= (others => '0');
|
|
|
|
is_32bit <= '0';
|
|
|
|
right_shift <= '0';
|
|
|
|
arith <= '0';
|
|
|
|
clear_left <= '1';
|
|
|
|
clear_right <= '0';
|
|
|
|
rldicl_loop : for i in 0 to 1000 loop
|
|
|
|
rs <= rnd.RandSlv(64);
|
|
|
|
shift <= rnd.RandSlv(7);
|
|
|
|
insn <= x"00000" & '0' & rnd.RandSlv(10) & '0';
|
|
|
|
wait for clk_period;
|
|
|
|
behave_ra := ppc_rldicl(rs, shift(5 downto 0), insn_mb(insn));
|
|
|
|
check_equal(res, behave_ra, result("for rldicl"));
|
|
|
|
end loop;
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
|
|
|
|
elsif run("Test rld[i]cr") then
|
|
|
|
ra <= (others => '0');
|
|
|
|
is_32bit <= '0';
|
|
|
|
right_shift <= '0';
|
|
|
|
arith <= '0';
|
|
|
|
clear_left <= '0';
|
|
|
|
clear_right <= '1';
|
|
|
|
rldicr_loop : for i in 0 to 1000 loop
|
|
|
|
rs <= rnd.RandSlv(64);
|
|
|
|
shift <= rnd.RandSlv(7);
|
|
|
|
insn <= x"00000" & '0' & rnd.RandSlv(10) & '0';
|
|
|
|
wait for clk_period;
|
|
|
|
behave_ra := ppc_rldicr(rs, shift(5 downto 0), insn_me(insn));
|
|
|
|
debug("rs = " & to_hstring(rs));
|
|
|
|
debug("ra = " & to_hstring(ra));
|
|
|
|
debug("shift = " & to_hstring(shift));
|
|
|
|
debug("insn me = " & to_hstring(insn_me(insn)));
|
|
|
|
debug("result = " & to_hstring(res));
|
|
|
|
check_equal(res, behave_ra, result("for rldicr"));
|
|
|
|
end loop;
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
|
|
|
|
elsif run("Test rldic") then
|
|
|
|
ra <= (others => '0');
|
|
|
|
is_32bit <= '0';
|
|
|
|
right_shift <= '0';
|
|
|
|
arith <= '0';
|
|
|
|
clear_left <= '1';
|
|
|
|
clear_right <= '1';
|
|
|
|
rldic_loop : for i in 0 to 1000 loop
|
|
|
|
rs <= rnd.RandSlv(64);
|
|
|
|
shift <= '0' & rnd.RandSlv(6);
|
|
|
|
insn <= x"00000" & '0' & rnd.RandSlv(10) & '0';
|
|
|
|
wait for clk_period;
|
|
|
|
behave_ra := ppc_rldic(rs, shift(5 downto 0), insn_mb(insn));
|
|
|
|
check_equal(res, behave_ra, result("for rldic"));
|
|
|
|
end loop;
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
|
|
|
|
elsif run("Test rldimi") then
|
|
|
|
is_32bit <= '0';
|
|
|
|
right_shift <= '0';
|
|
|
|
arith <= '0';
|
|
|
|
clear_left <= '1';
|
|
|
|
clear_right <= '1';
|
|
|
|
rldimi_loop : for i in 0 to 1000 loop
|
|
|
|
rs <= rnd.RandSlv(64);
|
|
|
|
ra <= rnd.RandSlv(64);
|
|
|
|
shift <= '0' & rnd.RandSlv(6);
|
|
|
|
insn <= x"00000" & '0' & rnd.RandSlv(10) & '0';
|
|
|
|
wait for clk_period;
|
|
|
|
behave_ra := ppc_rldimi(ra, rs, shift(5 downto 0), insn_mb(insn));
|
|
|
|
check_equal(res, behave_ra, result("for rldimi"));
|
|
|
|
end loop;
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
|
|
|
|
elsif run("Test slw") then
|
|
|
|
ra <= (others => '0');
|
|
|
|
is_32bit <= '1';
|
|
|
|
right_shift <= '0';
|
|
|
|
arith <= '0';
|
|
|
|
clear_left <= '0';
|
|
|
|
clear_right <= '0';
|
|
|
|
slw_loop : for i in 0 to 1000 loop
|
|
|
|
rs <= rnd.RandSlv(64);
|
|
|
|
shift <= rnd.RandSlv(7);
|
|
|
|
wait for clk_period;
|
|
|
|
behave_ra := ppc_slw(rs, std_ulogic_vector(resize(unsigned(shift), 64)));
|
|
|
|
check_equal(res, behave_ra, result("for slv"));
|
|
|
|
end loop;
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
|
|
|
|
elsif run("Test sld") then
|
|
|
|
ra <= (others => '0');
|
|
|
|
is_32bit <= '0';
|
|
|
|
right_shift <= '0';
|
|
|
|
arith <= '0';
|
|
|
|
clear_left <= '0';
|
|
|
|
clear_right <= '0';
|
|
|
|
sld_loop : for i in 0 to 1000 loop
|
|
|
|
rs <= rnd.RandSlv(64);
|
|
|
|
shift <= rnd.RandSlv(7);
|
|
|
|
wait for clk_period;
|
|
|
|
behave_ra := ppc_sld(rs, std_ulogic_vector(resize(unsigned(shift), 64)));
|
|
|
|
check_equal(res, behave_ra, result("for sld"));
|
|
|
|
end loop;
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
|
|
|
|
elsif run("Test srw") then
|
|
|
|
ra <= (others => '0');
|
|
|
|
is_32bit <= '1';
|
|
|
|
right_shift <= '1';
|
|
|
|
arith <= '0';
|
|
|
|
clear_left <= '0';
|
|
|
|
clear_right <= '0';
|
|
|
|
srw_loop : for i in 0 to 1000 loop
|
|
|
|
rs <= rnd.RandSlv(64);
|
|
|
|
shift <= rnd.RandSlv(7);
|
|
|
|
wait for clk_period;
|
|
|
|
behave_ra := ppc_srw(rs, std_ulogic_vector(resize(unsigned(shift), 64)));
|
|
|
|
check_equal(res, behave_ra, result("for srw"));
|
|
|
|
end loop;
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
|
|
|
|
elsif run("Test srd") then
|
|
|
|
ra <= (others => '0');
|
|
|
|
is_32bit <= '0';
|
|
|
|
right_shift <= '1';
|
|
|
|
arith <= '0';
|
|
|
|
clear_left <= '0';
|
|
|
|
clear_right <= '0';
|
|
|
|
srd_loop : for i in 0 to 1000 loop
|
|
|
|
rs <= rnd.RandSlv(64);
|
|
|
|
shift <= rnd.RandSlv(7);
|
|
|
|
wait for clk_period;
|
|
|
|
behave_ra := ppc_srd(rs, std_ulogic_vector(resize(unsigned(shift), 64)));
|
|
|
|
check_equal(res, behave_ra, result("for srd"));
|
|
|
|
end loop;
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
|
|
|
|
elsif run("Test sraw[i]") then
|
|
|
|
ra <= (others => '0');
|
|
|
|
is_32bit <= '1';
|
|
|
|
right_shift <= '1';
|
|
|
|
arith <= '1';
|
|
|
|
clear_left <= '0';
|
|
|
|
clear_right <= '0';
|
|
|
|
sraw_loop : for i in 0 to 1000 loop
|
|
|
|
rs <= rnd.RandSlv(64);
|
|
|
|
shift <= '0' & rnd.RandSlv(6);
|
|
|
|
wait for clk_period;
|
|
|
|
behave_ca_ra := ppc_sraw(rs, std_ulogic_vector(resize(unsigned(shift), 64)));
|
|
|
|
debug("rs = " & to_hstring(rs));
|
|
|
|
debug("ra = " & to_hstring(ra));
|
|
|
|
debug("shift = " & to_hstring(shift));
|
|
|
|
debug("result = " & to_hstring(carry_out & res));
|
|
|
|
check_equal(res, behave_ca_ra(63 downto 0), result("for sraw"));
|
|
|
|
check_equal(carry_out, behave_ca_ra(64), result("for sraw carry_out"));
|
|
|
|
end loop;
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
|
|
|
|
elsif run("Test srad[i]") then
|
|
|
|
ra <= (others => '0');
|
|
|
|
is_32bit <= '0';
|
|
|
|
right_shift <= '1';
|
|
|
|
arith <= '1';
|
|
|
|
clear_left <= '0';
|
|
|
|
clear_right <= '0';
|
|
|
|
srad_loop : for i in 0 to 1000 loop
|
|
|
|
rs <= rnd.RandSlv(64);
|
|
|
|
shift <= rnd.RandSlv(7);
|
|
|
|
wait for clk_period;
|
|
|
|
behave_ca_ra := ppc_srad(rs, std_ulogic_vector(resize(unsigned(shift), 64)));
|
|
|
|
debug("rs = " & to_hstring(rs));
|
|
|
|
debug("ra = " & to_hstring(ra));
|
|
|
|
debug("shift = " & to_hstring(shift));
|
|
|
|
debug("result = " & to_hstring(carry_out & res));
|
|
|
|
check_equal(res, behave_ca_ra(63 downto 0), result("for srad"));
|
|
|
|
check_equal(carry_out, behave_ca_ra(64), result("for srad carry_out"));
|
|
|
|
end loop;
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
|
|
|
|
elsif run("Test extswsli") then
|
|
|
|
ra <= (others => '0');
|
|
|
|
is_32bit <= '0';
|
|
|
|
right_shift <= '0';
|
|
|
|
arith <= '0';
|
|
|
|
clear_left <= '0';
|
|
|
|
clear_right <= '0';
|
|
|
|
extsw <= '1';
|
|
|
|
extswsli_loop : for i in 0 to 1000 loop
|
|
|
|
rs <= rnd.RandSlv(64);
|
|
|
|
shift <= '0' & rnd.RandSlv(6);
|
|
|
|
wait for clk_period;
|
|
|
|
behave_ra := rs;
|
|
|
|
behave_ra(63 downto 32) := (others => rs(31));
|
|
|
|
behave_ra := std_ulogic_vector(shift_left(unsigned(behave_ra),
|
|
|
|
to_integer(unsigned(shift))));
|
|
|
|
debug("rs = " & to_hstring(rs));
|
|
|
|
debug("ra = " & to_hstring(ra));
|
|
|
|
debug("shift = " & to_hstring(shift));
|
|
|
|
debug("result = " & to_hstring(carry_out & res));
|
|
|
|
check_equal(res, behave_ra, result("for extswsli"));
|
|
|
|
end loop;
|
|
|
|
end if;
|
|
|
|
end loop;
|
|
|
|
test_runner_cleanup(runner);
|
Add a rotate/mask/shift unit and use it in execute1
This adds a new entity 'rotator' which contains combinatorial logic
for rotating and masking 64-bit values. It implements the operations
of the rlwinm, rlwnm, rlwimi, rldicl, rldicr, rldic, rldimi, rldcl,
rldcr, sld, slw, srd, srw, srad, sradi, sraw and srawi instructions.
It consists of a 3-stage 64-bit rotator using 4:1 multiplexors at
each stage, two mask generators, output logic and control logic.
The insn_type_t values used for these instructions have been reduced
to just 5: OP_RLC, OP_RLCL and OP_RLCR for the rotate and mask
instructions (clear both left and right, clear left, clear right
variants), OP_SHL for left shifts, and OP_SHR for right shifts.
The control signals for the rotator are derived from the opcode
and from the is_32bit and is_signed fields of the decode_rom_t.
The rotator is instantiated as an entity in execute1 so that we can
be sure we only have one of it.
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
5 years ago
|
|
|
end process;
|
|
|
|
end behave;
|