|
|
|
library ieee;
|
|
|
|
use ieee.std_logic_1164.all;
|
|
|
|
|
|
|
|
package insn_helpers is
|
|
|
|
function insn_rs (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_rt (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_ra (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_rb (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_si (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_ui (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_l (insn_in : std_ulogic_vector) return std_ulogic;
|
|
|
|
function insn_sh32 (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_mb32 (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_me32 (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_li (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_lk (insn_in : std_ulogic_vector) return std_ulogic;
|
|
|
|
function insn_aa (insn_in : std_ulogic_vector) return std_ulogic;
|
|
|
|
function insn_rc (insn_in : std_ulogic_vector) return std_ulogic;
|
Add basic XER support
The carry is currently internal to execute1. We don't handle any of
the other XER fields.
This creates type called "xer_common_t" that contains the commonly
used XER bits (CA, CA32, SO, OV, OV32).
The value is stored in the CR file (though it could be a separate
module). The rest of the bits will be implemented as a separate
SPR and the two parts reconciled in mfspr/mtspr in latter commits.
We always read XER in decode2 (there is little point not to)
and send it down all pipeline branches as it will be needed in
writeback for all type of instructions when CR0:SO needs to be
updated (such forms exist for all pipeline branches even if we don't
yet implement them).
To avoid having to track XER hazards, we forward it back in EX1. This
assumes that other pipeline branches that can modify it (mult and div)
are running single issue for now.
One additional hazard to beware of is an XER:SO modifying instruction
in EX1 followed immediately by a store conditional. Due to our writeback
latency, the store will go down the LSU with the previous XER value,
thus the stcx. will set CR0:SO using an obsolete SO value.
I doubt there exist any code relying on this behaviour being correct
but we should account for it regardless, possibly by ensuring that
stcx. remain single issue initially, or later by adding some minimal
tracking or moving the LSU into the same pipeline as execute.
Missing some obscure XER affecting instructions like addex or mcrxrx.
[paulus@ozlabs.org - fix CA32 and OV32 for OP_ADD, fix order of
arguments to set_ov]
Signed-off-by: Benjamin Herrenschmidt <benh@kernel.crashing.org>
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
4 years ago
|
|
|
function insn_oe (insn_in : std_ulogic_vector) return std_ulogic;
|
|
|
|
function insn_bd (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_bf (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_bfa (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_fxm (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_bo (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_bi (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_bh (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_d (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_ds (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_to (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_bc (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_sh (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_me (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
function insn_mb (insn_in : std_ulogic_vector) return std_ulogic_vector;
|
|
|
|
end package insn_helpers;
|
|
|
|
|
|
|
|
package body insn_helpers is
|
|
|
|
function insn_rs (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(25 downto 21);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_rt (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(25 downto 21);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_ra (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(20 downto 16);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_rb (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(15 downto 11);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_si (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(15 downto 0);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_ui (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(15 downto 0);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_l (insn_in : std_ulogic_vector) return std_ulogic is
|
|
|
|
begin
|
|
|
|
return insn_in(21);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_sh32 (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(15 downto 11);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_mb32 (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(10 downto 6);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_me32 (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(5 downto 1);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_li (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(25 downto 2);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_lk (insn_in : std_ulogic_vector) return std_ulogic is
|
|
|
|
begin
|
|
|
|
return insn_in(0);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_aa (insn_in : std_ulogic_vector) return std_ulogic is
|
|
|
|
begin
|
|
|
|
return insn_in(1);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_rc (insn_in : std_ulogic_vector) return std_ulogic is
|
|
|
|
begin
|
|
|
|
return insn_in(0);
|
|
|
|
end;
|
|
|
|
|
Add basic XER support
The carry is currently internal to execute1. We don't handle any of
the other XER fields.
This creates type called "xer_common_t" that contains the commonly
used XER bits (CA, CA32, SO, OV, OV32).
The value is stored in the CR file (though it could be a separate
module). The rest of the bits will be implemented as a separate
SPR and the two parts reconciled in mfspr/mtspr in latter commits.
We always read XER in decode2 (there is little point not to)
and send it down all pipeline branches as it will be needed in
writeback for all type of instructions when CR0:SO needs to be
updated (such forms exist for all pipeline branches even if we don't
yet implement them).
To avoid having to track XER hazards, we forward it back in EX1. This
assumes that other pipeline branches that can modify it (mult and div)
are running single issue for now.
One additional hazard to beware of is an XER:SO modifying instruction
in EX1 followed immediately by a store conditional. Due to our writeback
latency, the store will go down the LSU with the previous XER value,
thus the stcx. will set CR0:SO using an obsolete SO value.
I doubt there exist any code relying on this behaviour being correct
but we should account for it regardless, possibly by ensuring that
stcx. remain single issue initially, or later by adding some minimal
tracking or moving the LSU into the same pipeline as execute.
Missing some obscure XER affecting instructions like addex or mcrxrx.
[paulus@ozlabs.org - fix CA32 and OV32 for OP_ADD, fix order of
arguments to set_ov]
Signed-off-by: Benjamin Herrenschmidt <benh@kernel.crashing.org>
Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
4 years ago
|
|
|
function insn_oe (insn_in : std_ulogic_vector) return std_ulogic is
|
|
|
|
begin
|
|
|
|
return insn_in(10);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_bd (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(15 downto 2);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_bf (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(25 downto 23);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_bfa (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(20 downto 18);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_fxm (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(19 downto 12);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_bo (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(25 downto 21);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_bi (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(20 downto 16);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_bh (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(12 downto 11);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_d (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(15 downto 0);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_ds (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(15 downto 2);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_to (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(25 downto 21);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_bc (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(10 downto 6);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_sh (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(1) & insn_in(15 downto 11);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_me (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(5) & insn_in(10 downto 6);
|
|
|
|
end;
|
|
|
|
|
|
|
|
function insn_mb (insn_in : std_ulogic_vector) return std_ulogic_vector is
|
|
|
|
begin
|
|
|
|
return insn_in(5) & insn_in(10 downto 6);
|
|
|
|
end;
|
|
|
|
end package body insn_helpers;
|