You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

10 lines
179 B
Verilog

module DNA_PORT (DOUT, CLK, DIN, READ, SHIFT);
parameter [56:0] SIM_DNA_VALUE = 57'h0;
output DOUT;
input CLK, DIN, READ, SHIFT;
assign DOUT = 1'b0;
endmodule