You cannot select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

12859 lines
1.2 MiB

make -f Makefile.litex results.xml
make[1]: Entering directory '/data/projects/a2o/dev/sim/coco'
MODULE=tb_node TESTCASE=tb_litex TOPLEVEL=cocotb TOPLEVEL_LANG=verilog \
/usr/local/bin/vvp -M /home/wtf/.local/lib/python3.8/site-packages/cocotb/libs -m libcocotbvpi_icarus build_node/sim.vvp
-.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:76 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter
-.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:99 in gpi_print_registered_impl VPI registered
0.00ns INFO Running on Icarus Verilog version 12.0 (devel)
0.00ns INFO Running tests with cocotb v1.7.0.dev0 from /home/wtf/.local/lib/python3.8/site-packages/cocotb
0.00ns INFO Seeding Python random module with 1659408341
0.00ns INFO Found test tb_node.tb_litex
0.00ns INFO running tb_litex (1/0)
A2O wit litex interface
0.00ns INFO [00000000] Set threads=1.
0.00ns INFO [00000000] ...tick...
0.00ns INFO [00000000] A2O Driver: nothing to do.
/data/projects/cocotbext-wishbone/cocotbext/wishbone/monitor.py:124: DeprecationWarning: cocotb.fork has been deprecated in favor of cocotb.start_soon and cocotb.start.
In most cases you can simply substitute cocotb.fork with cocotb.start_soon.
For more information about when you would want to use cocotb.start see the docs,
https://docs.cocotb.org/en/latest/coroutines.html#concurrent-execution
cocotb.fork(self._stall())
/data/projects/cocotbext-wishbone/cocotbext/wishbone/monitor.py:125: DeprecationWarning: cocotb.fork has been deprecated in favor of cocotb.start_soon and cocotb.start.
In most cases you can simply substitute cocotb.fork with cocotb.start_soon.
For more information about when you would want to use cocotb.start see the docs,
https://docs.cocotb.org/en/latest/coroutines.html#concurrent-execution
cocotb.fork(self._clk_cycle_counter())
/data/projects/cocotbext-wishbone/cocotbext/wishbone/monitor.py:126: DeprecationWarning: cocotb.fork has been deprecated in favor of cocotb.start_soon and cocotb.start.
In most cases you can simply substitute cocotb.fork with cocotb.start_soon.
For more information about when you would want to use cocotb.start see the docs,
https://docs.cocotb.org/en/latest/coroutines.html#concurrent-execution
cocotb.fork(self._ack())
0.00ns INFO [00000000] A2L2 Checker: started.
0.00ns INFO [00000000] A2L2 Monitor: started.
0.00ns INFO [00000000] Resetting...
80.00ns INFO [00000010] Releasing reset.
120.00ns INFO [00000016] A2O: load credits = 1.
120.00ns INFO [00000016] A2O: store credits = 1.
120.00ns INFO [00000016] A2O: single-credit mode is enabled.
128.00ns INFO [00000017] A2O Checker: started.
128.00ns INFO [00000017] A2O Monitor: started.
360.00ns INFO [00000046] T0 IFETCH 00000000 tag=08 len=6 WIMG:5
376.00ns INFO [00000048] WB Slave: RD @00000000=48000400
392.00ns INFO [00000050] WB Slave: RD @00000004=44000002
408.00ns INFO [00000052] WB Slave: RD @00000008=00000000
424.00ns INFO [00000054] WB Slave: RD @0000000C=00000000
560.00ns INFO [00000071] T0 IFETCH 00000400 tag=08 len=6 WIMG:5
568.00ns INFO [00000072] C0: CP 0:000000 0000000000000000
576.00ns INFO [00000073] WB Slave: RD @00000400=7CBE6AA6
592.00ns INFO [00000075] WB Slave: RD @00000404=2C250000
608.00ns INFO [00000077] WB Slave: RD @00000408=408200E0
624.00ns INFO [00000079] WB Slave: RD @0000040C=3C608C00
760.00ns INFO [00000096] T0 IFETCH 000004E0 tag=08 len=6 WIMG:5
776.00ns INFO [00000098] WB Slave: RD @000004E0=802008FA
792.00ns INFO [00000100] ...tick...
792.00ns INFO [00000100] WB Slave: RD @000004E4=48000020
808.00ns INFO [00000102] WB Slave: RD @000004E8=39400000
824.00ns INFO [00000104] WB Slave: RD @000004EC=654A8002
824.00ns INFO [00000104] C0: CP 0:000400 0000000000000400
832.00ns INFO [00000105] C0: GPR Update: R05=0000000000000000
872.00ns INFO [00000110] C0: CP 0:000404 0000000000000404
880.00ns INFO [00000111] C0: CR Update: F0=2
888.00ns INFO [00000112] C0: CP 0:000408 0000000000000408
960.00ns INFO [00000121] T0 IFETCH 00000400 tag=08 len=6 WIMG:5
976.00ns INFO [00000123] WB Slave: RD @00000400=7CBE6AA6
992.00ns INFO [00000125] WB Slave: RD @00000404=2C250000
1008.00ns INFO [00000127] WB Slave: RD @00000408=408200E0
1024.00ns INFO [00000129] WB Slave: RD @0000040C=3C608C00
1160.00ns INFO [00000146] T0 IFETCH 00000410 tag=08 len=6 WIMG:5
1176.00ns INFO [00000148] WB Slave: RD @00000410=3800001F
1176.00ns INFO [00000148] C0: CP 0:00040C 000000000000040C
1184.00ns INFO [00000149] C0: GPR Update: R03=FFFFFFFF8C000000
1192.00ns INFO [00000150] WB Slave: RD @00000414=38400015
1208.00ns INFO [00000152] WB Slave: RD @00000418=38800000
1224.00ns INFO [00000154] WB Slave: RD @0000041C=3900023F
1360.00ns INFO [00000171] T0 IFETCH 00000420 tag=08 len=6 WIMG:5
1376.00ns INFO [00000173] WB Slave: RD @00000420=7C7CFBA6
1376.00ns INFO [00000173] C0: CP 0:000410 1:000414 0000000000000410
1384.00ns INFO [00000174] C0: CP 0:000418 1:00041C 0000000000000418
1384.00ns INFO [00000174] C0: GPR Update: R00=000000000000001F
1384.00ns INFO [00000174] C0: GPR Update: R02=0000000000000015
1392.00ns INFO [00000175] WB Slave: RD @00000424=7C4011A6
1392.00ns INFO [00000175] C0: GPR Update: R04=0000000000000000
1392.00ns INFO [00000175] C0: GPR Update: R08=000000000000023F
1408.00ns INFO [00000177] WB Slave: RD @00000428=7C8009A6
1424.00ns INFO [00000179] WB Slave: RD @0000042C=7D0001A6
1560.00ns INFO [00000196] T0 IFETCH 00000430 tag=08 len=6 WIMG:5
1576.00ns INFO [00000198] WB Slave: RD @00000430=4C00012C
1592.00ns INFO [00000200] ...tick...
1592.00ns INFO [00000200] WB Slave: RD @00000434=39400000
1608.00ns INFO [00000202] WB Slave: RD @00000438=654A0000
1624.00ns INFO [00000204] WB Slave: RD @0000043C=614A003F
1704.00ns INFO [00000214] C0: CP 0:000420 0000000000000420
1760.00ns INFO [00000221] T0 IFETCH 00000440 tag=08 len=6 WIMG:5
1776.00ns INFO [00000223] WB Slave: RD @00000440=3800001E
1792.00ns INFO [00000225] WB Slave: RD @00000444=38800000
1808.00ns INFO [00000227] WB Slave: RD @00000448=64840001
1824.00ns INFO [00000229] WB Slave: RD @0000044C=60840000
1880.00ns INFO [00000236] C0: CP 0:000424 0000000000000424
1960.00ns INFO [00000246] T0 IFETCH 00000450 tag=08 len=6 WIMG:5
1976.00ns INFO [00000248] WB Slave: RD @00000450=39000000
1992.00ns INFO [00000250] WB Slave: RD @00000454=65080001
2008.00ns INFO [00000252] WB Slave: RD @00000458=61080000
2024.00ns INFO [00000254] WB Slave: RD @0000045C=6108023F
2056.00ns INFO [00000258] C0: CP 0:000428 0000000000000428
2160.00ns INFO [00000271] T0 IFETCH 00000460 tag=08 len=6 WIMG:5
2176.00ns INFO [00000273] WB Slave: RD @00000460=7D4011A6
2192.00ns INFO [00000275] WB Slave: RD @00000464=7C8009A6
2208.00ns INFO [00000277] WB Slave: RD @00000468=7D0001A6
2224.00ns INFO [00000279] WB Slave: RD @0000046C=4C00012C
2232.00ns INFO [00000280] C0: CP 0:00042C 1:000430 000000000000042C
2360.00ns INFO [00000296] T0 IFETCH 00000430 tag=09 len=6 WIMG:5
2376.00ns INFO [00000298] WB Slave: RD @00000430=4C00012C
2392.00ns INFO [00000300] ...tick...
2392.00ns INFO [00000300] WB Slave: RD @00000434=39400000
2408.00ns INFO [00000302] WB Slave: RD @00000438=654A0000
2424.00ns INFO [00000304] WB Slave: RD @0000043C=614A003F
2560.00ns INFO [00000321] T0 IFETCH 00000440 tag=08 len=6 WIMG:5
2576.00ns INFO [00000323] WB Slave: RD @00000440=3800001E
2576.00ns INFO [00000323] C0: CP 0:000434 1:000438 0000000000000434
2584.00ns INFO [00000324] C0: GPR Update: R10=0000000000000000
2592.00ns INFO [00000325] WB Slave: RD @00000444=38800000
2600.00ns INFO [00000326] C0: CP 0:00043C 000000000000043C
2608.00ns INFO [00000327] WB Slave: RD @00000448=64840001
2608.00ns INFO [00000327] C0: GPR Update: R10=000000000000003F
2624.00ns INFO [00000329] WB Slave: RD @0000044C=60840000
2760.00ns INFO [00000346] T0 IFETCH 00000450 tag=08 len=6 WIMG:5
2776.00ns INFO [00000348] WB Slave: RD @00000450=39000000
2776.00ns INFO [00000348] C0: CP 0:000440 1:000444 0000000000000440
2784.00ns INFO [00000349] C0: GPR Update: R00=000000000000001E
2784.00ns INFO [00000349] C0: GPR Update: R04=0000000000000000
2792.00ns INFO [00000350] WB Slave: RD @00000454=65080001
2792.00ns INFO [00000350] C0: CP 0:000448 0000000000000448
2800.00ns INFO [00000351] C0: CP 0:00044C 000000000000044C
2800.00ns INFO [00000351] C0: GPR Update: R04=0000000000010000
2808.00ns INFO [00000352] WB Slave: RD @00000458=61080000
2808.00ns INFO [00000352] C0: GPR Update: R04=0000000000010000
2824.00ns INFO [00000354] WB Slave: RD @0000045C=6108023F
2960.00ns INFO [00000371] T0 IFETCH 00000460 tag=08 len=6 WIMG:5
2976.00ns INFO [00000373] WB Slave: RD @00000460=7D4011A6
2976.00ns INFO [00000373] C0: CP 0:000450 1:000454 0000000000000450
2984.00ns INFO [00000374] C0: GPR Update: R08=0000000000010000
2992.00ns INFO [00000375] WB Slave: RD @00000464=7C8009A6
3000.00ns INFO [00000376] C0: CP 0:000458 0000000000000458
3008.00ns INFO [00000377] WB Slave: RD @00000468=7D0001A6
3008.00ns INFO [00000377] C0: CP 0:00045C 000000000000045C
3008.00ns INFO [00000377] C0: GPR Update: R08=0000000000010000
3016.00ns INFO [00000378] C0: GPR Update: R08=000000000001023F
3024.00ns INFO [00000379] WB Slave: RD @0000046C=4C00012C
3160.00ns INFO [00000396] T0 IFETCH 00000470 tag=08 len=6 WIMG:5
3176.00ns INFO [00000398] WB Slave: RD @00000470=3C608800
3192.00ns INFO [00000400] ...tick...
3192.00ns INFO [00000400] WB Slave: RD @00000474=3800000F
3208.00ns INFO [00000402] WB Slave: RD @00000478=3840003F
3224.00ns INFO [00000404] WB Slave: RD @0000047C=38800000
3304.00ns INFO [00000414] C0: CP 0:000460 0000000000000460
3360.00ns INFO [00000421] T0 IFETCH 00000480 tag=08 len=6 WIMG:5
3376.00ns INFO [00000423] WB Slave: RD @00000480=3900023F
3392.00ns INFO [00000425] WB Slave: RD @00000484=7C7CFBA6
3408.00ns INFO [00000427] WB Slave: RD @00000488=7C4011A6
3424.00ns INFO [00000429] WB Slave: RD @0000048C=7C8009A6
3480.00ns INFO [00000436] C0: CP 0:000464 0000000000000464
3560.00ns INFO [00000446] T0 IFETCH 00000490 tag=08 len=6 WIMG:5
3576.00ns INFO [00000448] WB Slave: RD @00000490=7D0001A6
3592.00ns INFO [00000450] WB Slave: RD @00000494=4C00012C
3608.00ns INFO [00000452] WB Slave: RD @00000498=3800000D
3624.00ns INFO [00000454] WB Slave: RD @0000049C=38800000
3656.00ns INFO [00000458] C0: CP 0:000468 1:00046C 0000000000000468
3760.00ns INFO [00000471] T0 IFETCH 00000470 tag=08 len=6 WIMG:5
3776.00ns INFO [00000473] WB Slave: RD @00000470=3C608800
3792.00ns INFO [00000475] WB Slave: RD @00000474=3800000F
3808.00ns INFO [00000477] WB Slave: RD @00000478=3840003F
3824.00ns INFO [00000479] WB Slave: RD @0000047C=38800000
3960.00ns INFO [00000496] T0 IFETCH 00000480 tag=08 len=6 WIMG:5
3976.00ns INFO [00000498] WB Slave: RD @00000480=3900023F
3976.00ns INFO [00000498] C0: CP 0:000470 1:000474 0000000000000470
3984.00ns INFO [00000499] C0: CP 0:000478 1:00047C 0000000000000478
3984.00ns INFO [00000499] C0: GPR Update: R00=000000000000000F
3984.00ns INFO [00000499] C0: GPR Update: R03=FFFFFFFF88000000
3992.00ns INFO [00000500] ...tick...
3992.00ns INFO [00000500] WB Slave: RD @00000484=7C7CFBA6
3992.00ns INFO [00000500] C0: GPR Update: R02=000000000000003F
3992.00ns INFO [00000500] C0: GPR Update: R04=0000000000000000
4008.00ns INFO [00000502] WB Slave: RD @00000488=7C4011A6
4024.00ns INFO [00000504] WB Slave: RD @0000048C=7C8009A6
4160.00ns INFO [00000521] T0 IFETCH 00000490 tag=08 len=6 WIMG:5
4176.00ns INFO [00000523] WB Slave: RD @00000490=7D0001A6
4176.00ns INFO [00000523] C0: CP 0:000480 0000000000000480
4184.00ns INFO [00000524] C0: GPR Update: R08=000000000000023F
4192.00ns INFO [00000525] WB Slave: RD @00000494=4C00012C
4208.00ns INFO [00000527] WB Slave: RD @00000498=3800000D
4224.00ns INFO [00000529] WB Slave: RD @0000049C=38800000
4352.00ns INFO [00000545] C0: CP 0:000484 0000000000000484
4360.00ns INFO [00000546] T0 IFETCH 000004A0 tag=08 len=6 WIMG:5
4376.00ns INFO [00000548] WB Slave: RD @000004A0=64840001
4392.00ns INFO [00000550] WB Slave: RD @000004A4=60840000
4408.00ns INFO [00000552] WB Slave: RD @000004A8=39000000
4424.00ns INFO [00000554] WB Slave: RD @000004AC=65080001
4496.00ns INFO [00000563] C0: CP 0:000488 0000000000000488
4560.00ns INFO [00000571] T0 IFETCH 000004B0 tag=08 len=6 WIMG:5
4576.00ns INFO [00000573] WB Slave: RD @000004B0=61080000
4592.00ns INFO [00000575] WB Slave: RD @000004B4=6108023F
4608.00ns INFO [00000577] WB Slave: RD @000004B8=7D4011A6
4624.00ns INFO [00000579] WB Slave: RD @000004BC=7C8009A6
4640.00ns INFO [00000581] C0: CP 0:00048C 000000000000048C
4760.00ns INFO [00000596] T0 IFETCH 000004C0 tag=08 len=6 WIMG:5
4776.00ns INFO [00000598] WB Slave: RD @000004C0=7D0001A6
4784.00ns INFO [00000599] C0: CP 0:000490 1:000494 0000000000000490
4792.00ns INFO [00000600] ...tick...
4792.00ns INFO [00000600] WB Slave: RD @000004C4=4C00012C
4808.00ns INFO [00000602] WB Slave: RD @000004C8=48000004
4824.00ns INFO [00000604] WB Slave: RD @000004CC=39400000
4960.00ns INFO [00000621] T0 IFETCH 00000490 tag=09 len=6 WIMG:0
4976.00ns INFO [00000623] WB Slave: RD @00000480=3900023F
4992.00ns INFO [00000625] WB Slave: RD @00000484=7C7CFBA6
5008.00ns INFO [00000627] WB Slave: RD @00000488=7C4011A6
5024.00ns INFO [00000629] WB Slave: RD @0000048C=7C8009A6
5040.00ns INFO [00000631] WB Slave: RD @00000490=7D0001A6
5056.00ns INFO [00000633] WB Slave: RD @00000494=4C00012C
5072.00ns INFO [00000635] WB Slave: RD @00000498=3800000D
5088.00ns INFO [00000637] WB Slave: RD @0000049C=38800000
5104.00ns INFO [00000639] WB Slave: RD @000004A0=64840001
5120.00ns INFO [00000641] WB Slave: RD @000004A4=60840000
5136.00ns INFO [00000643] WB Slave: RD @000004A8=39000000
5152.00ns INFO [00000645] WB Slave: RD @000004AC=65080001
5168.00ns INFO [00000647] WB Slave: RD @000004B0=61080000
5184.00ns INFO [00000649] WB Slave: RD @000004B4=6108023F
5200.00ns INFO [00000651] WB Slave: RD @000004B8=7D4011A6
5216.00ns INFO [00000653] WB Slave: RD @000004BC=7C8009A6
5376.00ns INFO [00000673] T0 IFETCH 000004C0 tag=08 len=6 WIMG:0
5376.00ns INFO [00000673] C0: CP 0:000498 1:00049C 0000000000000498
5384.00ns INFO [00000674] C0: GPR Update: R00=000000000000000D
5384.00ns INFO [00000674] C0: GPR Update: R04=0000000000000000
5392.00ns INFO [00000675] WB Slave: RD @000004C0=7D0001A6
5408.00ns INFO [00000677] WB Slave: RD @000004C4=4C00012C
5416.00ns INFO [00000678] C0: CP 0:0004A0 00000000000004A0
5424.00ns INFO [00000679] WB Slave: RD @000004C8=48000004
5424.00ns INFO [00000679] C0: CP 0:0004A4 1:0004A8 00000000000004A4
5424.00ns INFO [00000679] C0: GPR Update: R04=0000000000010000
5432.00ns INFO [00000680] C0: CP 0:0004AC 00000000000004AC
5432.00ns INFO [00000680] C0: GPR Update: R04=0000000000010000
5432.00ns INFO [00000680] C0: GPR Update: R08=0000000000000000
5440.00ns INFO [00000681] WB Slave: RD @000004CC=39400000
5440.00ns INFO [00000681] C0: GPR Update: R08=0000000000010000
5456.00ns INFO [00000683] WB Slave: RD @000004D0=654A8002
5456.00ns INFO [00000683] C0: CP 0:0004B0 00000000000004B0
5464.00ns INFO [00000684] C0: CP 0:0004B4 00000000000004B4
5464.00ns INFO [00000684] C0: GPR Update: R08=0000000000010000
5472.00ns INFO [00000685] WB Slave: RD @000004D4=614AB000
5472.00ns INFO [00000685] C0: GPR Update: R08=000000000001023F
5488.00ns INFO [00000687] WB Slave: RD @000004D8=7D400124
5504.00ns INFO [00000689] WB Slave: RD @000004DC=4C00012C
5520.00ns INFO [00000691] WB Slave: RD @000004E0=802008FA
5536.00ns INFO [00000693] WB Slave: RD @000004E4=48000020
5552.00ns INFO [00000695] WB Slave: RD @000004E8=39400000
5568.00ns INFO [00000697] WB Slave: RD @000004EC=654A8002
5584.00ns INFO [00000699] WB Slave: RD @000004F0=614AB000
5592.00ns INFO [00000700] ...tick...
5600.00ns INFO [00000701] WB Slave: RD @000004F4=7D400124
5608.00ns INFO [00000702] C0: CP 0:0004B8 00000000000004B8
5616.00ns INFO [00000703] WB Slave: RD @000004F8=4C00012C
5632.00ns INFO [00000705] WB Slave: RD @000004FC=802008FE
5752.00ns INFO [00000720] C0: CP 0:0004BC 00000000000004BC
5792.00ns INFO [00000725] T0 IFETCH 00000500 tag=09 len=6 WIMG:0
5808.00ns INFO [00000727] WB Slave: RD @00000500=48000004
5824.00ns INFO [00000729] WB Slave: RD @00000504=3C600000
5840.00ns INFO [00000731] WB Slave: RD @00000508=60630C34
5856.00ns INFO [00000733] WB Slave: RD @0000050C=7C6903A6
5872.00ns INFO [00000735] WB Slave: RD @00000510=7C7E6AA6
5888.00ns INFO [00000737] WB Slave: RD @00000514=4E800421
5896.00ns INFO [00000738] C0: CP 0:0004C0 1:0004C4 00000000000004C0
5904.00ns INFO [00000739] WB Slave: RD @00000518=480002E4
5920.00ns INFO [00000741] WB Slave: RD @0000051C=00000000
5936.00ns INFO [00000743] WB Slave: RD @00000520=00000000
5952.00ns INFO [00000745] WB Slave: RD @00000524=00000000
5968.00ns INFO [00000747] WB Slave: RD @00000528=00000000
5984.00ns INFO [00000749] WB Slave: RD @0000052C=00000000
6000.00ns INFO [00000751] WB Slave: RD @00000530=00000000
6016.00ns INFO [00000753] WB Slave: RD @00000534=00000000
6032.00ns INFO [00000755] WB Slave: RD @00000538=00000000
6040.00ns INFO [00000756] C0: CP 0:0004C8 00000000000004C8
6048.00ns INFO [00000757] WB Slave: RD @0000053C=00000000
6080.00ns INFO [00000761] C0: CP 0:0004CC 00000000000004CC
6088.00ns INFO [00000762] C0: GPR Update: R10=0000000000000000
6096.00ns INFO [00000763] C0: CP 0:0004D0 00000000000004D0
6104.00ns INFO [00000764] C0: GPR Update: R10=0000000080020000
6112.00ns INFO [00000765] C0: CP 0:0004D4 00000000000004D4
6120.00ns INFO [00000766] C0: GPR Update: R10=000000008002B000
6208.00ns INFO [00000777] T0 IFETCH 00000000 tag=08 len=6 WIMG:0
6224.00ns INFO [00000779] WB Slave: RD @00000000=48000400
6224.00ns INFO [00000779] C0: CP 0:0004D8 00000000000004D8
6240.00ns INFO [00000781] WB Slave: RD @00000004=44000002
6256.00ns INFO [00000783] WB Slave: RD @00000008=00000000
6272.00ns INFO [00000785] WB Slave: RD @0000000C=00000000
6288.00ns INFO [00000787] WB Slave: RD @00000010=00000000
6304.00ns INFO [00000789] WB Slave: RD @00000014=00000000
6320.00ns INFO [00000791] WB Slave: RD @00000018=00000000
6328.00ns INFO [00000792] C0: CP 0:0004DC 00000000000004DC
6336.00ns INFO [00000793] WB Slave: RD @0000001C=00000000
6352.00ns INFO [00000795] WB Slave: RD @00000020=4800100C
6368.00ns INFO [00000797] WB Slave: RD @00000024=00000000
6384.00ns INFO [00000799] WB Slave: RD @00000028=00000000
6392.00ns INFO [00000800] ...tick...
6400.00ns INFO [00000801] WB Slave: RD @0000002C=00000000
6416.00ns INFO [00000803] WB Slave: RD @00000030=00000000
6432.00ns INFO [00000805] WB Slave: RD @00000034=00000000
6448.00ns INFO [00000807] WB Slave: RD @00000038=00000000
6464.00ns INFO [00000809] WB Slave: RD @0000003C=00000000
6624.00ns INFO [00000829] T0 LOAD 000008FA tag=00 len=4 WIMG:0
6640.00ns INFO [00000831] WB Slave: RD @000008C0=00000000
6656.00ns INFO [00000833] WB Slave: RD @000008C4=00000000
6672.00ns INFO [00000835] WB Slave: RD @000008C8=00000000
6688.00ns INFO [00000837] WB Slave: RD @000008CC=00000000
6704.00ns INFO [00000839] WB Slave: RD @000008D0=00000000
6720.00ns INFO [00000841] WB Slave: RD @000008D4=00000000
6736.00ns INFO [00000843] WB Slave: RD @000008D8=00000000
6752.00ns INFO [00000845] WB Slave: RD @000008DC=00000000
6768.00ns INFO [00000847] WB Slave: RD @000008E0=00000000
6784.00ns INFO [00000849] WB Slave: RD @000008E4=00000000
6800.00ns INFO [00000851] WB Slave: RD @000008E8=00000000
6816.00ns INFO [00000853] WB Slave: RD @000008EC=00000000
6832.00ns INFO [00000855] WB Slave: RD @000008F0=6D61696E
6848.00ns INFO [00000857] WB Slave: RD @000008F4=28256929
6864.00ns INFO [00000859] WB Slave: RD @000008F8=0A000001
6880.00ns INFO [00000861] WB Slave: RD @000008FC=FFF80000
6992.00ns INFO [00000875] C0: CP 0:0004E0 1:0004E4 00000000000004E0
7000.00ns INFO [00000876] C0: CP 0:000504 1:000508 0000000000000504
7000.00ns INFO [00000876] C0: GPR Update: R01=000000000001FFF8
7008.00ns INFO [00000877] C0: CP 0:00050C 1:000510 000000000000050C
7008.00ns INFO [00000877] C0: GPR Update: R03=0000000000000C34
7016.00ns INFO [00000878] C0: CP 0:000514 0000000000000514
7016.00ns INFO [00000878] C0: GPR Update: R03=0000000000000000
7016.00ns INFO [00000878] C0: CTR Update:0000000000000C34
7024.00ns INFO [00000879] C0: LR Update:0000000000000518
7040.00ns INFO [00000881] T0 IFETCH 00000C30 tag=08 len=6 WIMG:0
7056.00ns INFO [00000883] WB Slave: RD @00000C00=9421FFF0
7072.00ns INFO [00000885] WB Slave: RD @00000C04=7C691B78
7088.00ns INFO [00000887] WB Slave: RD @00000C08=99210008
7104.00ns INFO [00000889] WB Slave: RD @00000C0C=3D200001
7120.00ns INFO [00000891] WB Slave: RD @00000C10=81292000
7136.00ns INFO [00000893] WB Slave: RD @00000C14=39090001
7152.00ns INFO [00000895] WB Slave: RD @00000C18=3D400001
7168.00ns INFO [00000897] WB Slave: RD @00000C1C=910A2000
7184.00ns INFO [00000899] WB Slave: RD @00000C20=89410008
7192.00ns INFO [00000900] ...tick...
7200.00ns INFO [00000901] WB Slave: RD @00000C24=99490000
7216.00ns INFO [00000903] WB Slave: RD @00000C28=60000000
7232.00ns INFO [00000905] WB Slave: RD @00000C2C=38210010
7248.00ns INFO [00000907] WB Slave: RD @00000C30=4E800020
7264.00ns INFO [00000909] WB Slave: RD @00000C34=9421FFC0
7280.00ns INFO [00000911] WB Slave: RD @00000C38=7C0802A6
7296.00ns INFO [00000913] WB Slave: RD @00000C3C=90010044
7456.00ns INFO [00000933] T0 IFETCH 00000C40 tag=09 len=6 WIMG:0
7472.00ns INFO [00000935] WB Slave: RD @00000C40=90610038
7488.00ns INFO [00000937] WB Slave: RD @00000C44=3D200001
7496.00ns INFO [00000938] C0: CP 0:000C34 1:000C38 0000000000000C34
7504.00ns INFO [00000939] WB Slave: RD @00000C48=39292000
7504.00ns INFO [00000939] C0: GPR Update: R00=0000000000000518
7504.00ns INFO [00000939] C0: GPR Update: R01=000000000001FFB8
7520.00ns INFO [00000941] WB Slave: RD @00000C4C=9121000C
7528.00ns INFO [00000942] C0: CP 0:000C3C 0000000000000C3C
7536.00ns INFO [00000943] WB Slave: RD @00000C50=3D200000
7552.00ns INFO [00000945] WB Slave: RD @00000C54=39291060
7568.00ns INFO [00000947] WB Slave: RD @00000C58=91210010
7584.00ns INFO [00000949] WB Slave: RD @00000C5C=81210038
7600.00ns INFO [00000951] WB Slave: RD @00000C60=2C090000
7616.00ns INFO [00000953] WB Slave: RD @00000C64=4182000C
7632.00ns INFO [00000955] WB Slave: RD @00000C68=3920FFFF
7648.00ns INFO [00000957] WB Slave: RD @00000C6C=4800018C
7664.00ns INFO [00000959] WB Slave: RD @00000C70=3D200000
7680.00ns INFO [00000961] WB Slave: RD @00000C74=39291030
7696.00ns INFO [00000963] WB Slave: RD @00000C78=91210008
7712.00ns INFO [00000965] WB Slave: RD @00000C7C=48000028
7808.00ns INFO [00000977] T0 STORE 0001FFB8 tag=00 len=4 be=00F00000 data=39291030000000000001FFF83D20000000000000000000000000000000000000 WIMG:0
7840.00ns INFO [00000981] WB Slave: WR @0001FFB8 sel=F dat=0001FFF8
7840.00ns INFO [00000981] Mem Update: @0001FFB8 XXXXXXXX->0001FFF8
7840.00ns INFO [00000981] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
7872.00ns INFO [00000985] T0 STORE 0001FFFC tag=00 len=4 be=000F0000 data=3D20000039291030000000000000051800000000000000000000000000000000 WIMG:0
7904.00ns INFO [00000989] WB Slave: WR @0001FFFC sel=F dat=00000518
7904.00ns INFO [00000989] Mem Update: @0001FFFC XXXXXXXX->00000518
7904.00ns INFO [00000989] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
7928.00ns INFO [00000992] T0 IFETCH 00000CA0 tag=08 len=6 WIMG:0
7936.00ns INFO [00000993] C0: CP 0:000C40 1:000C44 0000000000000C40
7944.00ns INFO [00000994] WB Slave: RD @00000C80=8121000C
7944.00ns INFO [00000994] C0: CP 0:000C48 1:000C4C 0000000000000C48
7944.00ns INFO [00000994] C0: GPR Update: R09=0000000000010000
7952.00ns INFO [00000995] C0: CP 0:000C50 1:000C54 0000000000000C50
7952.00ns INFO [00000995] C0: GPR Update: R09=0000000000012000
7960.00ns INFO [00000996] WB Slave: RD @00000C84=39490004
7960.00ns INFO [00000996] C0: CP 0:000C58 0000000000000C58
7960.00ns INFO [00000996] C0: GPR Update: R09=0000000000001060
7976.00ns INFO [00000998] WB Slave: RD @00000C88=9141000C
7992.00ns INFO [00001000] ...tick...
7992.00ns INFO [00001000] WB Slave: RD @00000C8C=81410008
8008.00ns INFO [00001002] WB Slave: RD @00000C90=814A0000
8024.00ns INFO [00001004] WB Slave: RD @00000C94=91490000
8040.00ns INFO [00001006] WB Slave: RD @00000C98=81210008
8056.00ns INFO [00001008] WB Slave: RD @00000C9C=39290004
8072.00ns INFO [00001010] WB Slave: RD @00000CA0=91210008
8088.00ns INFO [00001012] WB Slave: RD @00000CA4=81410008
8104.00ns INFO [00001014] WB Slave: RD @00000CA8=3D200000
8120.00ns INFO [00001016] WB Slave: RD @00000CAC=39291038
8136.00ns INFO [00001018] WB Slave: RD @00000CB0=7C0A4840
8152.00ns INFO [00001020] WB Slave: RD @00000CB4=4180FFCC
8168.00ns INFO [00001022] WB Slave: RD @00000CB8=3D200002
8184.00ns INFO [00001024] WB Slave: RD @00000CBC=3929E008
8280.00ns INFO [00001036] T0 STORE 0001FFF0 tag=00 len=4 be=F0000000 data=000000007C0A48404180FFCC0000000000000000000000000000000000000000 WIMG:0
8312.00ns INFO [00001040] WB Slave: WR @0001FFF0 sel=F dat=00000000
8312.00ns INFO [00001040] Mem Update: @0001FFF0 XXXXXXXX->00000000
8344.00ns INFO [00001044] T0 STORE 0001FFC4 tag=00 len=4 be=0F000000 data=00000000000120007C0A48404180FFCC00000000000000000000000000000000 WIMG:0
8376.00ns INFO [00001048] WB Slave: WR @0001FFC4 sel=F dat=00012000
8376.00ns INFO [00001048] Mem Update: @0001FFC4 XXXXXXXX->00012000
8376.00ns INFO [00001048] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00000000 00012000 00000000 00000000 00000000 00000000 00000000 00000000 ...... .........................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
8408.00ns INFO [00001052] T0 STORE 0001FFC8 tag=00 len=4 be=00F00000 data=4180FFCC00000000000010607C0A484000000000000000000000000000000000 WIMG:0
8440.00ns INFO [00001056] WB Slave: WR @0001FFC8 sel=F dat=00001060
8440.00ns INFO [00001056] Mem Update: @0001FFC8 XXXXXXXX->00001060
8440.00ns INFO [00001056] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00000000 00012000 00001060 00000000 00000000 00000000 00000000 00000000 ...... ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
8464.00ns INFO [00001059] T0 LOAD 0001FFF0 tag=00 len=4 WIMG:0
8480.00ns INFO [00001061] WB Slave: RD @0001FFC0=00000000
8496.00ns INFO [00001063] WB Slave: RD @0001FFC4=00012000
8512.00ns INFO [00001065] WB Slave: RD @0001FFC8=00001060
8528.00ns INFO [00001067] WB Slave: RD @0001FFCC=00000000
8544.00ns INFO [00001069] WB Slave: RD @0001FFD0=00000000
8560.00ns INFO [00001071] WB Slave: RD @0001FFD4=00000000
8576.00ns INFO [00001073] WB Slave: RD @0001FFD8=00000000
8592.00ns INFO [00001075] WB Slave: RD @0001FFDC=00000000
8608.00ns INFO [00001077] WB Slave: RD @0001FFE0=00000000
8624.00ns INFO [00001079] WB Slave: RD @0001FFE4=00000000
8640.00ns INFO [00001081] WB Slave: RD @0001FFE8=00000000
8656.00ns INFO [00001083] WB Slave: RD @0001FFEC=00000000
8672.00ns INFO [00001085] WB Slave: RD @0001FFF0=00000000
8688.00ns INFO [00001087] WB Slave: RD @0001FFF4=00000000
8704.00ns INFO [00001089] WB Slave: RD @0001FFF8=00000000
8720.00ns INFO [00001091] WB Slave: RD @0001FFFC=00000518
8792.00ns INFO [00001100] ...tick...
8832.00ns INFO [00001105] C0: CP 0:000C5C 0000000000000C5C
8840.00ns INFO [00001106] C0: GPR Update: R09=0000000000000000
8856.00ns INFO [00001108] C0: CP 0:000C60 0000000000000C60
8864.00ns INFO [00001109] C0: CP 0:000C64 1:000C70 0000000000000C64
8864.00ns INFO [00001109] C0: CR Update: F0=2
8872.00ns INFO [00001110] C0: CP 0:000C74 1:000C78 0000000000000C74
8872.00ns INFO [00001110] C0: GPR Update: R09=0000000000000000
8880.00ns INFO [00001111] T0 IFETCH 00000CC0 tag=09 len=6 WIMG:0
8880.00ns INFO [00001111] C0: CP 0:000C7C 0000000000000C7C
8880.00ns INFO [00001111] C0: GPR Update: R09=0000000000001030
8896.00ns INFO [00001113] WB Slave: RD @00000CC0=91210008
8912.00ns INFO [00001115] WB Slave: RD @00000CC4=48000024
8928.00ns INFO [00001117] WB Slave: RD @00000CC8=81210008
8944.00ns INFO [00001119] WB Slave: RD @00000CCC=39490004
8960.00ns INFO [00001121] WB Slave: RD @00000CD0=91410008
8976.00ns INFO [00001123] WB Slave: RD @00000CD4=39400000
8992.00ns INFO [00001125] WB Slave: RD @00000CD8=91490000
9008.00ns INFO [00001127] WB Slave: RD @00000CDC=81210008
9024.00ns INFO [00001129] WB Slave: RD @00000CE0=39290004
9040.00ns INFO [00001131] WB Slave: RD @00000CE4=91210008
9056.00ns INFO [00001133] WB Slave: RD @00000CE8=81410008
9072.00ns INFO [00001135] WB Slave: RD @00000CEC=3D200002
9088.00ns INFO [00001137] WB Slave: RD @00000CF0=3929E028
9104.00ns INFO [00001139] WB Slave: RD @00000CF4=7C0A4840
9120.00ns INFO [00001141] WB Slave: RD @00000CF8=4180FFD0
9136.00ns INFO [00001143] WB Slave: RD @00000CFC=3D200000
9232.00ns INFO [00001155] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=000010303929E0287C0A48400000000000000000000000000000000000000000 WIMG:0
9264.00ns INFO [00001159] WB Slave: WR @0001FFC0 sel=F dat=00001030
9264.00ns INFO [00001159] Mem Update: @0001FFC0 XXXXXXXX->00001030
9264.00ns INFO [00001159] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00001030 00012000 00001060 00000000 00000000 00000000 00000000 00000000 ...0.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
9328.00ns INFO [00001167] C0: CP 0:000CA4 1:000CA8 0000000000000CA4
9336.00ns INFO [00001168] T0 LOAD 00001030 tag=00 len=4 WIMG:0
9336.00ns INFO [00001168] C0: CP 0:000CAC 1:000CB0 0000000000000CAC
9336.00ns INFO [00001168] C0: GPR Update: R09=0000000000000000
9336.00ns INFO [00001168] C0: GPR Update: R10=0000000000001030
9344.00ns INFO [00001169] C0: CP 0:000CB4 1:000C80 0000000000000CB4
9344.00ns INFO [00001169] C0: GPR Update: R09=0000000000001038
9344.00ns INFO [00001169] C0: CR Update: F0=8
9352.00ns INFO [00001170] WB Slave: RD @00001000=28090023
9352.00ns INFO [00001170] C0: CP 0:000C84 0000000000000C84
9352.00ns INFO [00001170] C0: GPR Update: R09=0000000000012000
9360.00ns INFO [00001171] C0: CP 0:000C88 1:000C8C 0000000000000C88
9360.00ns INFO [00001171] C0: GPR Update: R10=0000000000012004
9368.00ns INFO [00001172] WB Slave: RD @00001004=4081FF70
9368.00ns INFO [00001172] C0: GPR Update: R10=0000000000001030
9384.00ns INFO [00001174] WB Slave: RD @00001008=4BFFF7E9
9400.00ns INFO [00001176] WB Slave: RD @0000100C=48000000
9416.00ns INFO [00001178] WB Slave: RD @00001010=9421FFF0
9432.00ns INFO [00001180] WB Slave: RD @00001014=90610008
9448.00ns INFO [00001182] WB Slave: RD @00001018=9081000C
9464.00ns INFO [00001184] WB Slave: RD @0000101C=48000258
9480.00ns INFO [00001186] WB Slave: RD @00001020=60000000
9496.00ns INFO [00001188] WB Slave: RD @00001024=38210010
9512.00ns INFO [00001190] WB Slave: RD @00001028=4E800020
9528.00ns INFO [00001192] WB Slave: RD @0000102C=48000000
9544.00ns INFO [00001194] WB Slave: RD @00001030=0001C000
9560.00ns INFO [00001196] WB Slave: RD @00001034=00000000
9576.00ns INFO [00001198] WB Slave: RD @00001038=00000000
9592.00ns INFO [00001200] ...tick...
9592.00ns INFO [00001200] WB Slave: RD @0000103C=00000000
9688.00ns INFO [00001212] T0 STORE 0001FFC4 tag=00 len=4 be=0F000000 data=00000000000120040001C0000000000000000000000000000000000000000000 WIMG:0
9704.00ns INFO [00001214] C0: CP 0:000C90 0000000000000C90
9712.00ns INFO [00001215] C0: GPR Update: R10=000000000001C000
9720.00ns INFO [00001216] WB Slave: WR @0001FFC4 sel=F dat=00012004
9720.00ns INFO [00001216] Mem Update: @0001FFC4 00012000->00012004
9720.00ns INFO [00001216] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00001030 00012004 00001060 00000000 00000000 00000000 00000000 00000000 ...0.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
9736.00ns INFO [00001218] C0: CP 0:000C94 1:000C98 0000000000000C94
9744.00ns INFO [00001219] C0: CP 0:000C9C 1:000CA0 0000000000000C9C
9744.00ns INFO [00001219] C0: GPR Update: R09=0000000000001030
9752.00ns INFO [00001220] C0: GPR Update: R09=0000000000001034
9784.00ns INFO [00001224] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0000001C000000000000000000000000000000000000000000000000000 WIMG:0
9816.00ns INFO [00001228] WB Slave: WR @00012000 sel=F dat=0001C000
9816.00ns INFO [00001228] Mem Update: @00012000 XXXXXXXX->0001C000
9848.00ns INFO [00001232] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=000010340001C000000000000000000000000000000000000000000000000000 WIMG:0
9880.00ns INFO [00001236] WB Slave: WR @0001FFC0 sel=F dat=00001034
9880.00ns INFO [00001236] Mem Update: @0001FFC0 00001030->00001034
9880.00ns INFO [00001236] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00001034 00012004 00001060 00000000 00000000 00000000 00000000 00000000 ...4.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
9944.00ns INFO [00001244] C0: CP 0:000CA4 1:000CA8 0000000000000CA4
9952.00ns INFO [00001245] C0: CP 0:000CAC 1:000CB0 0000000000000CAC
9952.00ns INFO [00001245] C0: GPR Update: R09=0000000000000000
9952.00ns INFO [00001245] C0: GPR Update: R10=0000000000001034
9960.00ns INFO [00001246] C0: CP 0:000CB4 1:000C80 0000000000000CB4
9960.00ns INFO [00001246] C0: GPR Update: R09=0000000000001038
9960.00ns INFO [00001246] C0: CR Update: F0=8
9968.00ns INFO [00001247] C0: CP 0:000C84 1:000C88 0000000000000C84
9968.00ns INFO [00001247] C0: GPR Update: R09=0000000000012004
9976.00ns INFO [00001248] C0: CP 0:000C8C 0000000000000C8C
9976.00ns INFO [00001248] C0: GPR Update: R10=0000000000012008
9984.00ns INFO [00001249] C0: CP 0:000C90 0000000000000C90
9984.00ns INFO [00001249] C0: GPR Update: R10=0000000000001034
9992.00ns INFO [00001250] C0: GPR Update: R10=0000000000000000
10000.00ns INFO [00001251] C0: CP 0:000C94 1:000C98 0000000000000C94
10008.00ns INFO [00001252] T0 STORE 0001FFC4 tag=00 len=4 be=0F000000 data=00000000000120080001C0000000000000000000000000000000000000000000 WIMG:0
10008.00ns INFO [00001252] C0: CP 0:000C9C 1:000CA0 0000000000000C9C
10008.00ns INFO [00001252] C0: GPR Update: R09=0000000000001034
10016.00ns INFO [00001253] C0: GPR Update: R09=0000000000001038
10040.00ns INFO [00001256] WB Slave: WR @0001FFC4 sel=F dat=00012008
10040.00ns INFO [00001256] Mem Update: @0001FFC4 00012004->00012008
10040.00ns INFO [00001256] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00001034 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...4.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
10072.00ns INFO [00001260] T0 STORE 00012004 tag=00 len=4 be=0F000000 data=00000000000000000001C0000000000000000000000000000000000000000000 WIMG:0
10104.00ns INFO [00001264] WB Slave: WR @00012004 sel=F dat=00000000
10104.00ns INFO [00001264] Mem Update: @00012004 XXXXXXXX->00000000
10136.00ns INFO [00001268] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=000010380001C000000000000000000000000000000000000000000000000000 WIMG:0
10168.00ns INFO [00001272] WB Slave: WR @0001FFC0 sel=F dat=00001038
10168.00ns INFO [00001272] Mem Update: @0001FFC0 00001034->00001038
10168.00ns INFO [00001272] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 00001038 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...8.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
10232.00ns INFO [00001280] C0: CP 0:000CA4 1:000CA8 0000000000000CA4
10240.00ns INFO [00001281] C0: CP 0:000CAC 1:000CB0 0000000000000CAC
10240.00ns INFO [00001281] C0: GPR Update: R09=0000000000000000
10240.00ns INFO [00001281] C0: GPR Update: R10=0000000000001038
10248.00ns INFO [00001282] C0: CP 0:000CB4 0000000000000CB4
10248.00ns INFO [00001282] C0: GPR Update: R09=0000000000001038
10248.00ns INFO [00001282] C0: CR Update: F0=2
10368.00ns INFO [00001297] C0: CP 0:000CB8 1:000CBC 0000000000000CB8
10376.00ns INFO [00001298] C0: GPR Update: R09=000000000001E008
10392.00ns INFO [00001300] ...tick...
10400.00ns INFO [00001301] C0: CP 0:000CC0 1:000CC4 0000000000000CC0
10456.00ns INFO [00001308] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0080001C000000000000000000000000000000000000000000000000000 WIMG:0
10488.00ns INFO [00001312] WB Slave: WR @0001FFC0 sel=F dat=0001E008
10488.00ns INFO [00001312] Mem Update: @0001FFC0 00001038->0001E008
10488.00ns INFO [00001312] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E008 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...... ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
10552.00ns INFO [00001320] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
10560.00ns INFO [00001321] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
10560.00ns INFO [00001321] C0: GPR Update: R09=0000000000020000
10560.00ns INFO [00001321] C0: GPR Update: R10=000000000001E008
10568.00ns INFO [00001322] C0: GPR Update: R09=000000000001E028
10568.00ns INFO [00001322] C0: CR Update: F0=8
10576.00ns INFO [00001323] C0: CP 0:000CF8 1:000CC8 0000000000000CF8
10584.00ns INFO [00001324] C0: CP 0:000CCC 0000000000000CCC
10584.00ns INFO [00001324] C0: GPR Update: R09=000000000001E008
10592.00ns INFO [00001325] C0: CP 0:000CD0 1:000CD4 0000000000000CD0
10592.00ns INFO [00001325] C0: GPR Update: R10=000000000001E00C
10600.00ns INFO [00001326] C0: CP 0:000CD8 0000000000000CD8
10600.00ns INFO [00001326] C0: GPR Update: R10=0000000000000000
10632.00ns INFO [00001330] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E00C0001C000000000000000000000000000000000000000000000000000 WIMG:0
10664.00ns INFO [00001334] WB Slave: WR @0001FFC0 sel=F dat=0001E00C
10664.00ns INFO [00001334] Mem Update: @0001FFC0 0001E008->0001E00C
10664.00ns INFO [00001334] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E00C 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...... ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
10696.00ns INFO [00001338] T0 STORE 0001E008 tag=00 len=4 be=00F00000 data=0000000000000000000000000001C00000000000000000000000000000000000 WIMG:0
10728.00ns INFO [00001342] WB Slave: WR @0001E008 sel=F dat=00000000
10728.00ns INFO [00001342] Mem Update: @0001E008 XXXXXXXX->00000000
10736.00ns INFO [00001343] C0: CP 0:000CDC 0000000000000CDC
10744.00ns INFO [00001344] C0: GPR Update: R09=000000000001E00C
10752.00ns INFO [00001345] C0: CP 0:000CE0 0000000000000CE0
10760.00ns INFO [00001346] C0: GPR Update: R09=000000000001E010
10768.00ns INFO [00001347] C0: CP 0:000CE4 0000000000000CE4
10824.00ns INFO [00001354] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0100001C000000000000000000000000000000000000000000000000000 WIMG:0
10856.00ns INFO [00001358] WB Slave: WR @0001FFC0 sel=F dat=0001E010
10856.00ns INFO [00001358] Mem Update: @0001FFC0 0001E00C->0001E010
10856.00ns INFO [00001358] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E010 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...... ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
10920.00ns INFO [00001366] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
10928.00ns INFO [00001367] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
10928.00ns INFO [00001367] C0: GPR Update: R09=0000000000020000
10928.00ns INFO [00001367] C0: GPR Update: R10=000000000001E010
10936.00ns INFO [00001368] C0: CP 0:000CF8 1:000CC8 0000000000000CF8
10936.00ns INFO [00001368] C0: GPR Update: R09=000000000001E028
10936.00ns INFO [00001368] C0: CR Update: F0=8
10944.00ns INFO [00001369] C0: CP 0:000CCC 0000000000000CCC
10944.00ns INFO [00001369] C0: GPR Update: R09=000000000001E010
10952.00ns INFO [00001370] C0: CP 0:000CD0 1:000CD4 0000000000000CD0
10952.00ns INFO [00001370] C0: GPR Update: R10=000000000001E014
10960.00ns INFO [00001371] C0: CP 0:000CD8 0000000000000CD8
10960.00ns INFO [00001371] C0: GPR Update: R10=0000000000000000
11000.00ns INFO [00001376] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0140001C000000000000000000000000000000000000000000000000000 WIMG:0
11032.00ns INFO [00001380] WB Slave: WR @0001FFC0 sel=F dat=0001E014
11032.00ns INFO [00001380] Mem Update: @0001FFC0 0001E010->0001E014
11032.00ns INFO [00001380] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E014 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...... ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
11064.00ns INFO [00001384] T0 STORE 0001E010 tag=00 len=4 be=F0000000 data=000000000001C000000000000000000000000000000000000000000000000000 WIMG:0
11096.00ns INFO [00001388] WB Slave: WR @0001E010 sel=F dat=00000000
11096.00ns INFO [00001388] Mem Update: @0001E010 XXXXXXXX->00000000
11096.00ns INFO [00001388] C0: CP 0:000CDC 0000000000000CDC
11104.00ns INFO [00001389] C0: GPR Update: R09=000000000001E014
11112.00ns INFO [00001390] C0: CP 0:000CE0 0000000000000CE0
11120.00ns INFO [00001391] C0: GPR Update: R09=000000000001E018
11128.00ns INFO [00001392] C0: CP 0:000CE4 0000000000000CE4
11176.00ns INFO [00001398] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0180001C000000000000000000000000000000000000000000000000000 WIMG:0
11192.00ns INFO [00001400] ...tick...
11208.00ns INFO [00001402] WB Slave: WR @0001FFC0 sel=F dat=0001E018
11208.00ns INFO [00001402] Mem Update: @0001FFC0 0001E014->0001E018
11208.00ns INFO [00001402] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E018 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...... ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
11272.00ns INFO [00001410] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
11280.00ns INFO [00001411] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
11280.00ns INFO [00001411] C0: GPR Update: R09=0000000000020000
11280.00ns INFO [00001411] C0: GPR Update: R10=000000000001E018
11288.00ns INFO [00001412] C0: GPR Update: R09=000000000001E028
11288.00ns INFO [00001412] C0: CR Update: F0=8
11296.00ns INFO [00001413] C0: CP 0:000CF8 1:000CC8 0000000000000CF8
11304.00ns INFO [00001414] C0: CP 0:000CCC 1:000CD0 0000000000000CCC
11304.00ns INFO [00001414] C0: GPR Update: R09=000000000001E018
11312.00ns INFO [00001415] C0: CP 0:000CD4 1:000CD8 0000000000000CD4
11312.00ns INFO [00001415] C0: GPR Update: R10=000000000001E01C
11320.00ns INFO [00001416] C0: GPR Update: R10=0000000000000000
11352.00ns INFO [00001420] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E01C0001C000000000000000000000000000000000000000000000000000 WIMG:0
11384.00ns INFO [00001424] WB Slave: WR @0001FFC0 sel=F dat=0001E01C
11384.00ns INFO [00001424] Mem Update: @0001FFC0 0001E018->0001E01C
11384.00ns INFO [00001424] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E01C 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...... ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
11416.00ns INFO [00001428] T0 STORE 0001E018 tag=00 len=4 be=00F00000 data=0000000000000000000000000001C00000000000000000000000000000000000 WIMG:0
11448.00ns INFO [00001432] WB Slave: WR @0001E018 sel=F dat=00000000
11448.00ns INFO [00001432] Mem Update: @0001E018 XXXXXXXX->00000000
11448.00ns INFO [00001432] C0: CP 0:000CDC 0000000000000CDC
11456.00ns INFO [00001433] C0: CP 0:000CE0 0000000000000CE0
11456.00ns INFO [00001433] C0: GPR Update: R09=000000000001E01C
11464.00ns INFO [00001434] C0: GPR Update: R09=000000000001E020
11480.00ns INFO [00001436] C0: CP 0:000CE4 0000000000000CE4
11528.00ns INFO [00001442] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0200001C000000000000000000000000000000000000000000000000000 WIMG:0
11560.00ns INFO [00001446] WB Slave: WR @0001FFC0 sel=F dat=0001E020
11560.00ns INFO [00001446] Mem Update: @0001FFC0 0001E01C->0001E020
11560.00ns INFO [00001446] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E020 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ... .. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
11624.00ns INFO [00001454] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
11632.00ns INFO [00001455] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
11632.00ns INFO [00001455] C0: GPR Update: R09=0000000000020000
11632.00ns INFO [00001455] C0: GPR Update: R10=000000000001E020
11640.00ns INFO [00001456] C0: GPR Update: R09=000000000001E028
11640.00ns INFO [00001456] C0: CR Update: F0=8
11648.00ns INFO [00001457] C0: CP 0:000CF8 1:000CC8 0000000000000CF8
11656.00ns INFO [00001458] C0: CP 0:000CCC 1:000CD0 0000000000000CCC
11656.00ns INFO [00001458] C0: GPR Update: R09=000000000001E020
11664.00ns INFO [00001459] C0: CP 0:000CD4 1:000CD8 0000000000000CD4
11664.00ns INFO [00001459] C0: GPR Update: R10=000000000001E024
11672.00ns INFO [00001460] C0: GPR Update: R10=0000000000000000
11704.00ns INFO [00001464] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0240001C000000000000000000000000000000000000000000000000000 WIMG:0
11736.00ns INFO [00001468] WB Slave: WR @0001FFC0 sel=F dat=0001E024
11736.00ns INFO [00001468] Mem Update: @0001FFC0 0001E020->0001E024
11736.00ns INFO [00001468] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E024 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...$.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
11768.00ns INFO [00001472] T0 STORE 0001E020 tag=00 len=4 be=F0000000 data=000000000001C000000000000000000000000000000000000000000000000000 WIMG:0
11800.00ns INFO [00001476] WB Slave: WR @0001E020 sel=F dat=00000000
11800.00ns INFO [00001476] Mem Update: @0001E020 XXXXXXXX->00000000
11800.00ns INFO [00001476] C0: CP 0:000CDC 0000000000000CDC
11808.00ns INFO [00001477] C0: GPR Update: R09=000000000001E024
11816.00ns INFO [00001478] C0: CP 0:000CE0 0000000000000CE0
11824.00ns INFO [00001479] C0: GPR Update: R09=000000000001E028
11832.00ns INFO [00001480] C0: CP 0:000CE4 0000000000000CE4
11880.00ns INFO [00001486] T0 STORE 0001FFC0 tag=00 len=4 be=F0000000 data=0001E0280001C000000000000000000000000000000000000000000000000000 WIMG:0
11912.00ns INFO [00001490] WB Slave: WR @0001FFC0 sel=F dat=0001E028
11912.00ns INFO [00001490] Mem Update: @0001FFC0 0001E024->0001E028
11912.00ns INFO [00001490] Stack:
0001FFA0: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
11976.00ns INFO [00001498] C0: CP 0:000CE8 1:000CEC 0000000000000CE8
11984.00ns INFO [00001499] C0: CP 0:000CF0 1:000CF4 0000000000000CF0
11984.00ns INFO [00001499] C0: GPR Update: R09=0000000000020000
11984.00ns INFO [00001499] C0: GPR Update: R10=000000000001E028
11992.00ns INFO [00001500] ...tick...
11992.00ns INFO [00001500] C0: CP 0:000CF8 0000000000000CF8
11992.00ns INFO [00001500] C0: GPR Update: R09=000000000001E028
11992.00ns INFO [00001500] C0: CR Update: F0=2
12048.00ns INFO [00001507] T0 IFETCH 00000D00 tag=08 len=6 WIMG:0
12064.00ns INFO [00001509] WB Slave: RD @00000D00=38690C00
12080.00ns INFO [00001511] WB Slave: RD @00000D04=48002B3D
12096.00ns INFO [00001513] WB Slave: RD @00000D08=38600077
12112.00ns INFO [00001515] WB Slave: RD @00000D0C=48002B85
12112.00ns INFO [00001515] C0: CP 0:000CFC 0000000000000CFC
12120.00ns INFO [00001516] C0: GPR Update: R09=0000000000000000
12128.00ns INFO [00001517] WB Slave: RD @00000D10=38600074
12144.00ns INFO [00001519] WB Slave: RD @00000D14=48002B7D
12160.00ns INFO [00001521] WB Slave: RD @00000D18=38600066
12176.00ns INFO [00001523] WB Slave: RD @00000D1C=48002B75
12192.00ns INFO [00001525] WB Slave: RD @00000D20=3860000A
12208.00ns INFO [00001527] WB Slave: RD @00000D24=48002B6D
12224.00ns INFO [00001529] WB Slave: RD @00000D28=80810038
12240.00ns INFO [00001531] WB Slave: RD @00000D2C=3D200000
12256.00ns INFO [00001533] WB Slave: RD @00000D30=386908F0
12272.00ns INFO [00001535] WB Slave: RD @00000D34=4CC63182
12288.00ns INFO [00001537] WB Slave: RD @00000D38=48002D61
12304.00ns INFO [00001539] WB Slave: RD @00000D3C=3D200300
12456.00ns INFO [00001558] C0: CP 0:000D00 1:000D04 0000000000000D00
12464.00ns INFO [00001559] T0 IFETCH 00000D40 tag=09 len=6 WIMG:0
12464.00ns INFO [00001559] C0: GPR Update: R03=0000000000000C00
12464.00ns INFO [00001559] C0: LR Update:0000000000000D08
12480.00ns INFO [00001561] WB Slave: RD @00000D40=91210034
12496.00ns INFO [00001563] WB Slave: RD @00000D44=81210034
12512.00ns INFO [00001565] WB Slave: RD @00000D48=7D334BA6
12528.00ns INFO [00001567] WB Slave: RD @00000D4C=60000000
12544.00ns INFO [00001569] WB Slave: RD @00000D50=39200000
12560.00ns INFO [00001571] WB Slave: RD @00000D54=91210030
12576.00ns INFO [00001573] WB Slave: RD @00000D58=81210030
12592.00ns INFO [00001575] WB Slave: RD @00000D5C=7D3603A6
12608.00ns INFO [00001577] WB Slave: RD @00000D60=60000000
12624.00ns INFO [00001579] WB Slave: RD @00000D64=39200000
12640.00ns INFO [00001581] WB Slave: RD @00000D68=9121002C
12656.00ns INFO [00001583] WB Slave: RD @00000D6C=8121002C
12672.00ns INFO [00001585] WB Slave: RD @00000D70=7D3D43A6
12688.00ns INFO [00001587] WB Slave: RD @00000D74=60000000
12704.00ns INFO [00001589] WB Slave: RD @00000D78=39200000
12720.00ns INFO [00001591] WB Slave: RD @00000D7C=91210028
12792.00ns INFO [00001600] ...tick...
12880.00ns INFO [00001611] T0 IFETCH 00003840 tag=08 len=6 WIMG:0
12896.00ns INFO [00001613] WB Slave: RD @00003840=9421FFF0
12912.00ns INFO [00001615] WB Slave: RD @00003844=90610008
12928.00ns INFO [00001617] WB Slave: RD @00003848=3D200002
12944.00ns INFO [00001619] WB Slave: RD @0000384C=81410008
12960.00ns INFO [00001621] WB Slave: RD @00003850=9149E018
12976.00ns INFO [00001623] WB Slave: RD @00003854=60000000
12992.00ns INFO [00001625] WB Slave: RD @00003858=38210010
13008.00ns INFO [00001627] WB Slave: RD @0000385C=4E800020
13024.00ns INFO [00001629] WB Slave: RD @00003860=9421FFF0
13040.00ns INFO [00001631] WB Slave: RD @00003864=90610008
13056.00ns INFO [00001633] WB Slave: RD @00003868=9081000C
13072.00ns INFO [00001635] WB Slave: RD @0000386C=3D200002
13088.00ns INFO [00001637] WB Slave: RD @00003870=81410008
13104.00ns INFO [00001639] WB Slave: RD @00003874=9149E01C
13120.00ns INFO [00001641] WB Slave: RD @00003878=3D200002
13136.00ns INFO [00001643] WB Slave: RD @0000387C=8141000C
13296.00ns INFO [00001663] T0 IFETCH 00003880 tag=09 len=6 WIMG:0
13312.00ns INFO [00001665] WB Slave: RD @00003880=9149E020
13312.00ns INFO [00001665] C0: CP 0:003840 0000000000003840
13320.00ns INFO [00001666] C0: GPR Update: R01=000000000001FFA8
13328.00ns INFO [00001667] WB Slave: RD @00003884=60000000
13344.00ns INFO [00001669] WB Slave: RD @00003888=38210010
13344.00ns INFO [00001669] C0: CP 0:003844 1:003848 0000000000003844
13352.00ns INFO [00001670] C0: GPR Update: R09=0000000000020000
13360.00ns INFO [00001671] WB Slave: RD @0000388C=4E800020
13376.00ns INFO [00001673] WB Slave: RD @00003890=9421FFF0
13392.00ns INFO [00001675] WB Slave: RD @00003894=7C0802A6
13408.00ns INFO [00001677] WB Slave: RD @00003898=90010014
13424.00ns INFO [00001679] WB Slave: RD @0000389C=90610008
13440.00ns INFO [00001681] WB Slave: RD @000038A0=3D200002
13456.00ns INFO [00001683] WB Slave: RD @000038A4=8129E018
13472.00ns INFO [00001685] WB Slave: RD @000038A8=2C090000
13488.00ns INFO [00001687] WB Slave: RD @000038AC=41820020
13504.00ns INFO [00001689] WB Slave: RD @000038B0=3D200002
13520.00ns INFO [00001691] WB Slave: RD @000038B4=8129E018
13536.00ns INFO [00001693] WB Slave: RD @000038B8=81410008
13552.00ns INFO [00001695] WB Slave: RD @000038BC=554A063E
13592.00ns INFO [00001700] ...tick...
13648.00ns INFO [00001707] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=8129E018000000000001FFB83D20000200000000000000000000000000000000 WIMG:0
13680.00ns INFO [00001711] WB Slave: WR @0001FFA8 sel=F dat=0001FFB8
13680.00ns INFO [00001711] Mem Update: @0001FFA8 XXXXXXXX->0001FFB8
13680.00ns INFO [00001711] Stack:
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000000 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13712.00ns INFO [00001715] T0 STORE 0001FFB0 tag=00 len=4 be=F0000000 data=00000C003D2000028129E0180000000000000000000000000000000000000000 WIMG:0
13744.00ns INFO [00001719] WB Slave: WR @0001FFB0 sel=F dat=00000C00
13744.00ns INFO [00001719] Mem Update: @0001FFB0 XXXXXXXX->00000C00
13744.00ns INFO [00001719] Stack:
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000C00 00000000 0001FFF8 00000000 ................................
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
13768.00ns INFO [00001722] T0 LOAD 0001FFB0 tag=00 len=4 WIMG:0
13784.00ns INFO [00001724] WB Slave: RD @0001FF80=00000000
13800.00ns INFO [00001726] WB Slave: RD @0001FF84=00000000
13816.00ns INFO [00001728] WB Slave: RD @0001FF88=00000000
13832.00ns INFO [00001730] WB Slave: RD @0001FF8C=00000000
13848.00ns INFO [00001732] WB Slave: RD @0001FF90=00000000
13864.00ns INFO [00001734] WB Slave: RD @0001FF94=00000000
13880.00ns INFO [00001736] WB Slave: RD @0001FF98=00000000
13896.00ns INFO [00001738] WB Slave: RD @0001FF9C=00000000
13912.00ns INFO [00001740] WB Slave: RD @0001FFA0=00000000
13928.00ns INFO [00001742] WB Slave: RD @0001FFA4=00000000
13944.00ns INFO [00001744] WB Slave: RD @0001FFA8=0001FFB8
13960.00ns INFO [00001746] WB Slave: RD @0001FFAC=00000000
13976.00ns INFO [00001748] WB Slave: RD @0001FFB0=00000C00
13992.00ns INFO [00001750] WB Slave: RD @0001FFB4=00000000
14008.00ns INFO [00001752] WB Slave: RD @0001FFB8=0001FFF8
14024.00ns INFO [00001754] WB Slave: RD @0001FFBC=00000000
14136.00ns INFO [00001768] C0: CP 0:00384C 000000000000384C
14144.00ns INFO [00001769] C0: GPR Update: R10=0000000000000C00
14168.00ns INFO [00001772] C0: CP 0:003850 1:003854 0000000000003850
14176.00ns INFO [00001773] C0: CP 0:003858 1:00385C 0000000000003858
14184.00ns INFO [00001774] T0 IFETCH 000038C0 tag=08 len=6 WIMG:0
14184.00ns INFO [00001774] C0: CP 0:000D08 1:000D0C 0000000000000D08
14184.00ns INFO [00001774] C0: GPR Update: R01=000000000001FFB8
14192.00ns INFO [00001775] C0: CP 0:003890 1:003894 0000000000003890
14192.00ns INFO [00001775] C0: GPR Update: R03=0000000000000077
14192.00ns INFO [00001775] C0: LR Update:0000000000000D10
14200.00ns INFO [00001776] WB Slave: RD @000038C0=7D435378
14200.00ns INFO [00001776] C0: CP 0:003898 1:00389C 0000000000003898
14200.00ns INFO [00001776] C0: GPR Update: R00=0000000000000D10
14200.00ns INFO [00001776] C0: GPR Update: R01=000000000001FFA8
14208.00ns INFO [00001777] C0: CP 0:0038A0 00000000000038A0
14216.00ns INFO [00001778] WB Slave: RD @000038C4=7D2903A6
14216.00ns INFO [00001778] C0: GPR Update: R09=0000000000020000
14232.00ns INFO [00001780] WB Slave: RD @000038C8=4E800421
14248.00ns INFO [00001782] WB Slave: RD @000038CC=81210008
14264.00ns INFO [00001784] WB Slave: RD @000038D0=7D234B78
14280.00ns INFO [00001786] WB Slave: RD @000038D4=80010014
14296.00ns INFO [00001788] WB Slave: RD @000038D8=7C0803A6
14312.00ns INFO [00001790] WB Slave: RD @000038DC=38210010
14328.00ns INFO [00001792] WB Slave: RD @000038E0=4E800020
14344.00ns INFO [00001794] WB Slave: RD @000038E4=9421FFF0
14360.00ns INFO [00001796] WB Slave: RD @000038E8=7C0802A6
14376.00ns INFO [00001798] WB Slave: RD @000038EC=90010014
14392.00ns INFO [00001800] ...tick...
14392.00ns INFO [00001800] WB Slave: RD @000038F0=3D200002
14408.00ns INFO [00001802] WB Slave: RD @000038F4=8129E020
14424.00ns INFO [00001804] WB Slave: RD @000038F8=2C090000
14440.00ns INFO [00001806] WB Slave: RD @000038FC=4182FFF4
14536.00ns INFO [00001818] T0 STORE 0001E018 tag=00 len=4 be=00F00000 data=8129E0200000000000000C003D20000200000000000000000000000000000000 WIMG:0
14568.00ns INFO [00001822] WB Slave: WR @0001E018 sel=F dat=00000C00
14568.00ns INFO [00001822] Mem Update: @0001E018 00000000->00000C00
14616.00ns INFO [00001828] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=8129E020000000000001FFB83D20000200000000000000000000000000000000 WIMG:0
14648.00ns INFO [00001832] WB Slave: WR @0001FFA8 sel=F dat=0001FFB8
14648.00ns INFO [00001832] Mem Update: @0001FFA8 0001FFB8->0001FFB8
14680.00ns INFO [00001836] T0 STORE 0001FFBC tag=00 len=4 be=000F0000 data=3D2000028129E0200000000000000D1000000000000000000000000000000000 WIMG:0
14712.00ns INFO [00001840] WB Slave: WR @0001FFBC sel=F dat=00000D10
14712.00ns INFO [00001840] Mem Update: @0001FFBC XXXXXXXX->00000D10
14712.00ns INFO [00001840] Stack:
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000C00 00000000 0001FFF8 00000D10 ................................
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14744.00ns INFO [00001844] T0 STORE 0001FFB0 tag=00 len=4 be=F0000000 data=000000773D2000028129E0200000000000000000000000000000000000000000 WIMG:0
14776.00ns INFO [00001848] WB Slave: WR @0001FFB0 sel=F dat=00000077
14776.00ns INFO [00001848] Mem Update: @0001FFB0 00000C00->00000077
14776.00ns INFO [00001848] Stack:
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000077 00000000 0001FFF8 00000D10 ...................w............
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
14800.00ns INFO [00001851] T0 LOAD 0001E018 tag=00 len=4 WIMG:0
14816.00ns INFO [00001853] WB Slave: RD @0001E000=00000000
14832.00ns INFO [00001855] WB Slave: RD @0001E004=00000000
14848.00ns INFO [00001857] WB Slave: RD @0001E008=00000000
14864.00ns INFO [00001859] WB Slave: RD @0001E00C=00000000
14880.00ns INFO [00001861] WB Slave: RD @0001E010=00000000
14896.00ns INFO [00001863] WB Slave: RD @0001E014=00000000
14912.00ns INFO [00001865] WB Slave: RD @0001E018=00000C00
14928.00ns INFO [00001867] WB Slave: RD @0001E01C=00000000
14944.00ns INFO [00001869] WB Slave: RD @0001E020=00000000
14960.00ns INFO [00001871] WB Slave: RD @0001E024=00000000
14976.00ns INFO [00001873] WB Slave: RD @0001E028=00000000
14992.00ns INFO [00001875] WB Slave: RD @0001E02C=00000000
15008.00ns INFO [00001877] WB Slave: RD @0001E030=00000000
15024.00ns INFO [00001879] WB Slave: RD @0001E034=00000000
15040.00ns INFO [00001881] WB Slave: RD @0001E038=00000000
15056.00ns INFO [00001883] WB Slave: RD @0001E03C=00000000
15152.00ns INFO [00001895] C0: CP 0:0038A4 00000000000038A4
15160.00ns INFO [00001896] C0: GPR Update: R09=0000000000000C00
15176.00ns INFO [00001898] C0: CP 0:0038A8 00000000000038A8
15184.00ns INFO [00001899] C0: CP 0:0038AC 00000000000038AC
15184.00ns INFO [00001899] C0: CR Update: F0=4
15192.00ns INFO [00001900] ...tick...
15216.00ns INFO [00001903] T0 IFETCH 00003900 tag=09 len=6 WIMG:0
15232.00ns INFO [00001905] WB Slave: RD @00003900=3D200002
15248.00ns INFO [00001907] WB Slave: RD @00003904=8129E020
15264.00ns INFO [00001909] WB Slave: RD @00003908=7D2903A6
15280.00ns INFO [00001911] WB Slave: RD @0000390C=4E800421
15296.00ns INFO [00001913] WB Slave: RD @00003910=7C691B78
15304.00ns INFO [00001914] C0: CP 0:0038B0 00000000000038B0
15312.00ns INFO [00001915] WB Slave: RD @00003914=2C090000
15312.00ns INFO [00001915] C0: GPR Update: R09=0000000000020000
15328.00ns INFO [00001917] WB Slave: RD @00003918=4182FFD8
15344.00ns INFO [00001919] WB Slave: RD @0000391C=3D200002
15352.00ns INFO [00001920] C0: CP 0:0038B4 00000000000038B4
15360.00ns INFO [00001921] WB Slave: RD @00003920=8129E01C
15360.00ns INFO [00001921] C0: CP 0:0038B8 1:0038BC 00000000000038B8
15360.00ns INFO [00001921] C0: GPR Update: R09=0000000000000C00
15368.00ns INFO [00001922] C0: CP 0:0038C0 1:0038C4 00000000000038C0
15368.00ns INFO [00001922] C0: GPR Update: R10=0000000000000077
15376.00ns INFO [00001923] WB Slave: RD @00003924=7D2903A6
15376.00ns INFO [00001923] C0: CP 0:0038C8 00000000000038C8
15376.00ns INFO [00001923] C0: GPR Update: R03=0000000000000077
15376.00ns INFO [00001923] C0: CTR Update:0000000000000C00
15384.00ns INFO [00001924] C0: LR Update:00000000000038CC
15392.00ns INFO [00001925] WB Slave: RD @00003928=4E800421
15408.00ns INFO [00001927] WB Slave: RD @0000392C=7C691B78
15424.00ns INFO [00001929] WB Slave: RD @00003930=7D234B78
15440.00ns INFO [00001931] WB Slave: RD @00003934=80010014
15456.00ns INFO [00001933] WB Slave: RD @00003938=7C0803A6
15472.00ns INFO [00001935] WB Slave: RD @0000393C=38210010
15512.00ns INFO [00001940] C0: CP 0:000C00 1:000C04 0000000000000C00
15520.00ns INFO [00001941] C0: GPR Update: R01=000000000001FF98
15520.00ns INFO [00001941] C0: GPR Update: R09=0000000000000077
15544.00ns INFO [00001944] C0: CP 0:000C08 1:000C0C 0000000000000C08
15552.00ns INFO [00001945] C0: GPR Update: R09=0000000000010000
15568.00ns INFO [00001947] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=80010014000000000001FFA87D234B7800000000000000000000000000000000 WIMG:0
15600.00ns INFO [00001951] WB Slave: WR @0001FF98 sel=F dat=0001FFA8
15600.00ns INFO [00001951] Mem Update: @0001FF98 XXXXXXXX->0001FFA8
15600.00ns INFO [00001951] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 00000000 00000000 0001FFB8 00000000 00000077 00000000 0001FFF8 00000D10 ...................w............
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
15632.00ns INFO [00001955] T0 STORE 0001FFA0 tag=00 len=1 be=80000000 data=777D234B78800100140000000000000000000000000000000000000000000000 WIMG:0
15664.00ns INFO [00001959] WB Slave: WR @0001FFA0 sel=8 dat=777D234B
15664.00ns INFO [00001959] Mem Update: @0001FFA0 XXXXXXXX->77000000
15664.00ns INFO [00001959] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 77000000 00000000 0001FFB8 00000000 00000077 00000000 0001FFF8 00000D10 w..................w............
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
15688.00ns INFO [00001962] T0 LOAD 00012000 tag=00 len=4 WIMG:0
15704.00ns INFO [00001964] WB Slave: RD @00012000=0001C000
15720.00ns INFO [00001966] WB Slave: RD @00012004=00000000
15736.00ns INFO [00001968] WB Slave: RD @00012008=00000000
15752.00ns INFO [00001970] WB Slave: RD @0001200C=00000000
15768.00ns INFO [00001972] WB Slave: RD @00012010=00000000
15784.00ns INFO [00001974] WB Slave: RD @00012014=00000000
15800.00ns INFO [00001976] WB Slave: RD @00012018=00000000
15816.00ns INFO [00001978] WB Slave: RD @0001201C=00000000
15832.00ns INFO [00001980] WB Slave: RD @00012020=00000000
15848.00ns INFO [00001982] WB Slave: RD @00012024=00000000
15864.00ns INFO [00001984] WB Slave: RD @00012028=00000000
15880.00ns INFO [00001986] WB Slave: RD @0001202C=00000000
15896.00ns INFO [00001988] WB Slave: RD @00012030=00000000
15912.00ns INFO [00001990] WB Slave: RD @00012034=00000000
15928.00ns INFO [00001992] WB Slave: RD @00012038=00000000
15944.00ns INFO [00001994] WB Slave: RD @0001203C=00000000
15992.00ns INFO [00002000] ...tick...
16032.00ns INFO [00002005] C0: CP 0:000C10 0000000000000C10
16040.00ns INFO [00002006] C0: GPR Update: R09=000000000001C000
16056.00ns INFO [00002008] C0: CP 0:000C14 1:000C18 0000000000000C14
16064.00ns INFO [00002009] C0: GPR Update: R08=000000000001C001
16064.00ns INFO [00002009] C0: GPR Update: R10=0000000000010000
16072.00ns INFO [00002010] C0: CP 0:000C1C 1:000C20 0000000000000C1C
16080.00ns INFO [00002011] C0: CP 0:000C24 1:000C28 0000000000000C24
16080.00ns INFO [00002011] C0: GPR Update: R10=0000000000000077
16088.00ns INFO [00002012] C0: CP 0:000C2C 1:000C30 0000000000000C2C
16096.00ns INFO [00002013] C0: CP 0:0038CC 1:0038D0 00000000000038CC
16096.00ns INFO [00002013] C0: GPR Update: R01=000000000001FFA8
16104.00ns INFO [00002014] C0: CP 0:0038D4 1:0038D8 00000000000038D4
16104.00ns INFO [00002014] C0: GPR Update: R03=0000000000000077
16104.00ns INFO [00002014] C0: GPR Update: R09=0000000000000077
16112.00ns INFO [00002015] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C00100000000000000000000000000000000000000000000000000000000 WIMG:0
16112.00ns INFO [00002015] C0: CP 0:0038DC 1:0038E0 00000000000038DC
16112.00ns INFO [00002015] C0: GPR Update: R00=0000000000000D10
16112.00ns INFO [00002015] C0: LR Update:0000000000000D10
16120.00ns INFO [00002016] C0: GPR Update: R01=000000000001FFB8
16144.00ns INFO [00002019] WB Slave: WR @00012000 sel=F dat=0001C001
16144.00ns INFO [00002019] Mem Update: @00012000 0001C000->0001C001
16176.00ns INFO [00002023] T0 STORE 0001C000 tag=00 len=1 be=80000000 data=7700000000000000000000000000000000000000000000000000000000000000 WIMG:0
16208.00ns INFO [00002027] WB Slave: WR @0001C000 sel=8 dat=77000000
16208.00ns INFO [00002027] Mem Update: @0001C000 XXXXXXXX->77000000
16208.00ns INFO [00002027] Print buffer:
0001C000: 77000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 w...............................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
16224.00ns INFO [00002029] C0: CP 0:000D10 1:000D14 0000000000000D10
16232.00ns INFO [00002030] C0: GPR Update: R03=0000000000000074
16232.00ns INFO [00002030] C0: LR Update:0000000000000D18
16256.00ns INFO [00002033] C0: CP 0:003890 0000000000003890
16264.00ns INFO [00002034] C0: CP 0:003894 0000000000003894
16264.00ns INFO [00002034] C0: GPR Update: R01=000000000001FFA8
16272.00ns INFO [00002035] C0: GPR Update: R00=0000000000000D18
16288.00ns INFO [00002037] C0: CP 0:003898 0000000000003898
16296.00ns INFO [00002038] C0: CP 0:00389C 1:0038A0 000000000000389C
16304.00ns INFO [00002039] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=00000000000000000001FFB80000000000000000000000000000000000000000 WIMG:0
16304.00ns INFO [00002039] C0: GPR Update: R09=0000000000020000
16312.00ns INFO [00002040] C0: CP 0:0038A4 00000000000038A4
16320.00ns INFO [00002041] C0: CP 0:0038A8 00000000000038A8
16320.00ns INFO [00002041] C0: GPR Update: R09=0000000000000C00
16328.00ns INFO [00002042] C0: CP 0:0038AC 00000000000038AC
16328.00ns INFO [00002042] C0: CR Update: F0=4
16336.00ns INFO [00002043] WB Slave: WR @0001FFA8 sel=F dat=0001FFB8
16336.00ns INFO [00002043] Mem Update: @0001FFA8 0001FFB8->0001FFB8
16368.00ns INFO [00002047] T0 STORE 0001FFBC tag=00 len=4 be=000F0000 data=00000000000000000000000000000D1800000000000000000000000000000000 WIMG:0
16400.00ns INFO [00002051] WB Slave: WR @0001FFBC sel=F dat=00000D18
16400.00ns INFO [00002051] Mem Update: @0001FFBC 00000D10->00000D18
16400.00ns INFO [00002051] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 77000000 00000000 0001FFB8 00000000 00000077 00000000 0001FFF8 00000D18 w..................w............
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16432.00ns INFO [00002055] T0 STORE 0001FFB0 tag=00 len=4 be=F0000000 data=0000007400000000000000000000000000000000000000000000000000000000 WIMG:0
16448.00ns INFO [00002057] C0: CP 0:0038B0 00000000000038B0
16456.00ns INFO [00002058] C0: GPR Update: R09=0000000000020000
16464.00ns INFO [00002059] WB Slave: WR @0001FFB0 sel=F dat=00000074
16464.00ns INFO [00002059] Mem Update: @0001FFB0 00000077->00000074
16464.00ns INFO [00002059] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 77000000 00000000 0001FFB8 00000000 00000074 00000000 0001FFF8 00000D18 w..................t............
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
16496.00ns INFO [00002063] C0: CP 0:0038B4 00000000000038B4
16504.00ns INFO [00002064] C0: GPR Update: R09=0000000000000C00
16552.00ns INFO [00002070] T0 IFETCH 00003A90 tag=08 len=6 WIMG:0
16552.00ns INFO [00002070] C0: CP 0:0038B8 1:0038BC 00000000000038B8
16560.00ns INFO [00002071] C0: GPR Update: R10=0000000000000074
16568.00ns INFO [00002072] WB Slave: RD @00003A80=81210008
16568.00ns INFO [00002072] C0: CP 0:0038C0 1:0038C4 00000000000038C0
16576.00ns INFO [00002073] C0: CP 0:0038C8 1:000C00 00000000000038C8
16576.00ns INFO [00002073] C0: GPR Update: R03=0000000000000074
16576.00ns INFO [00002073] C0: CTR Update:0000000000000C00
16584.00ns INFO [00002074] WB Slave: RD @00003A84=7D234B78
16584.00ns INFO [00002074] C0: CP 0:000C04 0000000000000C04
16584.00ns INFO [00002074] C0: GPR Update: R01=000000000001FF98
16584.00ns INFO [00002074] C0: LR Update:00000000000038CC
16592.00ns INFO [00002075] C0: GPR Update: R09=0000000000000074
16600.00ns INFO [00002076] WB Slave: RD @00003A88=80010124
16616.00ns INFO [00002078] WB Slave: RD @00003A8C=7C0803A6
16616.00ns INFO [00002078] C0: CP 0:000C08 1:000C0C 0000000000000C08
16624.00ns INFO [00002079] C0: CP 0:000C10 1:000C14 0000000000000C10
16624.00ns INFO [00002079] C0: GPR Update: R09=0000000000010000
16632.00ns INFO [00002080] WB Slave: RD @00003A90=38210120
16632.00ns INFO [00002080] C0: CP 0:000C18 1:000C1C 0000000000000C18
16632.00ns INFO [00002080] C0: GPR Update: R08=000000000001C002
16632.00ns INFO [00002080] C0: GPR Update: R09=000000000001C001
16640.00ns INFO [00002081] C0: GPR Update: R10=0000000000010000
16648.00ns INFO [00002082] WB Slave: RD @00003A94=4E800020
16664.00ns INFO [00002084] WB Slave: RD @00003A98=9421FF80
16680.00ns INFO [00002086] WB Slave: RD @00003A9C=7C0802A6
16696.00ns INFO [00002088] WB Slave: RD @00003AA0=90010084
16712.00ns INFO [00002090] WB Slave: RD @00003AA4=90610018
16728.00ns INFO [00002092] WB Slave: RD @00003AA8=90810024
16744.00ns INFO [00002094] WB Slave: RD @00003AAC=90A10028
16760.00ns INFO [00002096] WB Slave: RD @00003AB0=90C1002C
16776.00ns INFO [00002098] WB Slave: RD @00003AB4=90E10030
16792.00ns INFO [00002100] ...tick...
16792.00ns INFO [00002100] WB Slave: RD @00003AB8=91010034
16808.00ns INFO [00002102] WB Slave: RD @00003ABC=91210038
16904.00ns INFO [00002114] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=90E10030000000000001FFA890C1002C00000000000000000000000000000000 WIMG:0
16936.00ns INFO [00002118] WB Slave: WR @0001FF98 sel=F dat=0001FFA8
16936.00ns INFO [00002118] Mem Update: @0001FF98 0001FFA8->0001FFA8
16968.00ns INFO [00002122] T0 STORE 0001FFA0 tag=00 len=1 be=80000000 data=7490C1002C90E100300000000000000000000000000000000000000000000000 WIMG:0
17000.00ns INFO [00002126] WB Slave: WR @0001FFA0 sel=8 dat=7490C100
17000.00ns INFO [00002126] Mem Update: @0001FFA0 77000000->74000000
17000.00ns INFO [00002126] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 74000000 00000000 0001FFB8 00000000 00000074 00000000 0001FFF8 00000D18 t..................t............
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17032.00ns INFO [00002130] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C00290C1002C90E100300000000000000000000000000000000000000000 WIMG:0
17064.00ns INFO [00002134] WB Slave: WR @00012000 sel=F dat=0001C002
17064.00ns INFO [00002134] Mem Update: @00012000 0001C001->0001C002
17064.00ns INFO [00002134] C0: CP 0:000C20 0000000000000C20
17072.00ns INFO [00002135] C0: GPR Update: R10=0000000000000074
17080.00ns INFO [00002136] C0: CP 0:000C24 1:000C28 0000000000000C24
17088.00ns INFO [00002137] T0 IFETCH 00003AC0 tag=09 len=6 WIMG:0
17088.00ns INFO [00002137] C0: CP 0:000C2C 1:000C30 0000000000000C2C
17096.00ns INFO [00002138] C0: CP 0:0038CC 1:0038D0 00000000000038CC
17096.00ns INFO [00002138] C0: GPR Update: R01=000000000001FFA8
17104.00ns INFO [00002139] WB Slave: RD @00003AC0=9141003C
17104.00ns INFO [00002139] C0: CP 0:0038D4 1:0038D8 00000000000038D4
17104.00ns INFO [00002139] C0: GPR Update: R03=0000000000000074
17104.00ns INFO [00002139] C0: GPR Update: R09=0000000000000074
17112.00ns INFO [00002140] C0: CP 0:0038DC 1:0038E0 00000000000038DC
17112.00ns INFO [00002140] C0: GPR Update: R00=0000000000000D18
17112.00ns INFO [00002140] C0: LR Update:0000000000000D18
17120.00ns INFO [00002141] WB Slave: RD @00003AC4=40860024
17120.00ns INFO [00002141] C0: GPR Update: R01=000000000001FFB8
17136.00ns INFO [00002143] WB Slave: RD @00003AC8=D8210040
17152.00ns INFO [00002145] WB Slave: RD @00003ACC=D8410048
17168.00ns INFO [00002147] WB Slave: RD @00003AD0=D8610050
17184.00ns INFO [00002149] WB Slave: RD @00003AD4=D8810058
17200.00ns INFO [00002151] WB Slave: RD @00003AD8=D8A10060
17216.00ns INFO [00002153] WB Slave: RD @00003ADC=D8C10068
17224.00ns INFO [00002154] C0: CP 0:000D18 1:000D1C 0000000000000D18
17232.00ns INFO [00002155] WB Slave: RD @00003AE0=D8E10070
17232.00ns INFO [00002155] C0: GPR Update: R03=0000000000000066
17232.00ns INFO [00002155] C0: LR Update:0000000000000D20
17248.00ns INFO [00002157] WB Slave: RD @00003AE4=D9010078
17256.00ns INFO [00002158] C0: CP 0:003890 0000000000003890
17264.00ns INFO [00002159] WB Slave: RD @00003AE8=39200001
17264.00ns INFO [00002159] C0: CP 0:003894 0000000000003894
17264.00ns INFO [00002159] C0: GPR Update: R01=000000000001FFA8
17272.00ns INFO [00002160] C0: GPR Update: R00=0000000000000D20
17280.00ns INFO [00002161] WB Slave: RD @00003AEC=9921000C
17288.00ns INFO [00002162] C0: CP 0:003898 0000000000003898
17296.00ns INFO [00002163] WB Slave: RD @00003AF0=39200000
17296.00ns INFO [00002163] C0: CP 0:00389C 1:0038A0 000000000000389C
17304.00ns INFO [00002164] C0: GPR Update: R09=0000000000020000
17312.00ns INFO [00002165] WB Slave: RD @00003AF4=9921000D
17312.00ns INFO [00002165] C0: CP 0:0038A4 00000000000038A4
17320.00ns INFO [00002166] C0: CP 0:0038A8 00000000000038A8
17320.00ns INFO [00002166] C0: GPR Update: R09=0000000000000C00
17328.00ns INFO [00002167] WB Slave: RD @00003AF8=39210088
17328.00ns INFO [00002167] C0: CP 0:0038AC 00000000000038AC
17328.00ns INFO [00002167] C0: CR Update: F0=4
17344.00ns INFO [00002169] WB Slave: RD @00003AFC=91210010
17440.00ns INFO [00002181] T0 STORE 0001C001 tag=00 len=1 be=40000000 data=0074392000009921000D00000000000000000000000000000000000000000000 WIMG:0
17448.00ns INFO [00002182] C0: CP 0:0038B0 00000000000038B0
17456.00ns INFO [00002183] C0: GPR Update: R09=0000000000020000
17472.00ns INFO [00002185] WB Slave: WR @0001C000 sel=4 dat=00743920
17472.00ns INFO [00002185] Mem Update: @0001C000 77000000->77740000
17472.00ns INFO [00002185] Print buffer:
0001C000: 77740000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 wt..............................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
17496.00ns INFO [00002188] C0: CP 0:0038B4 00000000000038B4
17504.00ns INFO [00002189] C0: GPR Update: R09=0000000000000C00
17520.00ns INFO [00002191] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=9921000D000000000001FFB83920000000000000000000000000000000000000 WIMG:0
17552.00ns INFO [00002195] WB Slave: WR @0001FFA8 sel=F dat=0001FFB8
17552.00ns INFO [00002195] Mem Update: @0001FFA8 0001FFB8->0001FFB8
17584.00ns INFO [00002199] T0 STORE 0001FFBC tag=00 len=4 be=000F0000 data=392000009921000D0000000000000D2000000000000000000000000000000000 WIMG:0
17592.00ns INFO [00002200] ...tick...
17616.00ns INFO [00002203] WB Slave: WR @0001FFBC sel=F dat=00000D20
17616.00ns INFO [00002203] Mem Update: @0001FFBC 00000D18->00000D20
17616.00ns INFO [00002203] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 74000000 00000000 0001FFB8 00000000 00000074 00000000 0001FFF8 00000D20 t..................t...........
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17648.00ns INFO [00002207] T0 STORE 0001FFB0 tag=00 len=4 be=F0000000 data=00000066392000009921000D0000000000000000000000000000000000000000 WIMG:0
17680.00ns INFO [00002211] WB Slave: WR @0001FFB0 sel=F dat=00000066
17680.00ns INFO [00002211] Mem Update: @0001FFB0 00000074->00000066
17680.00ns INFO [00002211] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 74000000 00000000 0001FFB8 00000000 00000066 00000000 0001FFF8 00000D20 t..................f...........
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
17704.00ns INFO [00002214] T0 IFETCH 00000D40 tag=08 len=6 WIMG:0
17720.00ns INFO [00002216] WB Slave: RD @00000D40=91210034
17736.00ns INFO [00002218] WB Slave: RD @00000D44=81210034
17744.00ns INFO [00002219] C0: CP 0:0038B8 1:0038BC 00000000000038B8
17752.00ns INFO [00002220] WB Slave: RD @00000D48=7D334BA6
17752.00ns INFO [00002220] C0: GPR Update: R10=0000000000000066
17760.00ns INFO [00002221] C0: CP 0:0038C0 1:0038C4 00000000000038C0
17768.00ns INFO [00002222] WB Slave: RD @00000D4C=60000000
17768.00ns INFO [00002222] C0: CP 0:0038C8 1:000C00 00000000000038C8
17768.00ns INFO [00002222] C0: GPR Update: R03=0000000000000066
17768.00ns INFO [00002222] C0: CTR Update:0000000000000C00
17776.00ns INFO [00002223] C0: CP 0:000C04 0000000000000C04
17776.00ns INFO [00002223] C0: GPR Update: R01=000000000001FF98
17776.00ns INFO [00002223] C0: LR Update:00000000000038CC
17784.00ns INFO [00002224] WB Slave: RD @00000D50=39200000
17784.00ns INFO [00002224] C0: GPR Update: R09=0000000000000066
17800.00ns INFO [00002226] WB Slave: RD @00000D54=91210030
17808.00ns INFO [00002227] C0: CP 0:000C08 1:000C0C 0000000000000C08
17816.00ns INFO [00002228] WB Slave: RD @00000D58=81210030
17816.00ns INFO [00002228] C0: CP 0:000C10 1:000C14 0000000000000C10
17816.00ns INFO [00002228] C0: GPR Update: R09=0000000000010000
17824.00ns INFO [00002229] C0: CP 0:000C18 1:000C1C 0000000000000C18
17824.00ns INFO [00002229] C0: GPR Update: R08=000000000001C003
17824.00ns INFO [00002229] C0: GPR Update: R09=000000000001C002
17832.00ns INFO [00002230] WB Slave: RD @00000D5C=7D3603A6
17832.00ns INFO [00002230] C0: GPR Update: R10=0000000000010000
17848.00ns INFO [00002232] WB Slave: RD @00000D60=60000000
17864.00ns INFO [00002234] WB Slave: RD @00000D64=39200000
17880.00ns INFO [00002236] WB Slave: RD @00000D68=9121002C
17896.00ns INFO [00002238] WB Slave: RD @00000D6C=8121002C
17912.00ns INFO [00002240] WB Slave: RD @00000D70=7D3D43A6
17928.00ns INFO [00002242] WB Slave: RD @00000D74=60000000
17944.00ns INFO [00002244] WB Slave: RD @00000D78=39200000
17960.00ns INFO [00002246] WB Slave: RD @00000D7C=91210028
18056.00ns INFO [00002258] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=60000000000000000001FFA87D3D43A600000000000000000000000000000000 WIMG:0
18088.00ns INFO [00002262] WB Slave: WR @0001FF98 sel=F dat=0001FFA8
18088.00ns INFO [00002262] Mem Update: @0001FF98 0001FFA8->0001FFA8
18120.00ns INFO [00002266] T0 STORE 0001FFA0 tag=00 len=1 be=80000000 data=667D3D43A6600000000000000000000000000000000000000000000000000000 WIMG:0
18152.00ns INFO [00002270] WB Slave: WR @0001FFA0 sel=8 dat=667D3D43
18152.00ns INFO [00002270] Mem Update: @0001FFA0 74000000->66000000
18152.00ns INFO [00002270] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 66000000 00000000 0001FFB8 00000000 00000066 00000000 0001FFF8 00000D20 f..................f...........
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
18184.00ns INFO [00002274] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0037D3D43A6600000000000000000000000000000000000000000000000 WIMG:0
18216.00ns INFO [00002278] WB Slave: WR @00012000 sel=F dat=0001C003
18216.00ns INFO [00002278] Mem Update: @00012000 0001C002->0001C003
18216.00ns INFO [00002278] C0: CP 0:000C20 0000000000000C20
18224.00ns INFO [00002279] C0: GPR Update: R10=0000000000000066
18232.00ns INFO [00002280] C0: CP 0:000C24 1:000C28 0000000000000C24
18240.00ns INFO [00002281] T0 IFETCH 00000D80 tag=09 len=6 WIMG:0
18240.00ns INFO [00002281] C0: CP 0:000C2C 1:000C30 0000000000000C2C
18248.00ns INFO [00002282] C0: CP 0:0038CC 1:0038D0 00000000000038CC
18248.00ns INFO [00002282] C0: GPR Update: R01=000000000001FFA8
18256.00ns INFO [00002283] WB Slave: RD @00000D80=81210028
18256.00ns INFO [00002283] C0: CP 0:0038D4 1:0038D8 00000000000038D4
18256.00ns INFO [00002283] C0: GPR Update: R03=0000000000000066
18256.00ns INFO [00002283] C0: GPR Update: R09=0000000000000066
18264.00ns INFO [00002284] C0: CP 0:0038DC 1:0038E0 00000000000038DC
18264.00ns INFO [00002284] C0: GPR Update: R00=0000000000000D20
18264.00ns INFO [00002284] C0: LR Update:0000000000000D20
18272.00ns INFO [00002285] WB Slave: RD @00000D84=7D3C43A6
18272.00ns INFO [00002285] C0: GPR Update: R01=000000000001FFB8
18288.00ns INFO [00002287] WB Slave: RD @00000D88=60000000
18304.00ns INFO [00002289] WB Slave: RD @00000D8C=3D20FE00
18320.00ns INFO [00002291] WB Slave: RD @00000D90=91210024
18336.00ns INFO [00002293] WB Slave: RD @00000D94=81210024
18352.00ns INFO [00002295] WB Slave: RD @00000D98=7D3053A6
18368.00ns INFO [00002297] WB Slave: RD @00000D9C=60000000
18376.00ns INFO [00002298] C0: CP 0:000D20 1:000D24 0000000000000D20
18384.00ns INFO [00002299] WB Slave: RD @00000DA0=7D36FAA6
18384.00ns INFO [00002299] C0: GPR Update: R03=000000000000000A
18384.00ns INFO [00002299] C0: LR Update:0000000000000D28
18392.00ns INFO [00002300] ...tick...
18400.00ns INFO [00002301] WB Slave: RD @00000DA4=91210020
18408.00ns INFO [00002302] C0: CP 0:003890 0000000000003890
18416.00ns INFO [00002303] WB Slave: RD @00000DA8=81210020
18416.00ns INFO [00002303] C0: CP 0:003894 0000000000003894
18416.00ns INFO [00002303] C0: GPR Update: R01=000000000001FFA8
18424.00ns INFO [00002304] C0: GPR Update: R00=0000000000000D28
18432.00ns INFO [00002305] WB Slave: RD @00000DAC=552905EA
18440.00ns INFO [00002306] C0: CP 0:003898 0000000000003898
18448.00ns INFO [00002307] WB Slave: RD @00000DB0=9121001C
18448.00ns INFO [00002307] C0: CP 0:00389C 1:0038A0 000000000000389C
18456.00ns INFO [00002308] C0: GPR Update: R09=0000000000020000
18464.00ns INFO [00002309] WB Slave: RD @00000DB4=8121001C
18464.00ns INFO [00002309] C0: CP 0:0038A4 00000000000038A4
18472.00ns INFO [00002310] C0: CP 0:0038A8 00000000000038A8
18472.00ns INFO [00002310] C0: GPR Update: R09=0000000000000C00
18480.00ns INFO [00002311] WB Slave: RD @00000DB8=7D36FBA6
18480.00ns INFO [00002311] C0: CP 0:0038AC 00000000000038AC
18480.00ns INFO [00002311] C0: CR Update: F0=4
18496.00ns INFO [00002313] WB Slave: RD @00000DBC=60000000
18592.00ns INFO [00002325] T0 STORE 0001C002 tag=00 len=1 be=20000000 data=0000669121001C8121001C000000000000000000000000000000000000000000 WIMG:0
18600.00ns INFO [00002326] C0: CP 0:0038B0 00000000000038B0
18608.00ns INFO [00002327] C0: GPR Update: R09=0000000000020000
18624.00ns INFO [00002329] WB Slave: WR @0001C000 sel=2 dat=00006691
18624.00ns INFO [00002329] Mem Update: @0001C000 77740000->77746600
18624.00ns INFO [00002329] Print buffer:
0001C000: 77746600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 wtf.............................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
18648.00ns INFO [00002332] C0: CP 0:0038B4 00000000000038B4
18656.00ns INFO [00002333] C0: GPR Update: R09=0000000000000C00
18672.00ns INFO [00002335] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=8121001C000000000001FFB89121001C00000000000000000000000000000000 WIMG:0
18704.00ns INFO [00002339] WB Slave: WR @0001FFA8 sel=F dat=0001FFB8
18704.00ns INFO [00002339] Mem Update: @0001FFA8 0001FFB8->0001FFB8
18736.00ns INFO [00002343] T0 STORE 0001FFBC tag=00 len=4 be=000F0000 data=9121001C8121001C0000000000000D2800000000000000000000000000000000 WIMG:0
18768.00ns INFO [00002347] WB Slave: WR @0001FFBC sel=F dat=00000D28
18768.00ns INFO [00002347] Mem Update: @0001FFBC 00000D20->00000D28
18768.00ns INFO [00002347] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 66000000 00000000 0001FFB8 00000000 00000066 00000000 0001FFF8 00000D28 f..................f...........(
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
18800.00ns INFO [00002351] T0 STORE 0001FFB0 tag=00 len=4 be=F0000000 data=0000000A9121001C8121001C0000000000000000000000000000000000000000 WIMG:0
18832.00ns INFO [00002355] WB Slave: WR @0001FFB0 sel=F dat=0000000A
18832.00ns INFO [00002355] Mem Update: @0001FFB0 00000066->0000000A
18832.00ns INFO [00002355] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 66000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D28 f..............................(
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
18856.00ns INFO [00002358] T0 IFETCH 00003AC0 tag=08 len=6 WIMG:0
18872.00ns INFO [00002360] WB Slave: RD @00003AC0=9141003C
18888.00ns INFO [00002362] WB Slave: RD @00003AC4=40860024
18896.00ns INFO [00002363] C0: CP 0:0038B8 1:0038BC 00000000000038B8
18904.00ns INFO [00002364] WB Slave: RD @00003AC8=D8210040
18904.00ns INFO [00002364] C0: GPR Update: R10=000000000000000A
18912.00ns INFO [00002365] C0: CP 0:0038C0 1:0038C4 00000000000038C0
18920.00ns INFO [00002366] WB Slave: RD @00003ACC=D8410048
18920.00ns INFO [00002366] C0: CP 0:0038C8 1:000C00 00000000000038C8
18920.00ns INFO [00002366] C0: GPR Update: R03=000000000000000A
18920.00ns INFO [00002366] C0: CTR Update:0000000000000C00
18928.00ns INFO [00002367] C0: CP 0:000C04 0000000000000C04
18928.00ns INFO [00002367] C0: GPR Update: R01=000000000001FF98
18928.00ns INFO [00002367] C0: LR Update:00000000000038CC
18936.00ns INFO [00002368] WB Slave: RD @00003AD0=D8610050
18936.00ns INFO [00002368] C0: GPR Update: R09=000000000000000A
18952.00ns INFO [00002370] WB Slave: RD @00003AD4=D8810058
18960.00ns INFO [00002371] C0: CP 0:000C08 1:000C0C 0000000000000C08
18968.00ns INFO [00002372] WB Slave: RD @00003AD8=D8A10060
18968.00ns INFO [00002372] C0: CP 0:000C10 1:000C14 0000000000000C10
18968.00ns INFO [00002372] C0: GPR Update: R09=0000000000010000
18976.00ns INFO [00002373] C0: CP 0:000C18 1:000C1C 0000000000000C18
18976.00ns INFO [00002373] C0: GPR Update: R08=000000000001C004
18976.00ns INFO [00002373] C0: GPR Update: R09=000000000001C003
18984.00ns INFO [00002374] WB Slave: RD @00003ADC=D8C10068
18984.00ns INFO [00002374] C0: GPR Update: R10=0000000000010000
19000.00ns INFO [00002376] WB Slave: RD @00003AE0=D8E10070
19016.00ns INFO [00002378] WB Slave: RD @00003AE4=D9010078
19032.00ns INFO [00002380] WB Slave: RD @00003AE8=39200001
19048.00ns INFO [00002382] WB Slave: RD @00003AEC=9921000C
19064.00ns INFO [00002384] WB Slave: RD @00003AF0=39200000
19080.00ns INFO [00002386] WB Slave: RD @00003AF4=9921000D
19096.00ns INFO [00002388] WB Slave: RD @00003AF8=39210088
19112.00ns INFO [00002390] WB Slave: RD @00003AFC=91210010
19192.00ns INFO [00002400] ...tick...
19208.00ns INFO [00002402] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=9921000D000000000001FFA83920000000000000000000000000000000000000 WIMG:0
19240.00ns INFO [00002406] WB Slave: WR @0001FF98 sel=F dat=0001FFA8
19240.00ns INFO [00002406] Mem Update: @0001FF98 0001FFA8->0001FFA8
19272.00ns INFO [00002410] T0 STORE 0001FFA0 tag=00 len=1 be=80000000 data=0A392000009921000D0000000000000000000000000000000000000000000000 WIMG:0
19304.00ns INFO [00002414] WB Slave: WR @0001FFA0 sel=8 dat=0A392000
19304.00ns INFO [00002414] Mem Update: @0001FFA0 66000000->0A000000
19304.00ns INFO [00002414] Stack:
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D28 ...............................(
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
19336.00ns INFO [00002418] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C004392000009921000D0000000000000000000000000000000000000000 WIMG:0
19368.00ns INFO [00002422] WB Slave: WR @00012000 sel=F dat=0001C004
19368.00ns INFO [00002422] Mem Update: @00012000 0001C003->0001C004
19368.00ns INFO [00002422] C0: CP 0:000C20 0000000000000C20
19376.00ns INFO [00002423] C0: GPR Update: R10=000000000000000A
19384.00ns INFO [00002424] C0: CP 0:000C24 1:000C28 0000000000000C24
19392.00ns INFO [00002425] T0 IFETCH 00000D40 tag=09 len=6 WIMG:0
19392.00ns INFO [00002425] C0: CP 0:000C2C 1:000C30 0000000000000C2C
19400.00ns INFO [00002426] C0: CP 0:0038CC 1:0038D0 00000000000038CC
19400.00ns INFO [00002426] C0: GPR Update: R01=000000000001FFA8
19408.00ns INFO [00002427] WB Slave: RD @00000D40=91210034
19408.00ns INFO [00002427] C0: CP 0:0038D4 1:0038D8 00000000000038D4
19408.00ns INFO [00002427] C0: GPR Update: R03=000000000000000A
19408.00ns INFO [00002427] C0: GPR Update: R09=000000000000000A
19416.00ns INFO [00002428] C0: CP 0:0038DC 1:0038E0 00000000000038DC
19416.00ns INFO [00002428] C0: GPR Update: R00=0000000000000D28
19416.00ns INFO [00002428] C0: LR Update:0000000000000D28
19424.00ns INFO [00002429] WB Slave: RD @00000D44=81210034
19424.00ns INFO [00002429] C0: GPR Update: R01=000000000001FFB8
19440.00ns INFO [00002431] WB Slave: RD @00000D48=7D334BA6
19456.00ns INFO [00002433] WB Slave: RD @00000D4C=60000000
19472.00ns INFO [00002435] WB Slave: RD @00000D50=39200000
19488.00ns INFO [00002437] WB Slave: RD @00000D54=91210030
19504.00ns INFO [00002439] WB Slave: RD @00000D58=81210030
19520.00ns INFO [00002441] WB Slave: RD @00000D5C=7D3603A6
19536.00ns INFO [00002443] WB Slave: RD @00000D60=60000000
19552.00ns INFO [00002445] WB Slave: RD @00000D64=39200000
19560.00ns INFO [00002446] C0: CP 0:000D28 1:000D2C 0000000000000D28
19568.00ns INFO [00002447] WB Slave: RD @00000D68=9121002C
19568.00ns INFO [00002447] C0: CP 0:000D30 1:000D34 0000000000000D30
19568.00ns INFO [00002447] C0: GPR Update: R04=0000000000000000
19568.00ns INFO [00002447] C0: GPR Update: R09=0000000000000000
19576.00ns INFO [00002448] C0: CP 0:000D38 1:003A98 0000000000000D38
19576.00ns INFO [00002448] C0: GPR Update: R03=00000000000008F0
19576.00ns INFO [00002448] C0: CR Update: F1=0
19584.00ns INFO [00002449] WB Slave: RD @00000D6C=8121002C
19584.00ns INFO [00002449] C0: CP 0:003A9C 0000000000003A9C
19584.00ns INFO [00002449] C0: GPR Update: R01=000000000001FF38
19584.00ns INFO [00002449] C0: LR Update:0000000000000D3C
19592.00ns INFO [00002450] C0: GPR Update: R00=0000000000000D3C
19600.00ns INFO [00002451] WB Slave: RD @00000D70=7D3D43A6
19608.00ns INFO [00002452] C0: CP 0:003AA0 0000000000003AA0
19616.00ns INFO [00002453] WB Slave: RD @00000D74=60000000
19616.00ns INFO [00002453] C0: CP 0:003AA4 0000000000003AA4
19624.00ns INFO [00002454] C0: CP 0:003AA8 0000000000003AA8
19632.00ns INFO [00002455] WB Slave: RD @00000D78=39200000
19632.00ns INFO [00002455] C0: CP 0:003AAC 0000000000003AAC
19640.00ns INFO [00002456] C0: CP 0:003AB0 0000000000003AB0
19648.00ns INFO [00002457] WB Slave: RD @00000D7C=91210028
19648.00ns INFO [00002457] C0: CP 0:003AB4 0000000000003AB4
19656.00ns INFO [00002458] C0: CP 0:003AB8 0000000000003AB8
19664.00ns INFO [00002459] C0: CP 0:003ABC 0000000000003ABC
19672.00ns INFO [00002460] C0: CP 0:003AC0 1:003AC4 0000000000003AC0
19680.00ns INFO [00002461] C0: CP 0:003AE8 0000000000003AE8
19688.00ns INFO [00002462] C0: CP 0:003AEC 1:003AF0 0000000000003AEC
19688.00ns INFO [00002462] C0: GPR Update: R09=0000000000000001
19696.00ns INFO [00002463] C0: GPR Update: R09=0000000000000000
19744.00ns INFO [00002469] T0 STORE 0001C003 tag=00 len=1 be=10000000 data=0000000A7D3D43A6600000000000000000000000000000000000000000000000 WIMG:0
19776.00ns INFO [00002473] WB Slave: WR @0001C000 sel=1 dat=0000000A
19776.00ns INFO [00002473] Mem Update: @0001C000 77746600->7774660A
19776.00ns INFO [00002473] Print buffer:
0001C000: 7774660A 00000000 00000000 00000000 00000000 00000000 00000000 00000000 wtf.............................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
19808.00ns INFO [00002477] T0 STORE 0001FF38 tag=00 len=4 be=00F00000 data=60000000000000000001FFB87D3D43A600000000000000000000000000000000 WIMG:0
19840.00ns INFO [00002481] WB Slave: WR @0001FF38 sel=F dat=0001FFB8
19840.00ns INFO [00002481] Mem Update: @0001FF38 XXXXXXXX->0001FFB8
19840.00ns INFO [00002481] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D28 ...............................(
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
19848.00ns INFO [00002482] C0: CP 0:003AF4 1:003AF8 0000000000003AF4
19856.00ns INFO [00002483] C0: GPR Update: R09=000000000001FFC0
19872.00ns INFO [00002485] T0 STORE 0001FFBC tag=00 len=4 be=000F0000 data=7D3D43A6600000000000000000000D3C00000000000000000000000000000000 WIMG:0
19904.00ns INFO [00002489] WB Slave: WR @0001FFBC sel=F dat=00000D3C
19904.00ns INFO [00002489] Mem Update: @0001FFBC 00000D28->00000D3C
19904.00ns INFO [00002489] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
19912.00ns INFO [00002490] C0: CP 0:003AFC 0000000000003AFC
19936.00ns INFO [00002493] T0 STORE 0001FF50 tag=00 len=4 be=F0000000 data=000008F07D3D43A6600000000000000000000000000000000000000000000000 WIMG:0
19968.00ns INFO [00002497] WB Slave: WR @0001FF50 sel=F dat=000008F0
19968.00ns INFO [00002497] Mem Update: @0001FF50 XXXXXXXX->000008F0
19968.00ns INFO [00002497] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 00000000 00000000 00000000 000008F0 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
19992.00ns INFO [00002500] ...tick...
20000.00ns INFO [00002501] T0 STORE 0001FF5C tag=00 len=4 be=000F0000 data=7D3D43A660000000000000000000000000000000000000000000000000000000 WIMG:0
20032.00ns INFO [00002505] WB Slave: WR @0001FF5C sel=F dat=00000000
20032.00ns INFO [00002505] Mem Update: @0001FF5C XXXXXXXX->00000000
20064.00ns INFO [00002509] T0 STORE 0001FF60 tag=00 len=4 be=F0000000 data=000000007D3D43A6600000000000000000000000000000000000000000000000 WIMG:0
20096.00ns INFO [00002513] WB Slave: WR @0001FF60 sel=F dat=00000000
20096.00ns INFO [00002513] Mem Update: @0001FF60 XXXXXXXX->00000000
20128.00ns INFO [00002517] T0 STORE 0001FF64 tag=00 len=4 be=0F000000 data=00000000000000007D3D43A66000000000000000000000000000000000000000 WIMG:0
20160.00ns INFO [00002521] WB Slave: WR @0001FF64 sel=F dat=00000000
20160.00ns INFO [00002521] Mem Update: @0001FF64 XXXXXXXX->00000000
20192.00ns INFO [00002525] T0 STORE 0001FF68 tag=00 len=4 be=00F00000 data=6000000000000000000000007D3D43A600000000000000000000000000000000 WIMG:0
20224.00ns INFO [00002529] WB Slave: WR @0001FF68 sel=F dat=00000000
20224.00ns INFO [00002529] Mem Update: @0001FF68 XXXXXXXX->00000000
20256.00ns INFO [00002533] T0 STORE 0001FF6C tag=00 len=4 be=000F0000 data=7D3D43A660000000000000000001C00400000000000000000000000000000000 WIMG:0
20288.00ns INFO [00002537] WB Slave: WR @0001FF6C sel=F dat=0001C004
20288.00ns INFO [00002537] Mem Update: @0001FF6C XXXXXXXX->0001C004
20288.00ns INFO [00002537] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 00000000 00000000 00000000 000008F0 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 00000000 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
20320.00ns INFO [00002541] T0 STORE 0001FF70 tag=00 len=4 be=F0000000 data=000000007D3D43A6600000000000000000000000000000000000000000000000 WIMG:0
20352.00ns INFO [00002545] WB Slave: WR @0001FF70 sel=F dat=00000000
20352.00ns INFO [00002545] Mem Update: @0001FF70 XXXXXXXX->00000000
20384.00ns INFO [00002549] T0 STORE 0001FF74 tag=00 len=4 be=0F000000 data=000000000000000A7D3D43A66000000000000000000000000000000000000000 WIMG:0
20416.00ns INFO [00002553] WB Slave: WR @0001FF74 sel=F dat=0000000A
20416.00ns INFO [00002553] Mem Update: @0001FF74 XXXXXXXX->0000000A
20416.00ns INFO [00002553] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 00000000 00000000 00000000 000008F0 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
20448.00ns INFO [00002557] T0 STORE 0001FF44 tag=00 len=1 be=08000000 data=00000000017D3D43A66000000000000000000000000000000000000000000000 WIMG:0
20480.00ns INFO [00002561] WB Slave: WR @0001FF44 sel=8 dat=017D3D43
20480.00ns INFO [00002561] Mem Update: @0001FF44 XXXXXXXX->01000000
20480.00ns INFO [00002561] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 01000000 00000000 00000000 000008F0 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
20512.00ns INFO [00002565] T0 STORE 0001FF45 tag=00 len=1 be=04000000 data=0000000000007D3D43A660000000000000000000000000000000000000000000 WIMG:0
20544.00ns INFO [00002569] WB Slave: WR @0001FF44 sel=4 dat=00007D3D
20544.00ns INFO [00002569] Mem Update: @0001FF44 01000000->01000000
20576.00ns INFO [00002573] T0 STORE 0001FF48 tag=00 len=4 be=00F00000 data=60000000000000000001FFC07D3D43A600000000000000000000000000000000 WIMG:0
20608.00ns INFO [00002577] WB Slave: WR @0001FF48 sel=F dat=0001FFC0
20608.00ns INFO [00002577] Mem Update: @0001FF48 XXXXXXXX->0001FFC0
20608.00ns INFO [00002577] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 01000000 0001FFC0 00000000 000008F0 00000000 00000000 00000000 ................................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
20632.00ns INFO [00002580] T0 IFETCH 00003B00 tag=08 len=6 WIMG:0
20648.00ns INFO [00002582] WB Slave: RD @00003B00=39210020
20664.00ns INFO [00002584] WB Slave: RD @00003B04=91210014
20680.00ns INFO [00002586] WB Slave: RD @00003B08=3921000C
20696.00ns INFO [00002588] WB Slave: RD @00003B0C=7D244B78
20712.00ns INFO [00002590] WB Slave: RD @00003B10=80610018
20728.00ns INFO [00002592] WB Slave: RD @00003B14=4BFFFF19
20744.00ns INFO [00002594] WB Slave: RD @00003B18=7C691B78
20760.00ns INFO [00002596] WB Slave: RD @00003B1C=91210008
20776.00ns INFO [00002598] WB Slave: RD @00003B20=81210008
20792.00ns INFO [00002600] ...tick...
20792.00ns INFO [00002600] WB Slave: RD @00003B24=7D234B78
20808.00ns INFO [00002602] WB Slave: RD @00003B28=80010084
20824.00ns INFO [00002604] WB Slave: RD @00003B2C=7C0803A6
20840.00ns INFO [00002606] WB Slave: RD @00003B30=38210080
20856.00ns INFO [00002608] WB Slave: RD @00003B34=4E800020
20872.00ns INFO [00002610] WB Slave: RD @00003B38=00000000
20888.00ns INFO [00002612] WB Slave: RD @00003B3C=00000000
21040.00ns INFO [00002631] C0: CP 0:003B00 0000000000003B00
21048.00ns INFO [00002632] T0 IFETCH 00003B40 tag=09 len=6 WIMG:0
21048.00ns INFO [00002632] C0: GPR Update: R09=000000000001FF58
21064.00ns INFO [00002634] WB Slave: RD @00003B40=00000000
21064.00ns INFO [00002634] C0: CP 0:003B04 1:003B08 0000000000003B04
21072.00ns INFO [00002635] C0: CP 0:003B0C 0000000000003B0C
21072.00ns INFO [00002635] C0: GPR Update: R09=000000000001FF44
21080.00ns INFO [00002636] WB Slave: RD @00003B44=00000000
21080.00ns INFO [00002636] C0: GPR Update: R04=000000000001FF44
21096.00ns INFO [00002638] WB Slave: RD @00003B48=00000000
21112.00ns INFO [00002640] WB Slave: RD @00003B4C=00000000
21128.00ns INFO [00002642] WB Slave: RD @00003B50=00000000
21144.00ns INFO [00002644] WB Slave: RD @00003B54=00000000
21160.00ns INFO [00002646] WB Slave: RD @00003B58=00000000
21176.00ns INFO [00002648] WB Slave: RD @00003B5C=00000000
21192.00ns INFO [00002650] WB Slave: RD @00003B60=00000000
21208.00ns INFO [00002652] WB Slave: RD @00003B64=00000000
21224.00ns INFO [00002654] WB Slave: RD @00003B68=00000000
21240.00ns INFO [00002656] WB Slave: RD @00003B6C=00000000
21256.00ns INFO [00002658] WB Slave: RD @00003B70=00000000
21272.00ns INFO [00002660] WB Slave: RD @00003B74=00000000
21288.00ns INFO [00002662] WB Slave: RD @00003B78=00000000
21304.00ns INFO [00002664] WB Slave: RD @00003B7C=00000000
21400.00ns INFO [00002676] T0 STORE 0001FF4C tag=00 len=4 be=000F0000 data=0000000000000000000000000001FF5800000000000000000000000000000000 WIMG:0
21432.00ns INFO [00002680] WB Slave: WR @0001FF4C sel=F dat=0001FF58
21432.00ns INFO [00002680] Mem Update: @0001FF4C XXXXXXXX->0001FF58
21432.00ns INFO [00002680] Stack:
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
21456.00ns INFO [00002683] T0 LOAD 0001FF50 tag=00 len=4 WIMG:0
21472.00ns INFO [00002685] WB Slave: RD @0001FF40=00000000
21488.00ns INFO [00002687] WB Slave: RD @0001FF44=01000000
21504.00ns INFO [00002689] WB Slave: RD @0001FF48=0001FFC0
21520.00ns INFO [00002691] WB Slave: RD @0001FF4C=0001FF58
21536.00ns INFO [00002693] WB Slave: RD @0001FF50=000008F0
21552.00ns INFO [00002695] WB Slave: RD @0001FF54=00000000
21568.00ns INFO [00002697] WB Slave: RD @0001FF58=00000000
21584.00ns INFO [00002699] WB Slave: RD @0001FF5C=00000000
21592.00ns INFO [00002700] ...tick...
21600.00ns INFO [00002701] WB Slave: RD @0001FF60=00000000
21616.00ns INFO [00002703] WB Slave: RD @0001FF64=00000000
21632.00ns INFO [00002705] WB Slave: RD @0001FF68=00000000
21648.00ns INFO [00002707] WB Slave: RD @0001FF6C=0001C004
21664.00ns INFO [00002709] WB Slave: RD @0001FF70=00000000
21680.00ns INFO [00002711] WB Slave: RD @0001FF74=0000000A
21696.00ns INFO [00002713] WB Slave: RD @0001FF78=00000000
21712.00ns INFO [00002715] WB Slave: RD @0001FF7C=00000000
21808.00ns INFO [00002727] C0: CP 0:003B10 1:003B14 0000000000003B10
21816.00ns INFO [00002728] C0: GPR Update: R03=00000000000008F0
21816.00ns INFO [00002728] C0: LR Update:0000000000003B18
21872.00ns INFO [00002735] T0 IFETCH 00003A20 tag=08 len=6 WIMG:0
21888.00ns INFO [00002737] WB Slave: RD @00003A00=91210008
21904.00ns INFO [00002739] WB Slave: RD @00003A04=81210008
21920.00ns INFO [00002741] WB Slave: RD @00003A08=89290000
21936.00ns INFO [00002743] WB Slave: RD @00003A0C=2C090000
21952.00ns INFO [00002745] WB Slave: RD @00003A10=4082FFD8
21968.00ns INFO [00002747] WB Slave: RD @00003A14=60000000
21984.00ns INFO [00002749] WB Slave: RD @00003A18=60000000
22000.00ns INFO [00002751] WB Slave: RD @00003A1C=80010014
22016.00ns INFO [00002753] WB Slave: RD @00003A20=7C0803A6
22032.00ns INFO [00002755] WB Slave: RD @00003A24=38210010
22048.00ns INFO [00002757] WB Slave: RD @00003A28=4E800020
22064.00ns INFO [00002759] WB Slave: RD @00003A2C=9421FEE0
22080.00ns INFO [00002761] WB Slave: RD @00003A30=7C0802A6
22096.00ns INFO [00002763] WB Slave: RD @00003A34=90010124
22112.00ns INFO [00002765] WB Slave: RD @00003A38=90610118
22128.00ns INFO [00002767] WB Slave: RD @00003A3C=9081011C
22288.00ns INFO [00002787] T0 IFETCH 00003A40 tag=09 len=6 WIMG:0
22304.00ns INFO [00002789] WB Slave: RD @00003A40=3921000C
22320.00ns INFO [00002791] WB Slave: RD @00003A44=80C1011C
22320.00ns INFO [00002791] C0: CP 0:003A2C 0000000000003A2C
22328.00ns INFO [00002792] C0: CP 0:003A30 0000000000003A30
22328.00ns INFO [00002792] C0: GPR Update: R01=000000000001FE18
22336.00ns INFO [00002793] WB Slave: RD @00003A48=80A10118
22336.00ns INFO [00002793] C0: GPR Update: R00=0000000000003B18
22352.00ns INFO [00002795] WB Slave: RD @00003A4C=38800100
22352.00ns INFO [00002795] C0: CP 0:003A34 0000000000003A34
22360.00ns INFO [00002796] C0: CP 0:003A38 0000000000003A38
22368.00ns INFO [00002797] WB Slave: RD @00003A50=7D234B78
22368.00ns INFO [00002797] C0: CP 0:003A3C 0000000000003A3C
22384.00ns INFO [00002799] WB Slave: RD @00003A54=4BFFEBF9
22392.00ns INFO [00002800] ...tick...
22400.00ns INFO [00002801] WB Slave: RD @00003A58=7C691B78
22416.00ns INFO [00002803] WB Slave: RD @00003A5C=91210008
22432.00ns INFO [00002805] WB Slave: RD @00003A60=3941000C
22448.00ns INFO [00002807] WB Slave: RD @00003A64=81210008
22464.00ns INFO [00002809] WB Slave: RD @00003A68=7D2A4A14
22480.00ns INFO [00002811] WB Slave: RD @00003A6C=39400000
22496.00ns INFO [00002813] WB Slave: RD @00003A70=99490000
22512.00ns INFO [00002815] WB Slave: RD @00003A74=3921000C
22528.00ns INFO [00002817] WB Slave: RD @00003A78=7D234B78
22544.00ns INFO [00002819] WB Slave: RD @00003A7C=4BFFFF59
22640.00ns INFO [00002831] T0 STORE 0001FE18 tag=00 len=4 be=00F00000 data=3921000C000000000001FF389949000000000000000000000000000000000000 WIMG:0
22672.00ns INFO [00002835] WB Slave: WR @0001FE18 sel=F dat=0001FF38
22672.00ns INFO [00002835] Mem Update: @0001FE18 XXXXXXXX->0001FF38
22672.00ns INFO [00002835] Stack:
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ...........................8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
22720.00ns INFO [00002841] T0 STORE 0001FF3C tag=00 len=4 be=000F0000 data=994900003921000C0000000000003B1800000000000000000000000000000000 WIMG:0
22744.00ns INFO [00002844] C0: CP 0:003A40 0000000000003A40
22752.00ns INFO [00002845] WB Slave: WR @0001FF3C sel=F dat=00003B18
22752.00ns INFO [00002845] Mem Update: @0001FF3C XXXXXXXX->00003B18
22752.00ns INFO [00002845] Stack:
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ...........................8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00003B18 ..............................;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
22752.00ns INFO [00002845] C0: GPR Update: R09=000000000001FE24
22784.00ns INFO [00002849] T0 STORE 0001FF30 tag=00 len=4 be=F0000000 data=000008F0994900003921000C0000000000000000000000000000000000000000 WIMG:0
22816.00ns INFO [00002853] WB Slave: WR @0001FF30 sel=F dat=000008F0
22816.00ns INFO [00002853] Mem Update: @0001FF30 XXXXXXXX->000008F0
22816.00ns INFO [00002853] Stack:
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ...........................8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 00000000 0001FFB8 00003B18 ..............................;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
22848.00ns INFO [00002857] T0 STORE 0001FF34 tag=00 len=4 be=0F000000 data=000000000001FF44994900003921000C00000000000000000000000000000000 WIMG:0
22880.00ns INFO [00002861] WB Slave: WR @0001FF34 sel=F dat=0001FF44
22880.00ns INFO [00002861] Mem Update: @0001FF34 XXXXXXXX->0001FF44
22880.00ns INFO [00002861] Stack:
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ...........................8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
22904.00ns INFO [00002864] T0 LOAD 0001FF34 tag=00 len=4 WIMG:0
22920.00ns INFO [00002866] WB Slave: RD @0001FF00=00000000
22936.00ns INFO [00002868] WB Slave: RD @0001FF04=00000000
22952.00ns INFO [00002870] WB Slave: RD @0001FF08=00000000
22968.00ns INFO [00002872] WB Slave: RD @0001FF0C=00000000
22984.00ns INFO [00002874] WB Slave: RD @0001FF10=00000000
23000.00ns INFO [00002876] WB Slave: RD @0001FF14=00000000
23016.00ns INFO [00002878] WB Slave: RD @0001FF18=00000000
23032.00ns INFO [00002880] WB Slave: RD @0001FF1C=00000000
23048.00ns INFO [00002882] WB Slave: RD @0001FF20=00000000
23064.00ns INFO [00002884] WB Slave: RD @0001FF24=00000000
23080.00ns INFO [00002886] WB Slave: RD @0001FF28=00000000
23096.00ns INFO [00002888] WB Slave: RD @0001FF2C=00000000
23112.00ns INFO [00002890] WB Slave: RD @0001FF30=000008F0
23128.00ns INFO [00002892] WB Slave: RD @0001FF34=0001FF44
23144.00ns INFO [00002894] WB Slave: RD @0001FF38=0001FFB8
23160.00ns INFO [00002896] WB Slave: RD @0001FF3C=00003B18
23192.00ns INFO [00002900] ...tick...
23272.00ns INFO [00002910] C0: CP 0:003A44 0000000000003A44
23280.00ns INFO [00002911] C0: GPR Update: R06=000000000001FF44
23320.00ns INFO [00002916] T0 IFETCH 00002640 tag=08 len=6 WIMG:0
23336.00ns INFO [00002918] WB Slave: RD @00002640=7D234B78
23344.00ns INFO [00002919] C0: CP 0:003A48 1:003A4C 0000000000003A48
23352.00ns INFO [00002920] WB Slave: RD @00002644=38210090
23352.00ns INFO [00002920] C0: CP 0:003A50 1:003A54 0000000000003A50
23352.00ns INFO [00002920] C0: GPR Update: R04=0000000000000100
23352.00ns INFO [00002920] C0: GPR Update: R05=00000000000008F0
23360.00ns INFO [00002921] C0: GPR Update: R03=000000000001FE24
23360.00ns INFO [00002921] C0: LR Update:0000000000003A58
23368.00ns INFO [00002922] WB Slave: RD @00002648=4E800020
23384.00ns INFO [00002924] WB Slave: RD @0000264C=9421FFD0
23400.00ns INFO [00002926] WB Slave: RD @00002650=7C0802A6
23416.00ns INFO [00002928] WB Slave: RD @00002654=90010034
23432.00ns INFO [00002930] WB Slave: RD @00002658=90610018
23448.00ns INFO [00002932] WB Slave: RD @0000265C=9081001C
23464.00ns INFO [00002934] WB Slave: RD @00002660=90A10020
23480.00ns INFO [00002936] WB Slave: RD @00002664=90C10024
23496.00ns INFO [00002938] WB Slave: RD @00002668=80C10024
23512.00ns INFO [00002940] WB Slave: RD @0000266C=80A10020
23528.00ns INFO [00002942] WB Slave: RD @00002670=8081001C
23544.00ns INFO [00002944] WB Slave: RD @00002674=80610018
23560.00ns INFO [00002946] WB Slave: RD @00002678=4800044D
23576.00ns INFO [00002948] WB Slave: RD @0000267C=7C691B78
23736.00ns INFO [00002968] T0 IFETCH 00002680 tag=09 len=6 WIMG:0
23752.00ns INFO [00002970] WB Slave: RD @00002680=91210008
23752.00ns INFO [00002970] C0: CP 0:00264C 000000000000264C
23760.00ns INFO [00002971] C0: GPR Update: R01=000000000001FDE8
23768.00ns INFO [00002972] WB Slave: RD @00002684=81410008
23776.00ns INFO [00002973] C0: CP 0:002650 0000000000002650
23784.00ns INFO [00002974] WB Slave: RD @00002688=8121001C
23784.00ns INFO [00002974] C0: GPR Update: R00=0000000000003A58
23800.00ns INFO [00002976] WB Slave: RD @0000268C=7C0A4840
23800.00ns INFO [00002976] C0: CP 0:002654 0000000000002654
23808.00ns INFO [00002977] C0: CP 0:002658 0000000000002658
23816.00ns INFO [00002978] WB Slave: RD @00002690=41800010
23816.00ns INFO [00002978] C0: CP 0:00265C 000000000000265C
23824.00ns INFO [00002979] C0: CP 0:002660 0000000000002660
23832.00ns INFO [00002980] WB Slave: RD @00002694=8121001C
23832.00ns INFO [00002980] C0: CP 0:002664 0000000000002664
23848.00ns INFO [00002982] WB Slave: RD @00002698=3929FFFF
23864.00ns INFO [00002984] WB Slave: RD @0000269C=48000008
23880.00ns INFO [00002986] WB Slave: RD @000026A0=81210008
23896.00ns INFO [00002988] WB Slave: RD @000026A4=7D234B78
23912.00ns INFO [00002990] WB Slave: RD @000026A8=80010034
23928.00ns INFO [00002992] WB Slave: RD @000026AC=7C0803A6
23944.00ns INFO [00002994] WB Slave: RD @000026B0=38210030
23960.00ns INFO [00002996] WB Slave: RD @000026B4=4E800020
23976.00ns INFO [00002998] WB Slave: RD @000026B8=9421FF80
23992.00ns INFO [00003000] ...tick...
23992.00ns INFO [00003000] WB Slave: RD @000026BC=7C0802A6
24088.00ns INFO [00003012] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4E800020000000000001FE183821003000000000000000000000000000000000 WIMG:0
24120.00ns INFO [00003016] WB Slave: WR @0001FDE8 sel=F dat=0001FE18
24120.00ns INFO [00003016] Mem Update: @0001FDE8 XXXXXXXX->0001FE18
24120.00ns INFO [00003016] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ................................
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00000000 ...........................8....
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
24152.00ns INFO [00003020] T0 STORE 0001FE1C tag=00 len=4 be=000F0000 data=382100304E8000200000000000003A5800000000000000000000000000000000 WIMG:0
24184.00ns INFO [00003024] WB Slave: WR @0001FE1C sel=F dat=00003A58
24184.00ns INFO [00003024] Mem Update: @0001FE1C XXXXXXXX->00003A58
24184.00ns INFO [00003024] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ................................
0001FE00: 00000000 00000000 00000000 00000000 00000000 00000000 0001FF38 00003A58 ...........................8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
24216.00ns INFO [00003028] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=0001FE24382100304E8000200000000000000000000000000000000000000000 WIMG:0
24248.00ns INFO [00003032] WB Slave: WR @0001FE00 sel=F dat=0001FE24
24248.00ns INFO [00003032] Mem Update: @0001FE00 XXXXXXXX->0001FE24
24248.00ns INFO [00003032] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ................................
0001FE00: 0001FE24 00000000 00000000 00000000 00000000 00000000 0001FF38 00003A58 ...$.......................8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
24280.00ns INFO [00003036] T0 STORE 0001FE04 tag=00 len=4 be=0F000000 data=0000000000000100382100304E80002000000000000000000000000000000000 WIMG:0
24312.00ns INFO [00003040] WB Slave: WR @0001FE04 sel=F dat=00000100
24312.00ns INFO [00003040] Mem Update: @0001FE04 XXXXXXXX->00000100
24312.00ns INFO [00003040] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ................................
0001FE00: 0001FE24 00000100 00000000 00000000 00000000 00000000 0001FF38 00003A58 ...$.......................8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
24344.00ns INFO [00003044] T0 STORE 0001FE08 tag=00 len=4 be=00F00000 data=4E80002000000000000008F03821003000000000000000000000000000000000 WIMG:0
24376.00ns INFO [00003048] WB Slave: WR @0001FE08 sel=F dat=000008F0
24376.00ns INFO [00003048] Mem Update: @0001FE08 XXXXXXXX->000008F0
24376.00ns INFO [00003048] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ................................
0001FE00: 0001FE24 00000100 000008F0 00000000 00000000 00000000 0001FF38 00003A58 ...$.......................8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
24408.00ns INFO [00003052] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=382100304E800020000000000001FF4400000000000000000000000000000000 WIMG:0
24440.00ns INFO [00003056] WB Slave: WR @0001FE0C sel=F dat=0001FF44
24440.00ns INFO [00003056] Mem Update: @0001FE0C XXXXXXXX->0001FF44
24440.00ns INFO [00003056] Stack:
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ................................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
24464.00ns INFO [00003059] T0 LOAD 0001FE0C tag=00 len=4 WIMG:0
24480.00ns INFO [00003061] WB Slave: RD @0001FE00=0001FE24
24496.00ns INFO [00003063] WB Slave: RD @0001FE04=00000100
24512.00ns INFO [00003065] WB Slave: RD @0001FE08=000008F0
24528.00ns INFO [00003067] WB Slave: RD @0001FE0C=0001FF44
24544.00ns INFO [00003069] WB Slave: RD @0001FE10=00000000
24560.00ns INFO [00003071] WB Slave: RD @0001FE14=00000000
24576.00ns INFO [00003073] WB Slave: RD @0001FE18=0001FF38
24592.00ns INFO [00003075] WB Slave: RD @0001FE1C=00003A58
24608.00ns INFO [00003077] WB Slave: RD @0001FE20=00000000
24624.00ns INFO [00003079] WB Slave: RD @0001FE24=00000000
24640.00ns INFO [00003081] WB Slave: RD @0001FE28=00000000
24656.00ns INFO [00003083] WB Slave: RD @0001FE2C=00000000
24672.00ns INFO [00003085] WB Slave: RD @0001FE30=00000000
24688.00ns INFO [00003087] WB Slave: RD @0001FE34=00000000
24704.00ns INFO [00003089] WB Slave: RD @0001FE38=00000000
24720.00ns INFO [00003091] WB Slave: RD @0001FE3C=00000000
24792.00ns INFO [00003100] ...tick...
24808.00ns INFO [00003102] C0: CP 0:002668 0000000000002668
24816.00ns INFO [00003103] C0: GPR Update: R06=000000000001FF44
24880.00ns INFO [00003111] T0 IFETCH 00002AC0 tag=08 len=6 WIMG:0
24896.00ns INFO [00003113] WB Slave: RD @00002AC0=4E800020
24904.00ns INFO [00003114] C0: CP 0:00266C 000000000000266C
24912.00ns INFO [00003115] WB Slave: RD @00002AC4=9421FFA0
24912.00ns INFO [00003115] C0: CP 0:002670 0000000000002670
24912.00ns INFO [00003115] C0: GPR Update: R05=00000000000008F0
24920.00ns INFO [00003116] C0: CP 0:002674 1:002678 0000000000002674
24920.00ns INFO [00003116] C0: GPR Update: R04=0000000000000100
24928.00ns INFO [00003117] WB Slave: RD @00002AC8=7C0802A6
24928.00ns INFO [00003117] C0: GPR Update: R03=000000000001FE24
24928.00ns INFO [00003117] C0: LR Update:000000000000267C
24944.00ns INFO [00003119] WB Slave: RD @00002ACC=90010064
24960.00ns INFO [00003121] WB Slave: RD @00002AD0=90610048
24976.00ns INFO [00003123] WB Slave: RD @00002AD4=9081004C
24992.00ns INFO [00003125] WB Slave: RD @00002AD8=90A10050
25008.00ns INFO [00003127] WB Slave: RD @00002ADC=90C10054
25024.00ns INFO [00003129] WB Slave: RD @00002AE0=8121004C
25040.00ns INFO [00003131] WB Slave: RD @00002AE4=2C090000
25056.00ns INFO [00003133] WB Slave: RD @00002AE8=4080000C
25072.00ns INFO [00003135] WB Slave: RD @00002AEC=39200000
25088.00ns INFO [00003137] WB Slave: RD @00002AF0=48000D3C
25104.00ns INFO [00003139] WB Slave: RD @00002AF4=81210048
25120.00ns INFO [00003141] WB Slave: RD @00002AF8=91210018
25136.00ns INFO [00003143] WB Slave: RD @00002AFC=81410048
25296.00ns INFO [00003163] T0 IFETCH 00002B00 tag=09 len=6 WIMG:0
25312.00ns INFO [00003165] WB Slave: RD @00002B00=8121004C
25312.00ns INFO [00003165] C0: CP 0:002AC4 1:002AC8 0000000000002AC4
25320.00ns INFO [00003166] C0: GPR Update: R00=000000000000267C
25320.00ns INFO [00003166] C0: GPR Update: R01=000000000001FD88
25328.00ns INFO [00003167] WB Slave: RD @00002B04=7D2A4A14
25344.00ns INFO [00003169] WB Slave: RD @00002B08=9121001C
25344.00ns INFO [00003169] C0: CP 0:002ACC 0000000000002ACC
25360.00ns INFO [00003171] WB Slave: RD @00002B0C=8141001C
25360.00ns INFO [00003171] C0: CP 0:002AD0 0000000000002AD0
25368.00ns INFO [00003172] C0: CP 0:002AD4 0000000000002AD4
25376.00ns INFO [00003173] WB Slave: RD @00002B10=81210048
25376.00ns INFO [00003173] C0: CP 0:002AD8 0000000000002AD8
25384.00ns INFO [00003174] C0: CP 0:002ADC 0000000000002ADC
25392.00ns INFO [00003175] WB Slave: RD @00002B14=7C0A4840
25408.00ns INFO [00003177] WB Slave: RD @00002B18=40800CBC
25424.00ns INFO [00003179] WB Slave: RD @00002B1C=3920FFFF
25440.00ns INFO [00003181] WB Slave: RD @00002B20=9121001C
25456.00ns INFO [00003183] WB Slave: RD @00002B24=8141001C
25472.00ns INFO [00003185] WB Slave: RD @00002B28=81210048
25488.00ns INFO [00003187] WB Slave: RD @00002B2C=7D295050
25504.00ns INFO [00003189] WB Slave: RD @00002B30=9121004C
25520.00ns INFO [00003191] WB Slave: RD @00002B34=48000CA0
25536.00ns INFO [00003193] WB Slave: RD @00002B38=81210050
25552.00ns INFO [00003195] WB Slave: RD @00002B3C=89290000
25592.00ns INFO [00003200] ...tick...
25648.00ns INFO [00003207] T0 STORE 0001FD88 tag=00 len=4 be=00F00000 data=48000CA0000000000001FDE89121004C00000000000000000000000000000000 WIMG:0
25680.00ns INFO [00003211] WB Slave: WR @0001FD88 sel=F dat=0001FDE8
25680.00ns INFO [00003211] Mem Update: @0001FD88 XXXXXXXX->0001FDE8
25680.00ns INFO [00003211] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDE0: 00000000 00000000 0001FE18 00000000 00000000 00000000 00000000 00000000 ................................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
25728.00ns INFO [00003217] T0 STORE 0001FDEC tag=00 len=4 be=000F0000 data=9121004C48000CA0000000000000267C00000000000000000000000000000000 WIMG:0
25760.00ns INFO [00003221] WB Slave: WR @0001FDEC sel=F dat=0000267C
25760.00ns INFO [00003221] Mem Update: @0001FDEC XXXXXXXX->0000267C
25760.00ns INFO [00003221] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
25792.00ns INFO [00003225] T0 STORE 0001FDD0 tag=00 len=4 be=F0000000 data=0001FE249121004C48000CA00000000000000000000000000000000000000000 WIMG:0
25824.00ns INFO [00003229] WB Slave: WR @0001FDD0 sel=F dat=0001FE24
25824.00ns INFO [00003229] Mem Update: @0001FDD0 XXXXXXXX->0001FE24
25824.00ns INFO [00003229] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000000 00000000 00000000 ...................$............
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
25856.00ns INFO [00003233] T0 STORE 0001FDD4 tag=00 len=4 be=0F000000 data=00000000000001009121004C48000CA000000000000000000000000000000000 WIMG:0
25888.00ns INFO [00003237] WB Slave: WR @0001FDD4 sel=F dat=00000100
25888.00ns INFO [00003237] Mem Update: @0001FDD4 XXXXXXXX->00000100
25888.00ns INFO [00003237] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 00000000 00000000 ...................$............
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
25920.00ns INFO [00003241] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=48000CA000000000000008F09121004C00000000000000000000000000000000 WIMG:0
25952.00ns INFO [00003245] WB Slave: WR @0001FDD8 sel=F dat=000008F0
25952.00ns INFO [00003245] Mem Update: @0001FDD8 XXXXXXXX->000008F0
25952.00ns INFO [00003245] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 00000000 ...................$............
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
25984.00ns INFO [00003249] T0 STORE 0001FDDC tag=00 len=4 be=000F0000 data=9121004C48000CA0000000000001FF4400000000000000000000000000000000 WIMG:0
26016.00ns INFO [00003253] WB Slave: WR @0001FDDC sel=F dat=0001FF44
26016.00ns INFO [00003253] Mem Update: @0001FDDC XXXXXXXX->0001FF44
26016.00ns INFO [00003253] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
26040.00ns INFO [00003256] T0 LOAD 0001FDD4 tag=00 len=4 WIMG:0
26056.00ns INFO [00003258] WB Slave: RD @0001FDC0=00000000
26072.00ns INFO [00003260] WB Slave: RD @0001FDC4=00000000
26088.00ns INFO [00003262] WB Slave: RD @0001FDC8=00000000
26104.00ns INFO [00003264] WB Slave: RD @0001FDCC=00000000
26120.00ns INFO [00003266] WB Slave: RD @0001FDD0=0001FE24
26136.00ns INFO [00003268] WB Slave: RD @0001FDD4=00000100
26152.00ns INFO [00003270] WB Slave: RD @0001FDD8=000008F0
26168.00ns INFO [00003272] WB Slave: RD @0001FDDC=0001FF44
26184.00ns INFO [00003274] WB Slave: RD @0001FDE0=00000000
26200.00ns INFO [00003276] WB Slave: RD @0001FDE4=00000000
26216.00ns INFO [00003278] WB Slave: RD @0001FDE8=0001FE18
26232.00ns INFO [00003280] WB Slave: RD @0001FDEC=0000267C
26248.00ns INFO [00003282] WB Slave: RD @0001FDF0=00000000
26264.00ns INFO [00003284] WB Slave: RD @0001FDF4=00000000
26280.00ns INFO [00003286] WB Slave: RD @0001FDF8=00000000
26296.00ns INFO [00003288] WB Slave: RD @0001FDFC=00000000
26392.00ns INFO [00003300] ...tick...
26392.00ns INFO [00003300] C0: CP 0:002AE0 0000000000002AE0
26400.00ns INFO [00003301] C0: GPR Update: R09=0000000000000100
26416.00ns INFO [00003303] C0: CP 0:002AE4 0000000000002AE4
26424.00ns INFO [00003304] C0: CP 0:002AE8 0000000000002AE8
26424.00ns INFO [00003304] C0: CR Update: F0=4
26456.00ns INFO [00003308] T0 IFETCH 000037D0 tag=08 len=6 WIMG:0
26472.00ns INFO [00003310] WB Slave: RD @000037C0=7C691B78
26480.00ns INFO [00003311] C0: CP 0:002AF4 0000000000002AF4
26488.00ns INFO [00003312] WB Slave: RD @000037C4=91210018
26488.00ns INFO [00003312] C0: GPR Update: R09=000000000001FE24
26496.00ns INFO [00003313] C0: CP 0:002AF8 1:002AFC 0000000000002AF8
26504.00ns INFO [00003314] WB Slave: RD @000037C8=81210050
26504.00ns INFO [00003314] C0: CP 0:002B00 1:002B04 0000000000002B00
26504.00ns INFO [00003314] C0: GPR Update: R10=000000000001FE24
26512.00ns INFO [00003315] C0: GPR Update: R09=000000000001FF24
26520.00ns INFO [00003316] WB Slave: RD @000037CC=39290001
26520.00ns INFO [00003316] C0: CP 0:002B08 0000000000002B08
26536.00ns INFO [00003318] WB Slave: RD @000037D0=91210050
26552.00ns INFO [00003320] WB Slave: RD @000037D4=81210050
26568.00ns INFO [00003322] WB Slave: RD @000037D8=89290000
26584.00ns INFO [00003324] WB Slave: RD @000037DC=2C090000
26600.00ns INFO [00003326] WB Slave: RD @000037E0=4082F358
26616.00ns INFO [00003328] WB Slave: RD @000037E4=8121004C
26632.00ns INFO [00003330] WB Slave: RD @000037E8=2C090000
26648.00ns INFO [00003332] WB Slave: RD @000037EC=41820034
26664.00ns INFO [00003334] WB Slave: RD @000037F0=81410018
26680.00ns INFO [00003336] WB Slave: RD @000037F4=8121001C
26696.00ns INFO [00003338] WB Slave: RD @000037F8=7C0A4840
26712.00ns INFO [00003340] WB Slave: RD @000037FC=40800014
26808.00ns INFO [00003352] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE24814100188121001C0000000000000000000000000000000000000000 WIMG:0
26840.00ns INFO [00003356] WB Slave: WR @0001FDA0 sel=F dat=0001FE24
26840.00ns INFO [00003356] Mem Update: @0001FDA0 XXXXXXXX->0001FE24
26840.00ns INFO [00003356] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE24 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ...$............................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
26872.00ns INFO [00003360] T0 STORE 0001FDA4 tag=00 len=4 be=0F000000 data=000000000001FF24814100188121001C00000000000000000000000000000000 WIMG:0
26904.00ns INFO [00003364] WB Slave: WR @0001FDA4 sel=F dat=0001FF24
26904.00ns INFO [00003364] Mem Update: @0001FDA4 XXXXXXXX->0001FF24
26904.00ns INFO [00003364] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE24 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...$...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
26928.00ns INFO [00003367] T0 LOAD 0001FDA4 tag=00 len=4 WIMG:0
26944.00ns INFO [00003369] WB Slave: RD @0001FD80=00000000
26960.00ns INFO [00003371] WB Slave: RD @0001FD84=00000000
26976.00ns INFO [00003373] WB Slave: RD @0001FD88=0001FDE8
26992.00ns INFO [00003375] WB Slave: RD @0001FD8C=00000000
27008.00ns INFO [00003377] WB Slave: RD @0001FD90=00000000
27024.00ns INFO [00003379] WB Slave: RD @0001FD94=00000000
27040.00ns INFO [00003381] WB Slave: RD @0001FD98=00000000
27056.00ns INFO [00003383] WB Slave: RD @0001FD9C=00000000
27072.00ns INFO [00003385] WB Slave: RD @0001FDA0=0001FE24
27088.00ns INFO [00003387] WB Slave: RD @0001FDA4=0001FF24
27104.00ns INFO [00003389] WB Slave: RD @0001FDA8=00000000
27120.00ns INFO [00003391] WB Slave: RD @0001FDAC=00000000
27136.00ns INFO [00003393] WB Slave: RD @0001FDB0=00000000
27152.00ns INFO [00003395] WB Slave: RD @0001FDB4=00000000
27168.00ns INFO [00003397] WB Slave: RD @0001FDB8=00000000
27184.00ns INFO [00003399] WB Slave: RD @0001FDBC=00000000
27192.00ns INFO [00003400] ...tick...
27288.00ns INFO [00003412] C0: CP 0:002B0C 1:002B10 0000000000002B0C
27296.00ns INFO [00003413] C0: GPR Update: R09=000000000001FE24
27296.00ns INFO [00003413] C0: GPR Update: R10=000000000001FF24
27304.00ns INFO [00003414] C0: CP 0:002B14 0000000000002B14
27312.00ns INFO [00003415] C0: CR Update: F0=4
27320.00ns INFO [00003416] C0: CP 0:002B18 1:0037D4 0000000000002B18
27328.00ns INFO [00003417] C0: CP 0:0037D8 1:0037DC 00000000000037D8
27328.00ns INFO [00003417] C0: GPR Update: R09=00000000000008F0
27336.00ns INFO [00003418] C0: CP 0:0037E0 1:002B38 00000000000037E0
27336.00ns INFO [00003418] C0: GPR Update: R09=000000000000006D
27336.00ns INFO [00003418] C0: CR Update: F0=4
27344.00ns INFO [00003419] T0 IFETCH 00003800 tag=09 len=6 WIMG:0
27344.00ns INFO [00003419] C0: CP 0:002B3C 0000000000002B3C
27344.00ns INFO [00003419] C0: GPR Update: R09=00000000000008F0
27352.00ns INFO [00003420] C0: GPR Update: R09=000000000000006D
27360.00ns INFO [00003421] WB Slave: RD @00003800=81210018
27376.00ns INFO [00003423] WB Slave: RD @00003804=39400000
27392.00ns INFO [00003425] WB Slave: RD @00003808=99490000
27408.00ns INFO [00003427] WB Slave: RD @0000380C=48000014
27424.00ns INFO [00003429] WB Slave: RD @00003810=8121001C
27440.00ns INFO [00003431] WB Slave: RD @00003814=3929FFFF
27456.00ns INFO [00003433] WB Slave: RD @00003818=39400000
27472.00ns INFO [00003435] WB Slave: RD @0000381C=99490000
27488.00ns INFO [00003437] WB Slave: RD @00003820=81410018
27504.00ns INFO [00003439] WB Slave: RD @00003824=81210048
27520.00ns INFO [00003441] WB Slave: RD @00003828=7D295050
27536.00ns INFO [00003443] WB Slave: RD @0000382C=7D234B78
27552.00ns INFO [00003445] WB Slave: RD @00003830=80010064
27568.00ns INFO [00003447] WB Slave: RD @00003834=7C0803A6
27584.00ns INFO [00003449] WB Slave: RD @00003838=38210060
27600.00ns INFO [00003451] WB Slave: RD @0000383C=4E800020
27760.00ns INFO [00003471] T0 IFETCH 00002B40 tag=08 len=6 WIMG:0
27776.00ns INFO [00003473] WB Slave: RD @00002B40=28090025
27792.00ns INFO [00003475] WB Slave: RD @00002B44=41820034
27808.00ns INFO [00003477] WB Slave: RD @00002B48=81410018
27824.00ns INFO [00003479] WB Slave: RD @00002B4C=8121001C
27840.00ns INFO [00003481] WB Slave: RD @00002B50=7C0A4840
27856.00ns INFO [00003483] WB Slave: RD @00002B54=40800014
27872.00ns INFO [00003485] WB Slave: RD @00002B58=81210050
27888.00ns INFO [00003487] WB Slave: RD @00002B5C=89490000
27904.00ns INFO [00003489] WB Slave: RD @00002B60=81210018
27920.00ns INFO [00003491] WB Slave: RD @00002B64=99490000
27936.00ns INFO [00003493] WB Slave: RD @00002B68=81210018
27952.00ns INFO [00003495] WB Slave: RD @00002B6C=39290001
27968.00ns INFO [00003497] WB Slave: RD @00002B70=91210018
27984.00ns INFO [00003499] WB Slave: RD @00002B74=48000C54
27992.00ns INFO [00003500] ...tick...
28000.00ns INFO [00003501] WB Slave: RD @00002B78=39200000
28016.00ns INFO [00003503] WB Slave: RD @00002B7C=91210024
28168.00ns INFO [00003522] C0: CP 0:002B40 0000000000002B40
28176.00ns INFO [00003523] T0 IFETCH 00002B80 tag=09 len=6 WIMG:0
28176.00ns INFO [00003523] C0: CP 0:002B44 0000000000002B44
28176.00ns INFO [00003523] C0: CR Update: F0=4
28192.00ns INFO [00003525] WB Slave: RD @00002B80=81210050
28208.00ns INFO [00003527] WB Slave: RD @00002B84=39290001
28224.00ns INFO [00003529] WB Slave: RD @00002B88=91210050
28240.00ns INFO [00003531] WB Slave: RD @00002B8C=81210050
28256.00ns INFO [00003533] WB Slave: RD @00002B90=89290000
28272.00ns INFO [00003535] WB Slave: RD @00002B94=3929FFE0
28288.00ns INFO [00003537] WB Slave: RD @00002B98=28090010
28304.00ns INFO [00003539] WB Slave: RD @00002B9C=4181007C
28320.00ns INFO [00003541] WB Slave: RD @00002BA0=552A103A
28328.00ns INFO [00003542] C0: CP 0:002B48 0000000000002B48
28336.00ns INFO [00003543] WB Slave: RD @00002BA4=3D200000
28336.00ns INFO [00003543] C0: CP 0:002B4C 0000000000002B4C
28336.00ns INFO [00003543] C0: GPR Update: R10=000000000001FE24
28344.00ns INFO [00003544] C0: CP 0:002B50 0000000000002B50
28344.00ns INFO [00003544] C0: GPR Update: R09=000000000001FF24
28352.00ns INFO [00003545] WB Slave: RD @00002BA8=39290A68
28352.00ns INFO [00003545] C0: CP 0:002B54 0000000000002B54
28352.00ns INFO [00003545] C0: CR Update: F0=8
28368.00ns INFO [00003547] WB Slave: RD @00002BAC=7D2A4A14
28384.00ns INFO [00003549] WB Slave: RD @00002BB0=81490000
28400.00ns INFO [00003551] WB Slave: RD @00002BB4=3D200000
28416.00ns INFO [00003553] WB Slave: RD @00002BB8=39290A68
28432.00ns INFO [00003555] WB Slave: RD @00002BBC=7D2A4A14
28504.00ns INFO [00003564] C0: CP 0:002B58 0000000000002B58
28512.00ns INFO [00003565] C0: GPR Update: R09=00000000000008F0
28536.00ns INFO [00003568] C0: CP 0:002B5C 0000000000002B5C
28544.00ns INFO [00003569] C0: CP 0:002B60 0000000000002B60
28544.00ns INFO [00003569] C0: GPR Update: R10=000000000000006D
28552.00ns INFO [00003570] C0: CP 0:002B64 0000000000002B64
28552.00ns INFO [00003570] C0: GPR Update: R09=000000000001FE24
28560.00ns INFO [00003571] C0: CP 0:002B68 1:002B6C 0000000000002B68
28568.00ns INFO [00003572] C0: CP 0:002B70 1:002B74 0000000000002B70
28568.00ns INFO [00003572] C0: GPR Update: R09=000000000001FE25
28576.00ns INFO [00003573] C0: CP 0:0037C8 1:0037CC 00000000000037C8
28584.00ns INFO [00003574] C0: CP 0:0037D0 00000000000037D0
28584.00ns INFO [00003574] C0: GPR Update: R09=00000000000008F1
28616.00ns INFO [00003578] T0 STORE 0001FE24 tag=00 len=1 be=08000000 data=000000006D814900003D20000000000000000000000000000000000000000000 WIMG:0
28648.00ns INFO [00003582] WB Slave: WR @0001FE24 sel=8 dat=6D814900
28648.00ns INFO [00003582] Mem Update: @0001FE24 XXXXXXXX->6D000000
28648.00ns INFO [00003582] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE24 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...$...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D000000 00000000 00000000 00000000 00000000 00000000 00000000 ....m...........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
28680.00ns INFO [00003586] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE25814900003D2000000000000000000000000000000000000000000000 WIMG:0
28712.00ns INFO [00003590] WB Slave: WR @0001FDA0 sel=F dat=0001FE25
28712.00ns INFO [00003590] Mem Update: @0001FDA0 0001FE24->0001FE25
28712.00ns INFO [00003590] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE25 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...%...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F0 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D000000 00000000 00000000 00000000 00000000 00000000 00000000 ....m...........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
28744.00ns INFO [00003594] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=3D20000000000000000008F18149000000000000000000000000000000000000 WIMG:0
28776.00ns INFO [00003598] WB Slave: WR @0001FDD8 sel=F dat=000008F1
28776.00ns INFO [00003598] Mem Update: @0001FDD8 000008F0->000008F1
28776.00ns INFO [00003598] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE25 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...%...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F1 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D000000 00000000 00000000 00000000 00000000 00000000 00000000 ....m...........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
28792.00ns INFO [00003600] ...tick...
28800.00ns INFO [00003601] T0 IFETCH 00002C10 tag=08 len=6 WIMG:0
28816.00ns INFO [00003603] WB Slave: RD @00002C00=91210024
28832.00ns INFO [00003605] WB Slave: RD @00002C04=4BFFFF7C
28840.00ns INFO [00003606] C0: CP 0:0037D4 00000000000037D4
28848.00ns INFO [00003607] WB Slave: RD @00002C08=81210024
28848.00ns INFO [00003607] C0: GPR Update: R09=00000000000008F1
28864.00ns INFO [00003609] WB Slave: RD @00002C0C=61290001
28872.00ns INFO [00003610] C0: CP 0:0037D8 00000000000037D8
28880.00ns INFO [00003611] WB Slave: RD @00002C10=91210024
28880.00ns INFO [00003611] C0: CP 0:0037DC 00000000000037DC
28880.00ns INFO [00003611] C0: GPR Update: R09=0000000000000061
28888.00ns INFO [00003612] C0: CP 0:0037E0 1:002B38 00000000000037E0
28888.00ns INFO [00003612] C0: CR Update: F0=4
28896.00ns INFO [00003613] WB Slave: RD @00002C14=4BFFFF6C
28896.00ns INFO [00003613] C0: CP 0:002B3C 1:002B40 0000000000002B3C
28896.00ns INFO [00003613] C0: GPR Update: R09=00000000000008F1
28904.00ns INFO [00003614] C0: CP 0:002B44 0000000000002B44
28904.00ns INFO [00003614] C0: GPR Update: R09=0000000000000061
28904.00ns INFO [00003614] C0: CR Update: F0=4
28912.00ns INFO [00003615] WB Slave: RD @00002C18=3920FFFF
28928.00ns INFO [00003617] WB Slave: RD @00002C1C=91210028
28944.00ns INFO [00003619] WB Slave: RD @00002C20=81210050
28960.00ns INFO [00003621] WB Slave: RD @00002C24=89290000
28976.00ns INFO [00003623] WB Slave: RD @00002C28=7D2A4B78
28992.00ns INFO [00003625] WB Slave: RD @00002C2C=3D200000
29008.00ns INFO [00003627] WB Slave: RD @00002C30=39290904
29024.00ns INFO [00003629] WB Slave: RD @00002C34=7D2950AE
29040.00ns INFO [00003631] WB Slave: RD @00002C38=5529077A
29048.00ns INFO [00003632] C0: CP 0:002B48 0000000000002B48
29056.00ns INFO [00003633] WB Slave: RD @00002C3C=2C090000
29056.00ns INFO [00003633] C0: CP 0:002B4C 0000000000002B4C
29056.00ns INFO [00003633] C0: GPR Update: R10=000000000001FE25
29064.00ns INFO [00003634] C0: CP 0:002B50 0000000000002B50
29064.00ns INFO [00003634] C0: GPR Update: R09=000000000001FF24
29072.00ns INFO [00003635] C0: CP 0:002B54 0000000000002B54
29072.00ns INFO [00003635] C0: CR Update: F0=8
29216.00ns INFO [00003653] T0 IFETCH 00002C40 tag=09 len=6 WIMG:0
29224.00ns INFO [00003654] C0: CP 0:002B58 0000000000002B58
29232.00ns INFO [00003655] WB Slave: RD @00002C40=4182001C
29232.00ns INFO [00003655] C0: GPR Update: R09=00000000000008F1
29248.00ns INFO [00003657] WB Slave: RD @00002C44=39210050
29256.00ns INFO [00003658] C0: CP 0:002B5C 0000000000002B5C
29264.00ns INFO [00003659] WB Slave: RD @00002C48=7D234B78
29264.00ns INFO [00003659] C0: CP 0:002B60 0000000000002B60
29264.00ns INFO [00003659] C0: GPR Update: R10=0000000000000061
29272.00ns INFO [00003660] C0: CP 0:002B64 0000000000002B64
29272.00ns INFO [00003660] C0: GPR Update: R09=000000000001FE25
29280.00ns INFO [00003661] WB Slave: RD @00002C4C=4BFFF501
29280.00ns INFO [00003661] C0: CP 0:002B68 1:002B6C 0000000000002B68
29288.00ns INFO [00003662] C0: CP 0:002B70 1:002B74 0000000000002B70
29288.00ns INFO [00003662] C0: GPR Update: R09=000000000001FE26
29296.00ns INFO [00003663] WB Slave: RD @00002C50=7C691B78
29296.00ns INFO [00003663] C0: CP 0:0037C8 1:0037CC 00000000000037C8
29304.00ns INFO [00003664] C0: GPR Update: R09=00000000000008F2
29312.00ns INFO [00003665] WB Slave: RD @00002C54=91210028
29312.00ns INFO [00003665] C0: CP 0:0037D0 00000000000037D0
29328.00ns INFO [00003667] WB Slave: RD @00002C58=4800009C
29344.00ns INFO [00003669] WB Slave: RD @00002C5C=81210050
29360.00ns INFO [00003671] WB Slave: RD @00002C60=89290000
29376.00ns INFO [00003673] WB Slave: RD @00002C64=2809002A
29392.00ns INFO [00003675] WB Slave: RD @00002C68=4082008C
29408.00ns INFO [00003677] WB Slave: RD @00002C6C=81210050
29424.00ns INFO [00003679] WB Slave: RD @00002C70=39290001
29440.00ns INFO [00003681] WB Slave: RD @00002C74=91210050
29456.00ns INFO [00003683] WB Slave: RD @00002C78=81210054
29472.00ns INFO [00003685] WB Slave: RD @00002C7C=89290000
29568.00ns INFO [00003697] T0 STORE 0001FE25 tag=00 len=1 be=04000000 data=0000000000613929000191210050000000000000000000000000000000000000 WIMG:0
29592.00ns INFO [00003700] ...tick...
29600.00ns INFO [00003701] WB Slave: WR @0001FE24 sel=4 dat=00613929
29600.00ns INFO [00003701] Mem Update: @0001FE24 6D000000->6D610000
29600.00ns INFO [00003701] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE25 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...%...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F1 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D610000 00000000 00000000 00000000 00000000 00000000 00000000 ....ma..........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
29632.00ns INFO [00003705] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE2639290001912100500000000000000000000000000000000000000000 WIMG:0
29664.00ns INFO [00003709] WB Slave: WR @0001FDA0 sel=F dat=0001FE26
29664.00ns INFO [00003709] Mem Update: @0001FDA0 0001FE25->0001FE26
29664.00ns INFO [00003709] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE26 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...&...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F1 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D610000 00000000 00000000 00000000 00000000 00000000 00000000 ....ma..........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
29696.00ns INFO [00003713] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=9121005000000000000008F23929000100000000000000000000000000000000 WIMG:0
29728.00ns INFO [00003717] WB Slave: WR @0001FDD8 sel=F dat=000008F2
29728.00ns INFO [00003717] Mem Update: @0001FDD8 000008F1->000008F2
29728.00ns INFO [00003717] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE26 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...&...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F2 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D610000 00000000 00000000 00000000 00000000 00000000 00000000 ....ma..........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
29752.00ns INFO [00003720] T0 IFETCH 00002CF0 tag=08 len=6 WIMG:0
29768.00ns INFO [00003722] WB Slave: RD @00002CC0=81410054
29784.00ns INFO [00003724] WB Slave: RD @00002CC4=910A0004
29792.00ns INFO [00003725] C0: CP 0:0037D4 00000000000037D4
29800.00ns INFO [00003726] WB Slave: RD @00002CC8=81290000
29800.00ns INFO [00003726] C0: GPR Update: R09=00000000000008F2
29816.00ns INFO [00003728] WB Slave: RD @00002CCC=91210028
29824.00ns INFO [00003729] C0: CP 0:0037D8 00000000000037D8
29832.00ns INFO [00003730] WB Slave: RD @00002CD0=81210028
29832.00ns INFO [00003730] C0: CP 0:0037DC 00000000000037DC
29832.00ns INFO [00003730] C0: GPR Update: R09=0000000000000069
29840.00ns INFO [00003731] C0: CP 0:0037E0 1:002B38 00000000000037E0
29840.00ns INFO [00003731] C0: CR Update: F0=4
29848.00ns INFO [00003732] WB Slave: RD @00002CD4=2C090000
29848.00ns INFO [00003732] C0: CP 0:002B3C 1:002B40 0000000000002B3C
29848.00ns INFO [00003732] C0: GPR Update: R09=00000000000008F2
29856.00ns INFO [00003733] C0: CP 0:002B44 0000000000002B44
29856.00ns INFO [00003733] C0: GPR Update: R09=0000000000000069
29856.00ns INFO [00003733] C0: CR Update: F0=4
29864.00ns INFO [00003734] WB Slave: RD @00002CD8=4080001C
29880.00ns INFO [00003736] WB Slave: RD @00002CDC=81210028
29896.00ns INFO [00003738] WB Slave: RD @00002CE0=7D2900D0
29912.00ns INFO [00003740] WB Slave: RD @00002CE4=91210028
29928.00ns INFO [00003742] WB Slave: RD @00002CE8=81210024
29944.00ns INFO [00003744] WB Slave: RD @00002CEC=61290010
29960.00ns INFO [00003746] WB Slave: RD @00002CF0=91210024
29976.00ns INFO [00003748] WB Slave: RD @00002CF4=3920FFFF
29992.00ns INFO [00003750] WB Slave: RD @00002CF8=9121002C
30000.00ns INFO [00003751] C0: CP 0:002B48 0000000000002B48
30008.00ns INFO [00003752] WB Slave: RD @00002CFC=81210050
30008.00ns INFO [00003752] C0: CP 0:002B4C 0000000000002B4C
30008.00ns INFO [00003752] C0: GPR Update: R10=000000000001FE26
30016.00ns INFO [00003753] C0: CP 0:002B50 0000000000002B50
30016.00ns INFO [00003753] C0: GPR Update: R09=000000000001FF24
30024.00ns INFO [00003754] C0: CP 0:002B54 0000000000002B54
30024.00ns INFO [00003754] C0: CR Update: F0=8
30168.00ns INFO [00003772] T0 IFETCH 00002D00 tag=09 len=6 WIMG:0
30176.00ns INFO [00003773] C0: CP 0:002B58 0000000000002B58
30184.00ns INFO [00003774] WB Slave: RD @00002D00=89290000
30184.00ns INFO [00003774] C0: GPR Update: R09=00000000000008F2
30200.00ns INFO [00003776] WB Slave: RD @00002D04=2809002E
30208.00ns INFO [00003777] C0: CP 0:002B5C 0000000000002B5C
30216.00ns INFO [00003778] WB Slave: RD @00002D08=408200D4
30216.00ns INFO [00003778] C0: CP 0:002B60 0000000000002B60
30216.00ns INFO [00003778] C0: GPR Update: R10=0000000000000069
30224.00ns INFO [00003779] C0: CP 0:002B64 0000000000002B64
30224.00ns INFO [00003779] C0: GPR Update: R09=000000000001FE26
30232.00ns INFO [00003780] WB Slave: RD @00002D0C=81210050
30232.00ns INFO [00003780] C0: CP 0:002B68 1:002B6C 0000000000002B68
30240.00ns INFO [00003781] C0: CP 0:002B70 1:002B74 0000000000002B70
30240.00ns INFO [00003781] C0: GPR Update: R09=000000000001FE27
30248.00ns INFO [00003782] WB Slave: RD @00002D10=39290001
30248.00ns INFO [00003782] C0: CP 0:0037C8 1:0037CC 00000000000037C8
30256.00ns INFO [00003783] C0: GPR Update: R09=00000000000008F3
30264.00ns INFO [00003784] WB Slave: RD @00002D14=91210050
30264.00ns INFO [00003784] C0: CP 0:0037D0 00000000000037D0
30280.00ns INFO [00003786] WB Slave: RD @00002D18=81210050
30296.00ns INFO [00003788] WB Slave: RD @00002D1C=89290000
30312.00ns INFO [00003790] WB Slave: RD @00002D20=7D2A4B78
30328.00ns INFO [00003792] WB Slave: RD @00002D24=3D200000
30344.00ns INFO [00003794] WB Slave: RD @00002D28=39290904
30360.00ns INFO [00003796] WB Slave: RD @00002D2C=7D2950AE
30376.00ns INFO [00003798] WB Slave: RD @00002D30=5529077A
30392.00ns INFO [00003800] ...tick...
30392.00ns INFO [00003800] WB Slave: RD @00002D34=2C090000
30408.00ns INFO [00003802] WB Slave: RD @00002D38=4182001C
30424.00ns INFO [00003804] WB Slave: RD @00002D3C=39210050
30520.00ns INFO [00003816] T0 STORE 0001FE26 tag=00 len=1 be=02000000 data=000000000000695529077A2C0900000000000000000000000000000000000000 WIMG:0
30552.00ns INFO [00003820] WB Slave: WR @0001FE24 sel=2 dat=00006955
30552.00ns INFO [00003820] Mem Update: @0001FE24 6D610000->6D616900
30552.00ns INFO [00003820] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE26 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...&...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F2 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D616900 00000000 00000000 00000000 00000000 00000000 00000000 ....mai.........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
30584.00ns INFO [00003824] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE275529077A2C0900000000000000000000000000000000000000000000 WIMG:0
30616.00ns INFO [00003828] WB Slave: WR @0001FDA0 sel=F dat=0001FE27
30616.00ns INFO [00003828] Mem Update: @0001FDA0 0001FE26->0001FE27
30616.00ns INFO [00003828] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE27 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...'...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F2 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D616900 00000000 00000000 00000000 00000000 00000000 00000000 ....mai.........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
30648.00ns INFO [00003832] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F35529077A00000000000000000000000000000000 WIMG:0
30680.00ns INFO [00003836] WB Slave: WR @0001FDD8 sel=F dat=000008F3
30680.00ns INFO [00003836] Mem Update: @0001FDD8 000008F2->000008F3
30680.00ns INFO [00003836] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE27 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...'...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F3 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D616900 00000000 00000000 00000000 00000000 00000000 00000000 ....mai.........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
30744.00ns INFO [00003844] C0: CP 0:0037D4 00000000000037D4
30752.00ns INFO [00003845] C0: GPR Update: R09=00000000000008F3
30776.00ns INFO [00003848] C0: CP 0:0037D8 00000000000037D8
30784.00ns INFO [00003849] C0: CP 0:0037DC 00000000000037DC
30784.00ns INFO [00003849] C0: GPR Update: R09=000000000000006E
30792.00ns INFO [00003850] C0: CP 0:0037E0 1:002B38 00000000000037E0
30792.00ns INFO [00003850] C0: CR Update: F0=4
30800.00ns INFO [00003851] C0: CP 0:002B3C 1:002B40 0000000000002B3C
30800.00ns INFO [00003851] C0: GPR Update: R09=00000000000008F3
30808.00ns INFO [00003852] C0: CP 0:002B44 0000000000002B44
30808.00ns INFO [00003852] C0: GPR Update: R09=000000000000006E
30808.00ns INFO [00003852] C0: CR Update: F0=4
30952.00ns INFO [00003870] C0: CP 0:002B48 0000000000002B48
30960.00ns INFO [00003871] C0: CP 0:002B4C 0000000000002B4C
30960.00ns INFO [00003871] C0: GPR Update: R10=000000000001FE27
30968.00ns INFO [00003872] C0: CP 0:002B50 0000000000002B50
30968.00ns INFO [00003872] C0: GPR Update: R09=000000000001FF24
30976.00ns INFO [00003873] C0: CP 0:002B54 0000000000002B54
30976.00ns INFO [00003873] C0: CR Update: F0=8
31128.00ns INFO [00003892] C0: CP 0:002B58 0000000000002B58
31136.00ns INFO [00003893] C0: GPR Update: R09=00000000000008F3
31160.00ns INFO [00003896] C0: CP 0:002B5C 0000000000002B5C
31168.00ns INFO [00003897] C0: CP 0:002B60 0000000000002B60
31168.00ns INFO [00003897] C0: GPR Update: R10=000000000000006E
31176.00ns INFO [00003898] C0: CP 0:002B64 0000000000002B64
31176.00ns INFO [00003898] C0: GPR Update: R09=000000000001FE27
31184.00ns INFO [00003899] C0: CP 0:002B68 1:002B6C 0000000000002B68
31192.00ns INFO [00003900] ...tick...
31192.00ns INFO [00003900] C0: CP 0:002B70 1:002B74 0000000000002B70
31192.00ns INFO [00003900] C0: GPR Update: R09=000000000001FE28
31200.00ns INFO [00003901] C0: CP 0:0037C8 1:0037CC 00000000000037C8
31208.00ns INFO [00003902] C0: CP 0:0037D0 00000000000037D0
31208.00ns INFO [00003902] C0: GPR Update: R09=00000000000008F4
31224.00ns INFO [00003904] T0 STORE 0001FE27 tag=00 len=1 be=01000000 data=000000000000006E5529077A2C09000000000000000000000000000000000000 WIMG:0
31256.00ns INFO [00003908] WB Slave: WR @0001FE24 sel=1 dat=0000006E
31256.00ns INFO [00003908] Mem Update: @0001FE24 6D616900->6D61696E
31256.00ns INFO [00003908] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE27 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...'...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F3 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 00000000 00000000 00000000 00000000 00000000 00000000 ....main........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
31288.00ns INFO [00003912] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE285529077A2C0900000000000000000000000000000000000000000000 WIMG:0
31320.00ns INFO [00003916] WB Slave: WR @0001FDA0 sel=F dat=0001FE28
31320.00ns INFO [00003916] Mem Update: @0001FDA0 0001FE27->0001FE28
31320.00ns INFO [00003916] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE28 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...(...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F3 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 00000000 00000000 00000000 00000000 00000000 00000000 ....main........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
31352.00ns INFO [00003920] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F45529077A00000000000000000000000000000000 WIMG:0
31384.00ns INFO [00003924] WB Slave: WR @0001FDD8 sel=F dat=000008F4
31384.00ns INFO [00003924] Mem Update: @0001FDD8 000008F3->000008F4
31384.00ns INFO [00003924] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE28 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...(...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F4 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 00000000 00000000 00000000 00000000 00000000 00000000 ....main........................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
31448.00ns INFO [00003932] C0: CP 0:0037D4 00000000000037D4
31456.00ns INFO [00003933] C0: GPR Update: R09=00000000000008F4
31480.00ns INFO [00003936] C0: CP 0:0037D8 00000000000037D8
31488.00ns INFO [00003937] C0: CP 0:0037DC 00000000000037DC
31488.00ns INFO [00003937] C0: GPR Update: R09=0000000000000028
31496.00ns INFO [00003938] C0: CP 0:0037E0 1:002B38 00000000000037E0
31496.00ns INFO [00003938] C0: CR Update: F0=4
31504.00ns INFO [00003939] C0: CP 0:002B3C 1:002B40 0000000000002B3C
31504.00ns INFO [00003939] C0: GPR Update: R09=00000000000008F4
31512.00ns INFO [00003940] C0: CP 0:002B44 1:002B48 0000000000002B44
31512.00ns INFO [00003940] C0: GPR Update: R09=0000000000000028
31512.00ns INFO [00003940] C0: CR Update: F0=4
31520.00ns INFO [00003941] C0: CP 0:002B4C 1:002B50 0000000000002B4C
31520.00ns INFO [00003941] C0: GPR Update: R10=000000000001FE28
31528.00ns INFO [00003942] C0: CP 0:002B54 1:002B58 0000000000002B54
31528.00ns INFO [00003942] C0: GPR Update: R09=000000000001FF24
31528.00ns INFO [00003942] C0: CR Update: F0=8
31536.00ns INFO [00003943] C0: CP 0:002B5C 1:002B60 0000000000002B5C
31536.00ns INFO [00003943] C0: GPR Update: R09=00000000000008F4
31544.00ns INFO [00003944] C0: CP 0:002B64 0000000000002B64
31544.00ns INFO [00003944] C0: GPR Update: R09=000000000001FE28
31544.00ns INFO [00003944] C0: GPR Update: R10=0000000000000028
31592.00ns INFO [00003950] T0 STORE 0001FE28 tag=00 len=1 be=00800000 data=0000000000000000285529077A2C090000000000000000000000000000000000 WIMG:0
31608.00ns INFO [00003952] C0: CP 0:002B68 1:002B6C 0000000000002B68
31616.00ns INFO [00003953] C0: GPR Update: R09=000000000001FE29
31624.00ns INFO [00003954] WB Slave: WR @0001FE28 sel=8 dat=28552907
31624.00ns INFO [00003954] Mem Update: @0001FE28 XXXXXXXX->28000000
31624.00ns INFO [00003954] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE28 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...(...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F4 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
31632.00ns INFO [00003955] C0: CP 0:002B70 1:002B74 0000000000002B70
31640.00ns INFO [00003956] C0: CP 0:0037C8 1:0037CC 00000000000037C8
31648.00ns INFO [00003957] C0: GPR Update: R09=00000000000008F5
31656.00ns INFO [00003958] C0: CP 0:0037D0 00000000000037D0
31688.00ns INFO [00003962] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE295529077A2C0900000000000000000000000000000000000000000000 WIMG:0
31720.00ns INFO [00003966] WB Slave: WR @0001FDA0 sel=F dat=0001FE29
31720.00ns INFO [00003966] Mem Update: @0001FDA0 0001FE28->0001FE29
31720.00ns INFO [00003966] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F4 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
31752.00ns INFO [00003970] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F55529077A00000000000000000000000000000000 WIMG:0
31784.00ns INFO [00003974] WB Slave: WR @0001FDD8 sel=F dat=000008F5
31784.00ns INFO [00003974] Mem Update: @0001FDD8 000008F4->000008F5
31784.00ns INFO [00003974] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F5 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
31848.00ns INFO [00003982] C0: CP 0:0037D4 00000000000037D4
31856.00ns INFO [00003983] C0: GPR Update: R09=00000000000008F5
31880.00ns INFO [00003986] C0: CP 0:0037D8 1:0037DC 00000000000037D8
31888.00ns INFO [00003987] C0: GPR Update: R09=0000000000000025
31888.00ns INFO [00003987] C0: CR Update: F0=4
31896.00ns INFO [00003988] C0: CP 0:0037E0 1:002B38 00000000000037E0
31904.00ns INFO [00003989] C0: CP 0:002B3C 1:002B40 0000000000002B3C
31904.00ns INFO [00003989] C0: GPR Update: R09=00000000000008F5
31912.00ns INFO [00003990] C0: CP 0:002B44 0000000000002B44
31912.00ns INFO [00003990] C0: GPR Update: R09=0000000000000025
31912.00ns INFO [00003990] C0: CR Update: F0=2
31992.00ns INFO [00004000] ...tick...
32024.00ns INFO [00004004] C0: CP 0:002B78 0000000000002B78
32032.00ns INFO [00004005] C0: GPR Update: R09=0000000000000000
32048.00ns INFO [00004007] C0: CP 0:002B7C 0000000000002B7C
32064.00ns INFO [00004009] C0: CP 0:002B80 1:002B84 0000000000002B80
32072.00ns INFO [00004010] C0: GPR Update: R09=00000000000008F6
32088.00ns INFO [00004012] T0 STORE 0001FDAC tag=00 len=4 be=000F0000 data=5529077A2C090000000000000000000000000000000000000000000000000000 WIMG:0
32088.00ns INFO [00004012] C0: CP 0:002B88 0000000000002B88
32120.00ns INFO [00004016] WB Slave: WR @0001FDAC sel=F dat=00000000
32120.00ns INFO [00004016] Mem Update: @0001FDAC XXXXXXXX->00000000
32152.00ns INFO [00004020] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F65529077A00000000000000000000000000000000 WIMG:0
32184.00ns INFO [00004024] WB Slave: WR @0001FDD8 sel=F dat=000008F6
32184.00ns INFO [00004024] Mem Update: @0001FDD8 000008F5->000008F6
32184.00ns INFO [00004024] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
32208.00ns INFO [00004027] T0 IFETCH 00002DD0 tag=08 len=6 WIMG:0
32224.00ns INFO [00004029] WB Slave: RD @00002DC0=81290000
32240.00ns INFO [00004031] WB Slave: RD @00002DC4=9121002C
32248.00ns INFO [00004032] C0: CP 0:002B8C 0000000000002B8C
32256.00ns INFO [00004033] WB Slave: RD @00002DC8=8121002C
32256.00ns INFO [00004033] C0: GPR Update: R09=00000000000008F6
32272.00ns INFO [00004035] WB Slave: RD @00002DCC=2C090000
32288.00ns INFO [00004037] WB Slave: RD @00002DD0=4080000C
32288.00ns INFO [00004037] C0: CP 0:002B90 0000000000002B90
32296.00ns INFO [00004038] C0: CP 0:002B94 0000000000002B94
32296.00ns INFO [00004038] C0: GPR Update: R09=0000000000000069
32304.00ns INFO [00004039] WB Slave: RD @00002DD4=39200000
32304.00ns INFO [00004039] C0: CP 0:002B98 0000000000002B98
32304.00ns INFO [00004039] C0: GPR Update: R09=0000000000000049
32312.00ns INFO [00004040] C0: CP 0:002B9C 1:002C18 0000000000002B9C
32312.00ns INFO [00004040] C0: CR Update: F0=4
32320.00ns INFO [00004041] WB Slave: RD @00002DD8=9121002C
32320.00ns INFO [00004041] C0: CP 0:002C1C 1:002C20 0000000000002C1C
32320.00ns INFO [00004041] C0: GPR Update: R09=FFFFFFFFFFFFFFFF
32328.00ns INFO [00004042] C0: CP 0:002C24 1:002C28 0000000000002C24
32328.00ns INFO [00004042] C0: GPR Update: R09=00000000000008F6
32336.00ns INFO [00004043] WB Slave: RD @00002DDC=3920FFFF
32336.00ns INFO [00004043] C0: CP 0:002C2C 1:002C30 0000000000002C2C
32336.00ns INFO [00004043] C0: GPR Update: R09=0000000000000069
32336.00ns INFO [00004043] C0: GPR Update: R10=0000000000000069
32344.00ns INFO [00004044] C0: GPR Update: R09=0000000000000904
32352.00ns INFO [00004045] WB Slave: RD @00002DE0=91210030
32368.00ns INFO [00004047] WB Slave: RD @00002DE4=81210050
32384.00ns INFO [00004049] WB Slave: RD @00002DE8=89290000
32400.00ns INFO [00004051] WB Slave: RD @00002DEC=28090068
32416.00ns INFO [00004053] WB Slave: RD @00002DF0=41820054
32432.00ns INFO [00004055] WB Slave: RD @00002DF4=81210050
32448.00ns INFO [00004057] WB Slave: RD @00002DF8=89290000
32464.00ns INFO [00004059] WB Slave: RD @00002DFC=2809006C
32560.00ns INFO [00004071] T0 STORE 0001FDB0 tag=00 len=4 be=F0000000 data=FFFFFFFF4182005481210050FFFFFFFF00000000000000000000000000000000 WIMG:0
32592.00ns INFO [00004075] WB Slave: WR @0001FDB0 sel=F dat=FFFFFFFF
32592.00ns INFO [00004075] Mem Update: @0001FDB0 XXXXXXXX->FFFFFFFF
32592.00ns INFO [00004075] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 FFFFFFFF 00000000 00000000 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
32616.00ns INFO [00004078] T0 LOAD 0000096D tag=00 len=1 WIMG:0
32632.00ns INFO [00004080] WB Slave: RD @00000940=10101010
32648.00ns INFO [00004082] WB Slave: RD @00000944=10414141
32664.00ns INFO [00004084] WB Slave: RD @00000948=41414101
32680.00ns INFO [00004086] WB Slave: RD @0000094C=01010101
32696.00ns INFO [00004088] WB Slave: RD @00000950=01010101
32712.00ns INFO [00004090] WB Slave: RD @00000954=01010101
32728.00ns INFO [00004092] WB Slave: RD @00000958=01010101
32744.00ns INFO [00004094] WB Slave: RD @0000095C=01010110
32760.00ns INFO [00004096] WB Slave: RD @00000960=10101010
32776.00ns INFO [00004098] WB Slave: RD @00000964=10424242
32792.00ns INFO [00004100] ...tick...
32792.00ns INFO [00004100] WB Slave: RD @00000968=42424202
32808.00ns INFO [00004102] WB Slave: RD @0000096C=02020202
32824.00ns INFO [00004104] WB Slave: RD @00000970=02020202
32840.00ns INFO [00004106] WB Slave: RD @00000974=02020202
32856.00ns INFO [00004108] WB Slave: RD @00000978=02020202
32872.00ns INFO [00004110] WB Slave: RD @0000097C=02020210
32976.00ns INFO [00004123] C0: CP 0:002C34 0000000000002C34
32984.00ns INFO [00004124] C0: GPR Update: R09=0000000000000002
32992.00ns INFO [00004125] C0: CP 0:002C38 0000000000002C38
33000.00ns INFO [00004126] C0: GPR Update: R09=0000000000000000
33008.00ns INFO [00004127] C0: CP 0:002C3C 0000000000002C3C
33016.00ns INFO [00004128] C0: CR Update: F0=2
33024.00ns INFO [00004129] C0: CP 0:002C40 1:002C5C 0000000000002C40
33032.00ns INFO [00004130] T0 IFETCH 00002E00 tag=09 len=6 WIMG:0
33032.00ns INFO [00004130] C0: CP 0:002C60 1:002C64 0000000000002C60
33032.00ns INFO [00004130] C0: GPR Update: R09=00000000000008F6
33040.00ns INFO [00004131] C0: CP 0:002C68 1:002CF4 0000000000002C68
33040.00ns INFO [00004131] C0: GPR Update: R09=0000000000000069
33040.00ns INFO [00004131] C0: CR Update: F0=4
33048.00ns INFO [00004132] WB Slave: RD @00002E00=41820044
33048.00ns INFO [00004132] C0: CP 0:002CF8 1:002CFC 0000000000002CF8
33048.00ns INFO [00004132] C0: GPR Update: R09=FFFFFFFFFFFFFFFF
33056.00ns INFO [00004133] C0: CP 0:002D00 1:002D04 0000000000002D00
33056.00ns INFO [00004133] C0: GPR Update: R09=00000000000008F6
33064.00ns INFO [00004134] WB Slave: RD @00002E04=81210050
33064.00ns INFO [00004134] C0: CP 0:002D08 1:002DDC 0000000000002D08
33064.00ns INFO [00004134] C0: GPR Update: R09=0000000000000069
33064.00ns INFO [00004134] C0: CR Update: F0=4
33072.00ns INFO [00004135] C0: GPR Update: R09=FFFFFFFFFFFFFFFF
33080.00ns INFO [00004136] WB Slave: RD @00002E08=89290000
33096.00ns INFO [00004138] WB Slave: RD @00002E0C=2809004C
33096.00ns INFO [00004138] C0: CP 0:002DE0 0000000000002DE0
33112.00ns INFO [00004140] WB Slave: RD @00002E10=41820034
33112.00ns INFO [00004140] C0: CP 0:002DE4 0000000000002DE4
33120.00ns INFO [00004141] C0: GPR Update: R09=00000000000008F6
33128.00ns INFO [00004142] WB Slave: RD @00002E14=81210050
33144.00ns INFO [00004144] WB Slave: RD @00002E18=89290000
33144.00ns INFO [00004144] C0: CP 0:002DE8 0000000000002DE8
33152.00ns INFO [00004145] C0: CP 0:002DEC 0000000000002DEC
33152.00ns INFO [00004145] C0: GPR Update: R09=0000000000000069
33160.00ns INFO [00004146] WB Slave: RD @00002E1C=2809005A
33160.00ns INFO [00004146] C0: CP 0:002DF0 0000000000002DF0
33160.00ns INFO [00004146] C0: CR Update: F0=4
33176.00ns INFO [00004148] WB Slave: RD @00002E20=41820024
33192.00ns INFO [00004150] WB Slave: RD @00002E24=81210050
33208.00ns INFO [00004152] WB Slave: RD @00002E28=89290000
33224.00ns INFO [00004154] WB Slave: RD @00002E2C=2809007A
33240.00ns INFO [00004156] WB Slave: RD @00002E30=41820014
33256.00ns INFO [00004158] WB Slave: RD @00002E34=81210050
33272.00ns INFO [00004160] WB Slave: RD @00002E38=89290000
33288.00ns INFO [00004162] WB Slave: RD @00002E3C=28090074
33312.00ns INFO [00004165] C0: CP 0:002DF4 0000000000002DF4
33320.00ns INFO [00004166] C0: GPR Update: R09=00000000000008F6
33344.00ns INFO [00004169] C0: CP 0:002DF8 0000000000002DF8
33352.00ns INFO [00004170] C0: CP 0:002DFC 0000000000002DFC
33352.00ns INFO [00004170] C0: GPR Update: R09=0000000000000069
33360.00ns INFO [00004171] C0: CR Update: F0=8
33384.00ns INFO [00004174] T0 STORE 0001FDB4 tag=00 len=4 be=0F000000 data=FFFFFFFFFFFFFFFF418200148121005000000000000000000000000000000000 WIMG:0
33416.00ns INFO [00004178] WB Slave: WR @0001FDB4 sel=F dat=FFFFFFFF
33416.00ns INFO [00004178] Mem Update: @0001FDB4 XXXXXXXX->FFFFFFFF
33416.00ns INFO [00004178] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 FFFFFFFF FFFFFFFF 00000000 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
33448.00ns INFO [00004182] T0 STORE 0001FDB8 tag=00 len=4 be=00F00000 data=81210050FFFFFFFFFFFFFFFF4182001400000000000000000000000000000000 WIMG:0
33480.00ns INFO [00004186] WB Slave: WR @0001FDB8 sel=F dat=FFFFFFFF
33480.00ns INFO [00004186] Mem Update: @0001FDB8 XXXXXXXX->FFFFFFFF
33480.00ns INFO [00004186] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 00000000 ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
33504.00ns INFO [00004189] T0 IFETCH 00002E40 tag=08 len=6 WIMG:0
33520.00ns INFO [00004191] WB Slave: RD @00002E40=4082004C
33536.00ns INFO [00004193] WB Slave: RD @00002E44=81210050
33552.00ns INFO [00004195] WB Slave: RD @00002E48=89290000
33568.00ns INFO [00004197] WB Slave: RD @00002E4C=91210030
33584.00ns INFO [00004199] WB Slave: RD @00002E50=81210050
33592.00ns INFO [00004200] ...tick...
33600.00ns INFO [00004201] WB Slave: RD @00002E54=39290001
33616.00ns INFO [00004203] WB Slave: RD @00002E58=91210050
33632.00ns INFO [00004205] WB Slave: RD @00002E5C=81210030
33648.00ns INFO [00004207] WB Slave: RD @00002E60=2C09006C
33664.00ns INFO [00004209] WB Slave: RD @00002E64=40820028
33680.00ns INFO [00004211] WB Slave: RD @00002E68=81210050
33696.00ns INFO [00004213] WB Slave: RD @00002E6C=89290000
33712.00ns INFO [00004215] WB Slave: RD @00002E70=2809006C
33728.00ns INFO [00004217] WB Slave: RD @00002E74=40820018
33744.00ns INFO [00004219] WB Slave: RD @00002E78=3920004C
33760.00ns INFO [00004221] WB Slave: RD @00002E7C=91210030
33952.00ns INFO [00004245] C0: CP 0:002E00 0000000000002E00
34096.00ns INFO [00004263] T0 IFETCH 00002E80 tag=08 len=6 WIMG:0
34104.00ns INFO [00004264] C0: CP 0:002E04 0000000000002E04
34112.00ns INFO [00004265] WB Slave: RD @00002E80=81210050
34112.00ns INFO [00004265] C0: GPR Update: R09=00000000000008F6
34128.00ns INFO [00004267] WB Slave: RD @00002E84=39290001
34136.00ns INFO [00004268] C0: CP 0:002E08 0000000000002E08
34144.00ns INFO [00004269] WB Slave: RD @00002E88=91210050
34144.00ns INFO [00004269] C0: CP 0:002E0C 0000000000002E0C
34144.00ns INFO [00004269] C0: GPR Update: R09=0000000000000069
34152.00ns INFO [00004270] C0: CP 0:002E10 0000000000002E10
34152.00ns INFO [00004270] C0: CR Update: F0=4
34160.00ns INFO [00004271] WB Slave: RD @00002E8C=3920000A
34176.00ns INFO [00004273] WB Slave: RD @00002E90=91210014
34192.00ns INFO [00004275] WB Slave: RD @00002E94=81210050
34208.00ns INFO [00004277] WB Slave: RD @00002E98=89290000
34224.00ns INFO [00004279] WB Slave: RD @00002E9C=3929FFDB
34240.00ns INFO [00004281] WB Slave: RD @00002EA0=28090053
34256.00ns INFO [00004283] WB Slave: RD @00002EA4=41810550
34272.00ns INFO [00004285] WB Slave: RD @00002EA8=552A103A
34288.00ns INFO [00004287] WB Slave: RD @00002EAC=3D200000
34304.00ns INFO [00004289] WB Slave: RD @00002EB0=39290AAC
34304.00ns INFO [00004289] C0: CP 0:002E14 0000000000002E14
34312.00ns INFO [00004290] C0: GPR Update: R09=00000000000008F6
34320.00ns INFO [00004291] WB Slave: RD @00002EB4=7D2A4A14
34336.00ns INFO [00004293] WB Slave: RD @00002EB8=81490000
34336.00ns INFO [00004293] C0: CP 0:002E18 0000000000002E18
34344.00ns INFO [00004294] C0: CP 0:002E1C 0000000000002E1C
34344.00ns INFO [00004294] C0: GPR Update: R09=0000000000000069
34352.00ns INFO [00004295] WB Slave: RD @00002EBC=3D200000
34352.00ns INFO [00004295] C0: CP 0:002E20 0000000000002E20
34352.00ns INFO [00004295] C0: CR Update: F0=4
34392.00ns INFO [00004300] ...tick...
34504.00ns INFO [00004314] C0: CP 0:002E24 0000000000002E24
34512.00ns INFO [00004315] T0 IFETCH 00002EC0 tag=09 len=6 WIMG:0
34512.00ns INFO [00004315] C0: GPR Update: R09=00000000000008F6
34528.00ns INFO [00004317] WB Slave: RD @00002EC0=39290AAC
34536.00ns INFO [00004318] C0: CP 0:002E28 0000000000002E28
34544.00ns INFO [00004319] WB Slave: RD @00002EC4=7D2A4A14
34544.00ns INFO [00004319] C0: CP 0:002E2C 0000000000002E2C
34544.00ns INFO [00004319] C0: GPR Update: R09=0000000000000069
34552.00ns INFO [00004320] C0: CP 0:002E30 0000000000002E30
34552.00ns INFO [00004320] C0: CR Update: F0=8
34560.00ns INFO [00004321] WB Slave: RD @00002EC8=7D2903A6
34576.00ns INFO [00004323] WB Slave: RD @00002ECC=4E800420
34592.00ns INFO [00004325] WB Slave: RD @00002ED0=81210024
34608.00ns INFO [00004327] WB Slave: RD @00002ED4=552906F6
34624.00ns INFO [00004329] WB Slave: RD @00002ED8=2C090000
34640.00ns INFO [00004331] WB Slave: RD @00002EDC=40820048
34656.00ns INFO [00004333] WB Slave: RD @00002EE0=4800002C
34672.00ns INFO [00004335] WB Slave: RD @00002EE4=81410018
34688.00ns INFO [00004337] WB Slave: RD @00002EE8=8121001C
34704.00ns INFO [00004339] WB Slave: RD @00002EEC=7C0A4840
34704.00ns INFO [00004339] C0: CP 0:002E34 0000000000002E34
34712.00ns INFO [00004340] C0: GPR Update: R09=00000000000008F6
34720.00ns INFO [00004341] WB Slave: RD @00002EF0=40800010
34736.00ns INFO [00004343] WB Slave: RD @00002EF4=81210018
34736.00ns INFO [00004343] C0: CP 0:002E38 0000000000002E38
34744.00ns INFO [00004344] C0: CP 0:002E3C 0000000000002E3C
34744.00ns INFO [00004344] C0: GPR Update: R09=0000000000000069
34752.00ns INFO [00004345] WB Slave: RD @00002EF8=39400020
34752.00ns INFO [00004345] C0: CP 0:002E40 0000000000002E40
34752.00ns INFO [00004345] C0: CR Update: F0=8
34768.00ns INFO [00004347] WB Slave: RD @00002EFC=99490000
34928.00ns INFO [00004367] T0 IFETCH 00002E80 tag=08 len=6 WIMG:0
34944.00ns INFO [00004369] WB Slave: RD @00002E80=81210050
34960.00ns INFO [00004371] WB Slave: RD @00002E84=39290001
34976.00ns INFO [00004373] WB Slave: RD @00002E88=91210050
34992.00ns INFO [00004375] WB Slave: RD @00002E8C=3920000A
35008.00ns INFO [00004377] WB Slave: RD @00002E90=91210014
35024.00ns INFO [00004379] WB Slave: RD @00002E94=81210050
35040.00ns INFO [00004381] WB Slave: RD @00002E98=89290000
35056.00ns INFO [00004383] WB Slave: RD @00002E9C=3929FFDB
35072.00ns INFO [00004385] WB Slave: RD @00002EA0=28090053
35088.00ns INFO [00004387] WB Slave: RD @00002EA4=41810550
35104.00ns INFO [00004389] WB Slave: RD @00002EA8=552A103A
35120.00ns INFO [00004391] WB Slave: RD @00002EAC=3D200000
35136.00ns INFO [00004393] WB Slave: RD @00002EB0=39290AAC
35152.00ns INFO [00004395] WB Slave: RD @00002EB4=7D2A4A14
35168.00ns INFO [00004397] WB Slave: RD @00002EB8=81490000
35184.00ns INFO [00004399] WB Slave: RD @00002EBC=3D200000
35192.00ns INFO [00004400] ...tick...
35360.00ns INFO [00004421] T0 IFETCH 000033F0 tag=08 len=6 WIMG:0
35376.00ns INFO [00004423] WB Slave: RD @000033C0=39200008
35384.00ns INFO [00004424] C0: CP 0:002E8C 0000000000002E8C
35392.00ns INFO [00004425] WB Slave: RD @000033C4=91210014
35392.00ns INFO [00004425] C0: GPR Update: R09=000000000000000A
35408.00ns INFO [00004427] WB Slave: RD @000033C8=480000A8
35416.00ns INFO [00004428] C0: CP 0:002E90 0000000000002E90
35424.00ns INFO [00004429] WB Slave: RD @000033CC=81210024
35432.00ns INFO [00004430] C0: CP 0:002E94 0000000000002E94
35440.00ns INFO [00004431] WB Slave: RD @000033D0=61290040
35440.00ns INFO [00004431] C0: GPR Update: R09=00000000000008F6
35456.00ns INFO [00004433] WB Slave: RD @000033D4=91210024
35464.00ns INFO [00004434] C0: CP 0:002E98 1:002E9C 0000000000002E98
35472.00ns INFO [00004435] WB Slave: RD @000033D8=39200010
35472.00ns INFO [00004435] C0: GPR Update: R09=0000000000000044
35480.00ns INFO [00004436] C0: CP 0:002EA0 0000000000002EA0
35488.00ns INFO [00004437] WB Slave: RD @000033DC=91210014
35488.00ns INFO [00004437] C0: CP 0:002EA4 0000000000002EA4
35488.00ns INFO [00004437] C0: CR Update: F0=8
35504.00ns INFO [00004439] WB Slave: RD @000033E0=48000090
35520.00ns INFO [00004441] WB Slave: RD @000033E4=81210024
35536.00ns INFO [00004443] WB Slave: RD @000033E8=61290002
35552.00ns INFO [00004445] WB Slave: RD @000033EC=91210024
35568.00ns INFO [00004447] WB Slave: RD @000033F0=4800007C
35584.00ns INFO [00004449] WB Slave: RD @000033F4=81410018
35600.00ns INFO [00004451] WB Slave: RD @000033F8=8121001C
35608.00ns INFO [00004452] C0: CP 0:002EA8 1:002EAC 0000000000002EA8
35616.00ns INFO [00004453] WB Slave: RD @000033FC=7C0A4840
35616.00ns INFO [00004453] C0: GPR Update: R09=0000000000000000
35616.00ns INFO [00004453] C0: GPR Update: R10=0000000000000110
35624.00ns INFO [00004454] C0: CP 0:002EB0 1:002EB4 0000000000002EB0
35632.00ns INFO [00004455] C0: GPR Update: R09=0000000000000BBC
35712.00ns INFO [00004465] T0 STORE 0001FD9C tag=00 len=4 be=000F0000 data=4800007C81410018000000000000000A00000000000000000000000000000000 WIMG:0
35744.00ns INFO [00004469] WB Slave: WR @0001FD9C sel=F dat=0000000A
35744.00ns INFO [00004469] Mem Update: @0001FD9C XXXXXXXX->0000000A
35744.00ns INFO [00004469] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000000 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
35768.00ns INFO [00004472] T0 LOAD 00000BBC tag=00 len=4 WIMG:0
35784.00ns INFO [00004474] WB Slave: RD @00000B80=00002948
35800.00ns INFO [00004476] WB Slave: RD @00000B84=00002948
35816.00ns INFO [00004478] WB Slave: RD @00000B88=00002948
35832.00ns INFO [00004480] WB Slave: RD @00000B8C=00002948
35848.00ns INFO [00004482] WB Slave: RD @00000B90=00002948
35864.00ns INFO [00004484] WB Slave: RD @00000B94=00002948
35880.00ns INFO [00004486] WB Slave: RD @00000B98=00002948
35896.00ns INFO [00004488] WB Slave: RD @00000B9C=00002948
35912.00ns INFO [00004490] WB Slave: RD @00000BA0=00002948
35928.00ns INFO [00004492] WB Slave: RD @00000BA4=00002424
35944.00ns INFO [00004494] WB Slave: RD @00000BA8=00002938
35960.00ns INFO [00004496] WB Slave: RD @00000BAC=00002948
35976.00ns INFO [00004498] WB Slave: RD @00000BB0=00002948
35992.00ns INFO [00004500] ...tick...
35992.00ns INFO [00004500] WB Slave: RD @00000BB4=00002948
36008.00ns INFO [00004502] WB Slave: RD @00000BB8=00002948
36024.00ns INFO [00004504] WB Slave: RD @00000BBC=00002938
36136.00ns INFO [00004518] C0: CP 0:002EB8 1:002EBC 0000000000002EB8
36144.00ns INFO [00004519] C0: CP 0:002EC0 0000000000002EC0
36144.00ns INFO [00004519] C0: GPR Update: R09=0000000000000000
36144.00ns INFO [00004519] C0: GPR Update: R10=0000000000002938
36152.00ns INFO [00004520] C0: CP 0:002EC4 0000000000002EC4
36152.00ns INFO [00004520] C0: GPR Update: R09=0000000000000AAC
36160.00ns INFO [00004521] C0: GPR Update: R09=00000000000033E4
36168.00ns INFO [00004522] C0: CP 0:002EC8 0000000000002EC8
36176.00ns INFO [00004523] C0: CP 0:002ECC 0000000000002ECC
36176.00ns INFO [00004523] C0: CTR Update:00000000000033E4
36184.00ns INFO [00004524] T0 IFETCH 00003400 tag=09 len=6 WIMG:0
36200.00ns INFO [00004526] WB Slave: RD @00003400=40800010
36216.00ns INFO [00004528] WB Slave: RD @00003404=81210018
36232.00ns INFO [00004530] WB Slave: RD @00003408=39400025
36248.00ns INFO [00004532] WB Slave: RD @0000340C=99490000
36264.00ns INFO [00004534] WB Slave: RD @00003410=81210018
36280.00ns INFO [00004536] WB Slave: RD @00003414=39290001
36296.00ns INFO [00004538] WB Slave: RD @00003418=91210018
36312.00ns INFO [00004540] WB Slave: RD @0000341C=81210050
36328.00ns INFO [00004542] WB Slave: RD @00003420=89290000
36344.00ns INFO [00004544] WB Slave: RD @00003424=2C090000
36360.00ns INFO [00004546] WB Slave: RD @00003428=41820034
36376.00ns INFO [00004548] WB Slave: RD @0000342C=81410018
36392.00ns INFO [00004550] WB Slave: RD @00003430=8121001C
36408.00ns INFO [00004552] WB Slave: RD @00003434=7C0A4840
36424.00ns INFO [00004554] WB Slave: RD @00003438=40800014
36440.00ns INFO [00004556] WB Slave: RD @0000343C=81210050
36600.00ns INFO [00004576] T0 IFETCH 000033E0 tag=08 len=6 WIMG:0
36616.00ns INFO [00004578] WB Slave: RD @000033C0=39200008
36632.00ns INFO [00004580] WB Slave: RD @000033C4=91210014
36648.00ns INFO [00004582] WB Slave: RD @000033C8=480000A8
36664.00ns INFO [00004584] WB Slave: RD @000033CC=81210024
36680.00ns INFO [00004586] WB Slave: RD @000033D0=61290040
36696.00ns INFO [00004588] WB Slave: RD @000033D4=91210024
36712.00ns INFO [00004590] WB Slave: RD @000033D8=39200010
36728.00ns INFO [00004592] WB Slave: RD @000033DC=91210014
36744.00ns INFO [00004594] WB Slave: RD @000033E0=48000090
36760.00ns INFO [00004596] WB Slave: RD @000033E4=81210024
36776.00ns INFO [00004598] WB Slave: RD @000033E8=61290002
36792.00ns INFO [00004600] ...tick...
36792.00ns INFO [00004600] WB Slave: RD @000033EC=91210024
36808.00ns INFO [00004602] WB Slave: RD @000033F0=4800007C
36824.00ns INFO [00004604] WB Slave: RD @000033F4=81410018
36840.00ns INFO [00004606] WB Slave: RD @000033F8=8121001C
36856.00ns INFO [00004608] WB Slave: RD @000033FC=7C0A4840
37016.00ns INFO [00004628] T0 IFETCH 00003460 tag=08 len=6 WIMG:0
37032.00ns INFO [00004630] WB Slave: RD @00003440=89490000
37048.00ns INFO [00004632] WB Slave: RD @00003444=81210018
37056.00ns INFO [00004633] C0: CP 0:0033E4 1:0033E8 00000000000033E4
37064.00ns INFO [00004634] WB Slave: RD @00003448=99490000
37064.00ns INFO [00004634] C0: GPR Update: R09=0000000000000002
37080.00ns INFO [00004636] WB Slave: RD @0000344C=81210018
37088.00ns INFO [00004637] C0: CP 0:0033EC 1:0033F0 00000000000033EC
37096.00ns INFO [00004638] WB Slave: RD @00003450=39290001
37112.00ns INFO [00004640] WB Slave: RD @00003454=91210018
37128.00ns INFO [00004642] WB Slave: RD @00003458=48000370
37144.00ns INFO [00004644] WB Slave: RD @0000345C=81210050
37160.00ns INFO [00004646] WB Slave: RD @00003460=3929FFFF
37176.00ns INFO [00004648] WB Slave: RD @00003464=91210050
37192.00ns INFO [00004650] WB Slave: RD @00003468=48000360
37208.00ns INFO [00004652] WB Slave: RD @0000346C=60000000
37224.00ns INFO [00004654] WB Slave: RD @00003470=81210030
37240.00ns INFO [00004656] WB Slave: RD @00003474=2C09004C
37256.00ns INFO [00004658] WB Slave: RD @00003478=40820090
37272.00ns INFO [00004660] WB Slave: RD @0000347C=81210054
37368.00ns INFO [00004672] T0 STORE 0001FDAC tag=00 len=4 be=000F0000 data=812100302C09004C000000000000000200000000000000000000000000000000 WIMG:0
37400.00ns INFO [00004676] WB Slave: WR @0001FDAC sel=F dat=00000002
37400.00ns INFO [00004676] Mem Update: @0001FDAC 00000000->00000002
37400.00ns INFO [00004676] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 01000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
37424.00ns INFO [00004679] T0 IFETCH 00003480 tag=09 len=6 WIMG:0
37440.00ns INFO [00004681] WB Slave: RD @00003480=89290000
37440.00ns INFO [00004681] C0: CP 0:00346C 000000000000346C
37456.00ns INFO [00004683] WB Slave: RD @00003484=81410054
37472.00ns INFO [00004685] WB Slave: RD @00003488=894A0000
37488.00ns INFO [00004687] WB Slave: RD @0000348C=554A07FE
37504.00ns INFO [00004689] WB Slave: RD @00003490=554A063E
37504.00ns INFO [00004689] C0: CP 0:003470 1:003474 0000000000003470
37512.00ns INFO [00004690] C0: GPR Update: R09=00000000FFFFFFFF
37512.00ns INFO [00004690] C0: CR Update: F0=8
37520.00ns INFO [00004691] WB Slave: RD @00003494=7D4A4A14
37520.00ns INFO [00004691] C0: CP 0:003478 0000000000003478
37536.00ns INFO [00004693] WB Slave: RD @00003498=5548063E
37552.00ns INFO [00004695] WB Slave: RD @0000349C=81410054
37568.00ns INFO [00004697] WB Slave: RD @000034A0=990A0000
37584.00ns INFO [00004699] WB Slave: RD @000034A4=28090006
37592.00ns INFO [00004700] ...tick...
37600.00ns INFO [00004701] WB Slave: RD @000034A8=41810030
37616.00ns INFO [00004703] WB Slave: RD @000034AC=81210054
37632.00ns INFO [00004705] WB Slave: RD @000034B0=81490008
37648.00ns INFO [00004707] WB Slave: RD @000034B4=81210054
37664.00ns INFO [00004709] WB Slave: RD @000034B8=89290000
37680.00ns INFO [00004711] WB Slave: RD @000034BC=39090002
37840.00ns INFO [00004731] T0 IFETCH 00003500 tag=08 len=6 WIMG:0
37856.00ns INFO [00004733] WB Slave: RD @00003500=9161000C
37872.00ns INFO [00004735] WB Slave: RD @00003504=48000298
37888.00ns INFO [00004737] WB Slave: RD @00003508=81210030
37904.00ns INFO [00004739] WB Slave: RD @0000350C=2C09006C
37920.00ns INFO [00004741] WB Slave: RD @00003510=40820088
37936.00ns INFO [00004743] WB Slave: RD @00003514=81210054
37952.00ns INFO [00004745] WB Slave: RD @00003518=89290000
37968.00ns INFO [00004747] WB Slave: RD @0000351C=28090007
37984.00ns INFO [00004749] WB Slave: RD @00003520=41810030
38000.00ns INFO [00004751] WB Slave: RD @00003524=81210054
38016.00ns INFO [00004753] WB Slave: RD @00003528=81490008
38032.00ns INFO [00004755] WB Slave: RD @0000352C=81210054
38048.00ns INFO [00004757] WB Slave: RD @00003530=89290000
38064.00ns INFO [00004759] WB Slave: RD @00003534=39090001
38080.00ns INFO [00004761] WB Slave: RD @00003538=5507063E
38096.00ns INFO [00004763] WB Slave: RD @0000353C=81010054
38256.00ns INFO [00004783] T0 IFETCH 00003540 tag=09 len=6 WIMG:0
38272.00ns INFO [00004785] WB Slave: RD @00003540=98E80000
38280.00ns INFO [00004786] C0: CP 0:003508 1:00350C 0000000000003508
38288.00ns INFO [00004787] WB Slave: RD @00003544=5529103A
38288.00ns INFO [00004787] C0: GPR Update: R09=00000000FFFFFFFF
38288.00ns INFO [00004787] C0: CR Update: F0=8
38296.00ns INFO [00004788] C0: CP 0:003510 0000000000003510
38304.00ns INFO [00004789] WB Slave: RD @00003548=7D2A4A14
38320.00ns INFO [00004791] WB Slave: RD @0000354C=48000018
38336.00ns INFO [00004793] WB Slave: RD @00003550=81210054
38352.00ns INFO [00004795] WB Slave: RD @00003554=81290004
38368.00ns INFO [00004797] WB Slave: RD @00003558=39090004
38384.00ns INFO [00004799] WB Slave: RD @0000355C=81410054
38392.00ns INFO [00004800] ...tick...
38400.00ns INFO [00004801] WB Slave: RD @00003560=910A0004
38416.00ns INFO [00004803] WB Slave: RD @00003564=81290000
38432.00ns INFO [00004805] WB Slave: RD @00003568=9121000C
38448.00ns INFO [00004807] WB Slave: RD @0000356C=39200000
38464.00ns INFO [00004809] WB Slave: RD @00003570=91210008
38480.00ns INFO [00004811] WB Slave: RD @00003574=81210024
38496.00ns INFO [00004813] WB Slave: RD @00003578=552907BC
38512.00ns INFO [00004815] WB Slave: RD @0000357C=2C090000
38672.00ns INFO [00004835] T0 IFETCH 00003590 tag=08 len=6 WIMG:0
38688.00ns INFO [00004837] WB Slave: RD @00003580=4182021C
38704.00ns INFO [00004839] WB Slave: RD @00003584=8121000C
38720.00ns INFO [00004841] WB Slave: RD @00003588=9121000C
38736.00ns INFO [00004843] WB Slave: RD @0000358C=7D29FE70
38752.00ns INFO [00004845] WB Slave: RD @00003590=91210008
38768.00ns INFO [00004847] WB Slave: RD @00003594=48000208
38784.00ns INFO [00004849] WB Slave: RD @00003598=81210030
38800.00ns INFO [00004851] WB Slave: RD @0000359C=2C09005A
38816.00ns INFO [00004853] WB Slave: RD @000035A0=41820010
38832.00ns INFO [00004855] WB Slave: RD @000035A4=81210030
38848.00ns INFO [00004857] WB Slave: RD @000035A8=2C09007A
38864.00ns INFO [00004859] WB Slave: RD @000035AC=40820068
38880.00ns INFO [00004861] WB Slave: RD @000035B0=81210054
38896.00ns INFO [00004863] WB Slave: RD @000035B4=89290000
38912.00ns INFO [00004865] WB Slave: RD @000035B8=28090007
38928.00ns INFO [00004867] WB Slave: RD @000035BC=41810030
39088.00ns INFO [00004887] T0 IFETCH 000035C0 tag=09 len=6 WIMG:0
39104.00ns INFO [00004889] WB Slave: RD @000035C0=81210054
39120.00ns INFO [00004891] WB Slave: RD @000035C4=81490008
39120.00ns INFO [00004891] C0: CP 0:003598 1:00359C 0000000000003598
39128.00ns INFO [00004892] C0: GPR Update: R09=00000000FFFFFFFF
39128.00ns INFO [00004892] C0: CR Update: F0=8
39136.00ns INFO [00004893] WB Slave: RD @000035C8=81210054
39136.00ns INFO [00004893] C0: CP 0:0035A0 00000000000035A0
39152.00ns INFO [00004895] WB Slave: RD @000035CC=89290000
39168.00ns INFO [00004897] WB Slave: RD @000035D0=39090001
39184.00ns INFO [00004899] WB Slave: RD @000035D4=5507063E
39192.00ns INFO [00004900] ...tick...
39200.00ns INFO [00004901] WB Slave: RD @000035D8=81010054
39216.00ns INFO [00004903] WB Slave: RD @000035DC=98E80000
39232.00ns INFO [00004905] WB Slave: RD @000035E0=5529103A
39248.00ns INFO [00004907] WB Slave: RD @000035E4=7D2A4A14
39264.00ns INFO [00004909] WB Slave: RD @000035E8=48000018
39280.00ns INFO [00004911] WB Slave: RD @000035EC=81210054
39288.00ns INFO [00004912] C0: CP 0:0035A4 1:0035A8 00000000000035A4
39296.00ns INFO [00004913] WB Slave: RD @000035F0=81290004
39296.00ns INFO [00004913] C0: GPR Update: R09=00000000FFFFFFFF
39296.00ns INFO [00004913] C0: CR Update: F0=8
39304.00ns INFO [00004914] C0: CP 0:0035AC 00000000000035AC
39312.00ns INFO [00004915] WB Slave: RD @000035F4=39090004
39328.00ns INFO [00004917] WB Slave: RD @000035F8=81410054
39344.00ns INFO [00004919] WB Slave: RD @000035FC=910A0004
39504.00ns INFO [00004939] T0 IFETCH 00003610 tag=08 len=6 WIMG:0
39520.00ns INFO [00004941] WB Slave: RD @00003600=81290000
39536.00ns INFO [00004943] WB Slave: RD @00003604=9121000C
39552.00ns INFO [00004945] WB Slave: RD @00003608=39200000
39568.00ns INFO [00004947] WB Slave: RD @0000360C=91210008
39584.00ns INFO [00004949] WB Slave: RD @00003610=4800018C
39600.00ns INFO [00004951] WB Slave: RD @00003614=81210030
39616.00ns INFO [00004953] WB Slave: RD @00003618=2C090074
39632.00ns INFO [00004955] WB Slave: RD @0000361C=40820068
39648.00ns INFO [00004957] WB Slave: RD @00003620=81210054
39664.00ns INFO [00004959] WB Slave: RD @00003624=89290000
39680.00ns INFO [00004961] WB Slave: RD @00003628=28090007
39696.00ns INFO [00004963] WB Slave: RD @0000362C=41810030
39712.00ns INFO [00004965] WB Slave: RD @00003630=81210054
39728.00ns INFO [00004967] WB Slave: RD @00003634=81490008
39744.00ns INFO [00004969] WB Slave: RD @00003638=81210054
39760.00ns INFO [00004971] WB Slave: RD @0000363C=89290000
39920.00ns INFO [00004991] T0 IFETCH 00003640 tag=09 len=6 WIMG:0
39936.00ns INFO [00004993] WB Slave: RD @00003640=39090001
39952.00ns INFO [00004995] WB Slave: RD @00003644=5507063E
39952.00ns INFO [00004995] C0: CP 0:003614 1:003618 0000000000003614
39960.00ns INFO [00004996] C0: GPR Update: R09=00000000FFFFFFFF
39960.00ns INFO [00004996] C0: CR Update: F0=8
39968.00ns INFO [00004997] WB Slave: RD @00003648=81010054
39968.00ns INFO [00004997] C0: CP 0:00361C 000000000000361C
39984.00ns INFO [00004999] WB Slave: RD @0000364C=98E80000
39992.00ns INFO [00005000] ...tick...
40000.00ns INFO [00005001] WB Slave: RD @00003650=5529103A
40016.00ns INFO [00005003] WB Slave: RD @00003654=7D2A4A14
40032.00ns INFO [00005005] WB Slave: RD @00003658=48000018
40048.00ns INFO [00005007] WB Slave: RD @0000365C=81210054
40064.00ns INFO [00005009] WB Slave: RD @00003660=81290004
40080.00ns INFO [00005011] WB Slave: RD @00003664=39090004
40096.00ns INFO [00005013] WB Slave: RD @00003668=81410054
40112.00ns INFO [00005015] WB Slave: RD @0000366C=910A0004
40128.00ns INFO [00005017] WB Slave: RD @00003670=81290000
40144.00ns INFO [00005019] WB Slave: RD @00003674=9121000C
40160.00ns INFO [00005021] WB Slave: RD @00003678=7D29FE70
40176.00ns INFO [00005023] WB Slave: RD @0000367C=91210008
40336.00ns INFO [00005043] T0 IFETCH 00003680 tag=08 len=6 WIMG:0
40352.00ns INFO [00005045] WB Slave: RD @00003680=4800011C
40368.00ns INFO [00005047] WB Slave: RD @00003684=81210030
40384.00ns INFO [00005049] WB Slave: RD @00003688=2C090068
40400.00ns INFO [00005051] WB Slave: RD @0000368C=40820090
40416.00ns INFO [00005053] WB Slave: RD @00003690=81210054
40432.00ns INFO [00005055] WB Slave: RD @00003694=89290000
40448.00ns INFO [00005057] WB Slave: RD @00003698=28090007
40464.00ns INFO [00005059] WB Slave: RD @0000369C=41810030
40480.00ns INFO [00005061] WB Slave: RD @000036A0=81210054
40496.00ns INFO [00005063] WB Slave: RD @000036A4=81490008
40512.00ns INFO [00005065] WB Slave: RD @000036A8=81210054
40528.00ns INFO [00005067] WB Slave: RD @000036AC=89290000
40544.00ns INFO [00005069] WB Slave: RD @000036B0=39090001
40560.00ns INFO [00005071] WB Slave: RD @000036B4=5507063E
40576.00ns INFO [00005073] WB Slave: RD @000036B8=81010054
40592.00ns INFO [00005075] WB Slave: RD @000036BC=98E80000
40752.00ns INFO [00005095] T0 IFETCH 000036C0 tag=09 len=6 WIMG:0
40768.00ns INFO [00005097] WB Slave: RD @000036C0=5529103A
40776.00ns INFO [00005098] C0: CP 0:003684 1:003688 0000000000003684
40784.00ns INFO [00005099] WB Slave: RD @000036C4=7D2A4A14
40784.00ns INFO [00005099] C0: GPR Update: R09=00000000FFFFFFFF
40784.00ns INFO [00005099] C0: CR Update: F0=8
40792.00ns INFO [00005100] ...tick...
40792.00ns INFO [00005100] C0: CP 0:00368C 000000000000368C
40800.00ns INFO [00005101] WB Slave: RD @000036C8=48000018
40816.00ns INFO [00005103] WB Slave: RD @000036CC=81210054
40832.00ns INFO [00005105] WB Slave: RD @000036D0=81290004
40848.00ns INFO [00005107] WB Slave: RD @000036D4=39090004
40864.00ns INFO [00005109] WB Slave: RD @000036D8=81410054
40880.00ns INFO [00005111] WB Slave: RD @000036DC=910A0004
40896.00ns INFO [00005113] WB Slave: RD @000036E0=81290000
40912.00ns INFO [00005115] WB Slave: RD @000036E4=5529043E
40928.00ns INFO [00005117] WB Slave: RD @000036E8=9121000C
40944.00ns INFO [00005119] WB Slave: RD @000036EC=39200000
40960.00ns INFO [00005121] WB Slave: RD @000036F0=91210008
40976.00ns INFO [00005123] WB Slave: RD @000036F4=81210024
40992.00ns INFO [00005125] WB Slave: RD @000036F8=552907BC
41008.00ns INFO [00005127] WB Slave: RD @000036FC=2C090000
41168.00ns INFO [00005147] T0 IFETCH 00003710 tag=08 len=6 WIMG:0
41184.00ns INFO [00005149] WB Slave: RD @00003700=4182009C
41200.00ns INFO [00005151] WB Slave: RD @00003704=A121000E
41216.00ns INFO [00005153] WB Slave: RD @00003708=7D290734
41232.00ns INFO [00005155] WB Slave: RD @0000370C=9121000C
41248.00ns INFO [00005157] WB Slave: RD @00003710=7D29FE70
41264.00ns INFO [00005159] WB Slave: RD @00003714=91210008
41280.00ns INFO [00005161] WB Slave: RD @00003718=48000084
41296.00ns INFO [00005163] WB Slave: RD @0000371C=81210054
41312.00ns INFO [00005165] WB Slave: RD @00003720=89290000
41328.00ns INFO [00005167] WB Slave: RD @00003724=28090007
41344.00ns INFO [00005169] WB Slave: RD @00003728=41810030
41360.00ns INFO [00005171] WB Slave: RD @0000372C=81210054
41376.00ns INFO [00005173] WB Slave: RD @00003730=81490008
41392.00ns INFO [00005175] WB Slave: RD @00003734=81210054
41408.00ns INFO [00005177] WB Slave: RD @00003738=89290000
41424.00ns INFO [00005179] WB Slave: RD @0000373C=39090001
41584.00ns INFO [00005199] T0 IFETCH 00003740 tag=09 len=6 WIMG:0
41592.00ns INFO [00005200] ...tick...
41600.00ns INFO [00005201] WB Slave: RD @00003740=5507063E
41616.00ns INFO [00005203] WB Slave: RD @00003744=81010054
41616.00ns INFO [00005203] C0: CP 0:00371C 000000000000371C
41624.00ns INFO [00005204] C0: GPR Update: R09=000000000001FF44
41632.00ns INFO [00005205] WB Slave: RD @00003748=98E80000
41648.00ns INFO [00005207] WB Slave: RD @0000374C=5529103A
41656.00ns INFO [00005208] C0: CP 0:003720 1:003724 0000000000003720
41664.00ns INFO [00005209] WB Slave: RD @00003750=7D2A4A14
41664.00ns INFO [00005209] C0: GPR Update: R09=0000000000000001
41664.00ns INFO [00005209] C0: CR Update: F0=8
41672.00ns INFO [00005210] C0: CP 0:003728 0000000000003728
41680.00ns INFO [00005211] WB Slave: RD @00003754=48000018
41696.00ns INFO [00005213] WB Slave: RD @00003758=81210054
41712.00ns INFO [00005215] WB Slave: RD @0000375C=81290004
41728.00ns INFO [00005217] WB Slave: RD @00003760=39090004
41744.00ns INFO [00005219] WB Slave: RD @00003764=81410054
41760.00ns INFO [00005221] WB Slave: RD @00003768=910A0004
41776.00ns INFO [00005223] WB Slave: RD @0000376C=81290000
41792.00ns INFO [00005225] WB Slave: RD @00003770=9121000C
41808.00ns INFO [00005227] WB Slave: RD @00003774=39200000
41824.00ns INFO [00005229] WB Slave: RD @00003778=91210008
41824.00ns INFO [00005229] C0: CP 0:00372C 000000000000372C
41832.00ns INFO [00005230] C0: GPR Update: R09=000000000001FF44
41840.00ns INFO [00005231] WB Slave: RD @0000377C=81210024
41856.00ns INFO [00005233] C0: CP 0:003730 0000000000003730
41864.00ns INFO [00005234] C0: CP 0:003734 0000000000003734
41864.00ns INFO [00005234] C0: GPR Update: R10=000000000001FF58
41872.00ns INFO [00005235] C0: CP 0:003738 1:00373C 0000000000003738
41872.00ns INFO [00005235] C0: GPR Update: R09=000000000001FF44
41880.00ns INFO [00005236] C0: GPR Update: R08=0000000000000002
41880.00ns INFO [00005236] C0: GPR Update: R09=0000000000000001
42032.00ns INFO [00005255] T0 IFETCH 00003780 tag=08 len=6 WIMG:0
42040.00ns INFO [00005256] C0: CP 0:003740 0000000000003740
42048.00ns INFO [00005257] WB Slave: RD @00003780=552907BC
42048.00ns INFO [00005257] C0: GPR Update: R07=0000000000000002
42064.00ns INFO [00005259] WB Slave: RD @00003784=2C090000
42072.00ns INFO [00005260] C0: CP 0:003744 0000000000003744
42080.00ns INFO [00005261] WB Slave: RD @00003788=41820014
42080.00ns INFO [00005261] C0: GPR Update: R08=000000000001FF44
42096.00ns INFO [00005263] WB Slave: RD @0000378C=8121000C
42096.00ns INFO [00005263] C0: CP 0:003748 1:00374C 0000000000003748
42104.00ns INFO [00005264] C0: CP 0:003750 1:003754 0000000000003750
42104.00ns INFO [00005264] C0: GPR Update: R09=0000000000000004
42112.00ns INFO [00005265] WB Slave: RD @00003790=9121000C
42112.00ns INFO [00005265] C0: GPR Update: R09=000000000001FF5C
42120.00ns INFO [00005266] C0: CP 0:00376C 000000000000376C
42128.00ns INFO [00005267] WB Slave: RD @00003794=7D29FE70
42128.00ns INFO [00005267] C0: GPR Update: R09=0000000000000000
42136.00ns INFO [00005268] C0: CP 0:003770 1:003774 0000000000003770
42144.00ns INFO [00005269] WB Slave: RD @00003798=91210008
42144.00ns INFO [00005269] C0: CP 0:003778 1:00377C 0000000000003778
42144.00ns INFO [00005269] C0: GPR Update: R09=0000000000000000
42152.00ns INFO [00005270] C0: GPR Update: R09=0000000000000002
42160.00ns INFO [00005271] WB Slave: RD @0000379C=8141000C
42176.00ns INFO [00005273] WB Slave: RD @000037A0=81210024
42192.00ns INFO [00005275] WB Slave: RD @000037A4=8101002C
42208.00ns INFO [00005277] WB Slave: RD @000037A8=80E10028
42224.00ns INFO [00005279] WB Slave: RD @000037AC=80C10014
42240.00ns INFO [00005281] WB Slave: RD @000037B0=7D455378
42256.00ns INFO [00005283] WB Slave: RD @000037B4=8081001C
42272.00ns INFO [00005285] WB Slave: RD @000037B8=80610018
42288.00ns INFO [00005287] WB Slave: RD @000037BC=4BFFEA09
42384.00ns INFO [00005299] T0 STORE 0001FF44 tag=00 len=1 be=08000000 data=00000000027D4553788081001C00000000000000000000000000000000000000 WIMG:0
42392.00ns INFO [00005300] ...tick...
42416.00ns INFO [00005303] WB Slave: WR @0001FF44 sel=8 dat=027D4553
42416.00ns INFO [00005303] Mem Update: @0001FF44 01000000->02000000
42416.00ns INFO [00005303] Stack:
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
42440.00ns INFO [00005306] C0: CP 0:003780 0000000000003780
42448.00ns INFO [00005307] C0: CP 0:003784 0000000000003784
42448.00ns INFO [00005307] C0: GPR Update: R09=0000000000000002
42456.00ns INFO [00005308] C0: CR Update: F0=4
42464.00ns INFO [00005309] T0 STORE 0001FD94 tag=00 len=4 be=0F000000 data=00000000000000007D4553788081001C00000000000000000000000000000000 WIMG:0
42464.00ns INFO [00005309] C0: CP 0:003788 0000000000003788
42496.00ns INFO [00005313] WB Slave: WR @0001FD94 sel=F dat=00000000
42496.00ns INFO [00005313] Mem Update: @0001FD94 XXXXXXXX->00000000
42528.00ns INFO [00005317] T0 STORE 0001FD90 tag=00 len=4 be=F0000000 data=000000007D4553788081001C0000000000000000000000000000000000000000 WIMG:0
42560.00ns INFO [00005321] WB Slave: WR @0001FD90 sel=F dat=00000000
42560.00ns INFO [00005321] Mem Update: @0001FD90 XXXXXXXX->00000000
42584.00ns INFO [00005324] T0 IFETCH 000021C0 tag=08 len=6 WIMG:0
42600.00ns INFO [00005326] WB Slave: RD @000021C0=4E800020
42616.00ns INFO [00005328] WB Slave: RD @000021C4=9421FF70
42616.00ns INFO [00005328] C0: CP 0:00378C 000000000000378C
42624.00ns INFO [00005329] C0: GPR Update: R09=0000000000000000
42632.00ns INFO [00005330] WB Slave: RD @000021C8=90610068
42632.00ns INFO [00005330] C0: CP 0:003790 1:003794 0000000000003790
42640.00ns INFO [00005331] C0: GPR Update: R09=0000000000000000
42640.00ns INFO [00005331] C0: XER Update: SO/OV/CA=000 LEN=00
42648.00ns INFO [00005332] WB Slave: RD @000021CC=9081006C
42656.00ns INFO [00005333] C0: CP 0:003798 0000000000003798
42664.00ns INFO [00005334] WB Slave: RD @000021D0=90A10070
42680.00ns INFO [00005336] WB Slave: RD @000021D4=90C10074
42696.00ns INFO [00005338] WB Slave: RD @000021D8=90E10078
42712.00ns INFO [00005340] WB Slave: RD @000021DC=9101007C
42728.00ns INFO [00005342] WB Slave: RD @000021E0=91210080
42744.00ns INFO [00005344] WB Slave: RD @000021E4=81210080
42760.00ns INFO [00005346] WB Slave: RD @000021E8=55290672
42776.00ns INFO [00005348] WB Slave: RD @000021EC=2C090000
42792.00ns INFO [00005350] WB Slave: RD @000021F0=41820010
42808.00ns INFO [00005352] WB Slave: RD @000021F4=3D200000
42824.00ns INFO [00005354] WB Slave: RD @000021F8=39290A10
42840.00ns INFO [00005356] WB Slave: RD @000021FC=4800000C
42936.00ns INFO [00005368] T0 STORE 0001FD94 tag=00 len=4 be=0F000000 data=0000000000000000418200103D20000000000000000000000000000000000000 WIMG:0
42968.00ns INFO [00005372] WB Slave: WR @0001FD94 sel=F dat=00000000
42968.00ns INFO [00005372] Mem Update: @0001FD94 00000000->00000000
43000.00ns INFO [00005376] T0 STORE 0001FD90 tag=00 len=4 be=F0000000 data=00000000418200103D2000000000000000000000000000000000000000000000 WIMG:0
43032.00ns INFO [00005380] WB Slave: WR @0001FD90 sel=F dat=00000000
43032.00ns INFO [00005380] Mem Update: @0001FD90 00000000->00000000
43032.00ns INFO [00005380] C0: CP 0:00379C 000000000000379C
43040.00ns INFO [00005381] C0: CP 0:0037A0 00000000000037A0
43040.00ns INFO [00005381] C0: GPR Update: R10=0000000000000000
43048.00ns INFO [00005382] C0: CP 0:0037A4 00000000000037A4
43048.00ns INFO [00005382] C0: GPR Update: R09=0000000000000002
43056.00ns INFO [00005383] T0 IFETCH 00002200 tag=08 len=6 WIMG:0
43056.00ns INFO [00005383] C0: CP 0:0037A8 00000000000037A8
43056.00ns INFO [00005383] C0: GPR Update: R08=00000000FFFFFFFF
43064.00ns INFO [00005384] C0: CP 0:0037AC 1:0037B0 00000000000037AC
43064.00ns INFO [00005384] C0: GPR Update: R07=00000000FFFFFFFF
43072.00ns INFO [00005385] WB Slave: RD @00002200=3D200000
43072.00ns INFO [00005385] C0: CP 0:0037B4 00000000000037B4
43072.00ns INFO [00005385] C0: GPR Update: R05=0000000000000000
43072.00ns INFO [00005385] C0: GPR Update: R06=000000000000000A
43080.00ns INFO [00005386] C0: CP 0:0037B8 1:0037BC 00000000000037B8
43080.00ns INFO [00005386] C0: GPR Update: R04=000000000001FF24
43088.00ns INFO [00005387] WB Slave: RD @00002204=39290A38
43088.00ns INFO [00005387] C0: CP 0:0021C4 00000000000021C4
43088.00ns INFO [00005387] C0: GPR Update: R03=000000000001FE29
43088.00ns INFO [00005387] C0: LR Update:00000000000037C0
43096.00ns INFO [00005388] C0: CP 0:0021C8 00000000000021C8
43096.00ns INFO [00005388] C0: GPR Update: R01=000000000001FCF8
43104.00ns INFO [00005389] WB Slave: RD @00002208=91210010
43104.00ns INFO [00005389] C0: CP 0:0021CC 00000000000021CC
43112.00ns INFO [00005390] C0: CP 0:0021D0 00000000000021D0
43120.00ns INFO [00005391] WB Slave: RD @0000220C=81210080
43120.00ns INFO [00005391] C0: CP 0:0021D4 00000000000021D4
43128.00ns INFO [00005392] C0: CP 0:0021D8 00000000000021D8
43136.00ns INFO [00005393] WB Slave: RD @00002210=552906F6
43152.00ns INFO [00005395] WB Slave: RD @00002214=2C090000
43152.00ns INFO [00005395] C0: CP 0:0021DC 00000000000021DC
43160.00ns INFO [00005396] C0: CP 0:0021E0 00000000000021E0
43168.00ns INFO [00005397] WB Slave: RD @00002218=41820010
43184.00ns INFO [00005399] WB Slave: RD @0000221C=81210080
43192.00ns INFO [00005400] ...tick...
43200.00ns INFO [00005401] WB Slave: RD @00002220=5529003C
43216.00ns INFO [00005403] WB Slave: RD @00002224=91210080
43232.00ns INFO [00005405] WB Slave: RD @00002228=81210074
43248.00ns INFO [00005407] WB Slave: RD @0000222C=2C090001
43264.00ns INFO [00005409] WB Slave: RD @00002230=40810010
43280.00ns INFO [00005411] WB Slave: RD @00002234=81210074
43296.00ns INFO [00005413] WB Slave: RD @00002238=2C090024
43312.00ns INFO [00005415] WB Slave: RD @0000223C=4081000C
43408.00ns INFO [00005427] T0 STORE 0001FCF8 tag=00 len=4 be=00F00000 data=81210074000000000001FD884081001000000000000000000000000000000000 WIMG:0
43440.00ns INFO [00005431] WB Slave: WR @0001FCF8 sel=F dat=0001FD88
43440.00ns INFO [00005431] Mem Update: @0001FCF8 XXXXXXXX->0001FD88
43472.00ns INFO [00005435] T0 STORE 0001FD60 tag=00 len=4 be=F0000000 data=0001FE2940810010812100740000000000000000000000000000000000000000 WIMG:0
43504.00ns INFO [00005439] WB Slave: WR @0001FD60 sel=F dat=0001FE29
43504.00ns INFO [00005439] Mem Update: @0001FD60 XXXXXXXX->0001FE29
43504.00ns INFO [00005439] Stack:
0001FD60: 0001FE29 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ...)............................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
43536.00ns INFO [00005443] T0 STORE 0001FD64 tag=00 len=4 be=0F000000 data=000000000001FF24408100108121007400000000000000000000000000000000 WIMG:0
43568.00ns INFO [00005447] WB Slave: WR @0001FD64 sel=F dat=0001FF24
43568.00ns INFO [00005447] Mem Update: @0001FD64 XXXXXXXX->0001FF24
43568.00ns INFO [00005447] Stack:
0001FD60: 0001FE29 0001FF24 00000000 00000000 00000000 00000000 00000000 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
43600.00ns INFO [00005451] T0 STORE 0001FD68 tag=00 len=4 be=00F00000 data=8121007400000000000000004081001000000000000000000000000000000000 WIMG:0
43632.00ns INFO [00005455] WB Slave: WR @0001FD68 sel=F dat=00000000
43632.00ns INFO [00005455] Mem Update: @0001FD68 XXXXXXXX->00000000
43664.00ns INFO [00005459] T0 STORE 0001FD6C tag=00 len=4 be=000F0000 data=4081001081210074000000000000000A00000000000000000000000000000000 WIMG:0
43696.00ns INFO [00005463] WB Slave: WR @0001FD6C sel=F dat=0000000A
43696.00ns INFO [00005463] Mem Update: @0001FD6C XXXXXXXX->0000000A
43696.00ns INFO [00005463] Stack:
0001FD60: 0001FE29 0001FF24 00000000 0000000A 00000000 00000000 00000000 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
43728.00ns INFO [00005467] T0 STORE 0001FD70 tag=00 len=4 be=F0000000 data=FFFFFFFF40810010812100740000000000000000000000000000000000000000 WIMG:0
43760.00ns INFO [00005471] WB Slave: WR @0001FD70 sel=F dat=FFFFFFFF
43760.00ns INFO [00005471] Mem Update: @0001FD70 XXXXXXXX->FFFFFFFF
43760.00ns INFO [00005471] Stack:
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF 00000000 00000000 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
43792.00ns INFO [00005475] T0 STORE 0001FD74 tag=00 len=4 be=0F000000 data=00000000FFFFFFFF408100108121007400000000000000000000000000000000 WIMG:0
43824.00ns INFO [00005479] WB Slave: WR @0001FD74 sel=F dat=FFFFFFFF
43824.00ns INFO [00005479] Mem Update: @0001FD74 XXXXXXXX->FFFFFFFF
43824.00ns INFO [00005479] Stack:
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000000 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
43856.00ns INFO [00005483] T0 STORE 0001FD78 tag=00 len=4 be=00F00000 data=8121007400000000000000024081001000000000000000000000000000000000 WIMG:0
43888.00ns INFO [00005487] WB Slave: WR @0001FD78 sel=F dat=00000002
43888.00ns INFO [00005487] Mem Update: @0001FD78 XXXXXXXX->00000002
43888.00ns INFO [00005487] Stack:
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
43912.00ns INFO [00005490] T0 LOAD 0001FD78 tag=00 len=4 WIMG:0
43928.00ns INFO [00005492] WB Slave: RD @0001FD40=00000000
43944.00ns INFO [00005494] WB Slave: RD @0001FD44=00000000
43960.00ns INFO [00005496] WB Slave: RD @0001FD48=00000000
43976.00ns INFO [00005498] WB Slave: RD @0001FD4C=00000000
43992.00ns INFO [00005500] ...tick...
43992.00ns INFO [00005500] WB Slave: RD @0001FD50=00000000
44008.00ns INFO [00005502] WB Slave: RD @0001FD54=00000000
44024.00ns INFO [00005504] WB Slave: RD @0001FD58=00000000
44040.00ns INFO [00005506] WB Slave: RD @0001FD5C=00000000
44056.00ns INFO [00005508] WB Slave: RD @0001FD60=0001FE29
44072.00ns INFO [00005510] WB Slave: RD @0001FD64=0001FF24
44088.00ns INFO [00005512] WB Slave: RD @0001FD68=00000000
44104.00ns INFO [00005514] WB Slave: RD @0001FD6C=0000000A
44120.00ns INFO [00005516] WB Slave: RD @0001FD70=FFFFFFFF
44136.00ns INFO [00005518] WB Slave: RD @0001FD74=FFFFFFFF
44152.00ns INFO [00005520] WB Slave: RD @0001FD78=00000002
44168.00ns INFO [00005522] WB Slave: RD @0001FD7C=00000000
44280.00ns INFO [00005536] C0: CP 0:0021E4 00000000000021E4
44288.00ns INFO [00005537] C0: GPR Update: R09=0000000000000002
44304.00ns INFO [00005539] C0: CP 0:0021E8 00000000000021E8
44312.00ns INFO [00005540] C0: GPR Update: R09=0000000000000000
44320.00ns INFO [00005541] C0: CP 0:0021EC 00000000000021EC
44328.00ns INFO [00005542] T0 IFETCH 00002240 tag=09 len=6 WIMG:0
44328.00ns INFO [00005542] C0: CP 0:0021F0 1:002200 00000000000021F0
44328.00ns INFO [00005542] C0: CR Update: F0=2
44336.00ns INFO [00005543] C0: CP 0:002204 1:002208 0000000000002204
44336.00ns INFO [00005543] C0: GPR Update: R09=0000000000000000
44344.00ns INFO [00005544] WB Slave: RD @00002240=39200000
44344.00ns INFO [00005544] C0: GPR Update: R09=0000000000000A38
44352.00ns INFO [00005545] C0: CP 0:00220C 000000000000220C
44360.00ns INFO [00005546] WB Slave: RD @00002244=480003FC
44360.00ns INFO [00005546] C0: GPR Update: R09=0000000000000002
44376.00ns INFO [00005548] WB Slave: RD @00002248=81210080
44392.00ns INFO [00005550] WB Slave: RD @0000224C=552907FE
44408.00ns INFO [00005552] WB Slave: RD @00002250=2C090000
44424.00ns INFO [00005554] WB Slave: RD @00002254=4182000C
44440.00ns INFO [00005556] WB Slave: RD @00002258=39200030
44456.00ns INFO [00005558] WB Slave: RD @0000225C=48000008
44472.00ns INFO [00005560] WB Slave: RD @00002260=39200020
44488.00ns INFO [00005562] WB Slave: RD @00002264=99210014
44504.00ns INFO [00005564] WB Slave: RD @00002268=39200000
44520.00ns INFO [00005566] WB Slave: RD @0000226C=99210008
44536.00ns INFO [00005568] WB Slave: RD @00002270=81210080
44552.00ns INFO [00005570] WB Slave: RD @00002274=552907BC
44568.00ns INFO [00005572] WB Slave: RD @00002278=2C090000
44584.00ns INFO [00005574] WB Slave: RD @0000227C=41820080
44680.00ns INFO [00005586] T0 STORE 0001FD08 tag=00 len=4 be=00F00000 data=552907BC0000000000000A388121008000000000000000000000000000000000 WIMG:0
44712.00ns INFO [00005590] WB Slave: WR @0001FD08 sel=F dat=00000A38
44712.00ns INFO [00005590] Mem Update: @0001FD08 XXXXXXXX->00000A38
44712.00ns INFO [00005590] Stack:
0001FD00: 00000000 00000000 00000A38 00000000 00000000 00000000 00000000 00000000 ...........8....................
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
44784.00ns INFO [00005599] C0: CP 0:002210 0000000000002210
44792.00ns INFO [00005600] ...tick...
44792.00ns INFO [00005600] C0: CP 0:002214 0000000000002214
44792.00ns INFO [00005600] C0: GPR Update: R09=0000000000000000
44800.00ns INFO [00005601] C0: CR Update: F0=2
44808.00ns INFO [00005602] C0: CP 0:002218 0000000000002218
44856.00ns INFO [00005608] C0: CP 0:002228 1:00222C 0000000000002228
44864.00ns INFO [00005609] C0: GPR Update: R09=000000000000000A
44864.00ns INFO [00005609] C0: CR Update: F0=4
44872.00ns INFO [00005610] C0: CP 0:002230 0000000000002230
45024.00ns INFO [00005629] C0: CP 0:002234 1:002238 0000000000002234
45032.00ns INFO [00005630] C0: GPR Update: R09=000000000000000A
45032.00ns INFO [00005630] C0: CR Update: F0=8
45040.00ns INFO [00005631] C0: CP 0:00223C 000000000000223C
45056.00ns INFO [00005633] T0 IFETCH 000022F0 tag=08 len=6 WIMG:0
45064.00ns INFO [00005634] C0: CP 0:002248 1:00224C 0000000000002248
45072.00ns INFO [00005635] WB Slave: RD @000022C0=3920002B
45072.00ns INFO [00005635] C0: GPR Update: R09=0000000000000000
45088.00ns INFO [00005637] WB Slave: RD @000022C4=99210008
45088.00ns INFO [00005637] C0: CP 0:002250 0000000000002250
45096.00ns INFO [00005638] C0: CP 0:002254 1:002260 0000000000002254
45096.00ns INFO [00005638] C0: CR Update: F0=2
45104.00ns INFO [00005639] WB Slave: RD @000022C8=81210078
45104.00ns INFO [00005639] C0: CP 0:002264 1:002268 0000000000002264
45104.00ns INFO [00005639] C0: GPR Update: R09=0000000000000020
45112.00ns INFO [00005640] C0: CP 0:00226C 000000000000226C
45112.00ns INFO [00005640] C0: GPR Update: R09=0000000000000000
45120.00ns INFO [00005641] WB Slave: RD @000022CC=3929FFFF
45128.00ns INFO [00005642] C0: CP 0:002270 0000000000002270
45136.00ns INFO [00005643] WB Slave: RD @000022D0=91210078
45136.00ns INFO [00005643] C0: CP 0:002274 0000000000002274
45136.00ns INFO [00005643] C0: GPR Update: R09=0000000000000002
45144.00ns INFO [00005644] C0: GPR Update: R09=0000000000000002
45152.00ns INFO [00005645] WB Slave: RD @000022D4=48000028
45152.00ns INFO [00005645] C0: CP 0:002278 0000000000002278
45160.00ns INFO [00005646] C0: CP 0:00227C 000000000000227C
45160.00ns INFO [00005646] C0: CR Update: F0=4
45168.00ns INFO [00005647] WB Slave: RD @000022D8=81210080
45184.00ns INFO [00005649] WB Slave: RD @000022DC=55290738
45200.00ns INFO [00005651] WB Slave: RD @000022E0=2C090000
45216.00ns INFO [00005653] WB Slave: RD @000022E4=41820018
45232.00ns INFO [00005655] WB Slave: RD @000022E8=39200020
45248.00ns INFO [00005657] WB Slave: RD @000022EC=99210008
45264.00ns INFO [00005659] WB Slave: RD @000022F0=81210078
45280.00ns INFO [00005661] WB Slave: RD @000022F4=3929FFFF
45296.00ns INFO [00005663] WB Slave: RD @000022F8=91210078
45312.00ns INFO [00005665] WB Slave: RD @000022FC=81210080
45408.00ns INFO [00005677] T0 STORE 0001FD0C tag=00 len=1 be=00080000 data=783929FFFF000000000000002081210000000000000000000000000000000000 WIMG:0
45440.00ns INFO [00005681] WB Slave: WR @0001FD0C sel=8 dat=20812100
45440.00ns INFO [00005681] Mem Update: @0001FD0C XXXXXXXX->20000000
45440.00ns INFO [00005681] Stack:
0001FD00: 00000000 00000000 00000A38 20000000 00000000 00000000 00000000 00000000 ...........8 ...................
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
45472.00ns INFO [00005685] T0 STORE 0001FD00 tag=00 len=1 be=80000000 data=00812100783929FFFF0000000000000000000000000000000000000000000000 WIMG:0
45504.00ns INFO [00005689] WB Slave: WR @0001FD00 sel=8 dat=00812100
45504.00ns INFO [00005689] Mem Update: @0001FD00 XXXXXXXX->00000000
45528.00ns INFO [00005692] T0 IFETCH 00002300 tag=09 len=6 WIMG:0
45544.00ns INFO [00005694] WB Slave: RD @00002300=552906B4
45560.00ns INFO [00005696] WB Slave: RD @00002304=2C090000
45576.00ns INFO [00005698] WB Slave: RD @00002308=41820038
45592.00ns INFO [00005700] ...tick...
45592.00ns INFO [00005700] WB Slave: RD @0000230C=81210074
45608.00ns INFO [00005702] WB Slave: RD @00002310=2C090010
45624.00ns INFO [00005704] WB Slave: RD @00002314=40820014
45640.00ns INFO [00005706] WB Slave: RD @00002318=81210078
45656.00ns INFO [00005708] WB Slave: RD @0000231C=3929FFFE
45672.00ns INFO [00005710] WB Slave: RD @00002320=91210078
45688.00ns INFO [00005712] WB Slave: RD @00002324=4800001C
45704.00ns INFO [00005714] WB Slave: RD @00002328=81210074
45720.00ns INFO [00005716] WB Slave: RD @0000232C=2C090008
45736.00ns INFO [00005718] WB Slave: RD @00002330=40820010
45752.00ns INFO [00005720] WB Slave: RD @00002334=81210078
45768.00ns INFO [00005722] WB Slave: RD @00002338=3929FFFF
45784.00ns INFO [00005724] WB Slave: RD @0000233C=91210078
45944.00ns INFO [00005744] T0 IFETCH 00002280 tag=08 len=6 WIMG:0
45960.00ns INFO [00005746] WB Slave: RD @00002280=81210070
45976.00ns INFO [00005748] WB Slave: RD @00002284=2C090000
45992.00ns INFO [00005750] WB Slave: RD @00002288=40800028
46008.00ns INFO [00005752] WB Slave: RD @0000228C=3920002D
46024.00ns INFO [00005754] WB Slave: RD @00002290=99210008
46040.00ns INFO [00005756] WB Slave: RD @00002294=81210070
46056.00ns INFO [00005758] WB Slave: RD @00002298=7D2900D0
46072.00ns INFO [00005760] WB Slave: RD @0000229C=91210070
46088.00ns INFO [00005762] WB Slave: RD @000022A0=81210078
46104.00ns INFO [00005764] WB Slave: RD @000022A4=3929FFFF
46120.00ns INFO [00005766] WB Slave: RD @000022A8=91210078
46136.00ns INFO [00005768] WB Slave: RD @000022AC=48000050
46152.00ns INFO [00005770] WB Slave: RD @000022B0=81210080
46168.00ns INFO [00005772] WB Slave: RD @000022B4=5529077A
46184.00ns INFO [00005774] WB Slave: RD @000022B8=2C090000
46200.00ns INFO [00005776] WB Slave: RD @000022BC=4182001C
46384.00ns INFO [00005799] C0: CP 0:002280 1:002284 0000000000002280
46392.00ns INFO [00005800] ...tick...
46392.00ns INFO [00005800] C0: GPR Update: R09=0000000000000000
46392.00ns INFO [00005800] C0: CR Update: F0=2
46400.00ns INFO [00005801] C0: CP 0:002288 0000000000002288
46432.00ns INFO [00005805] C0: CP 0:0022B0 1:0022B4 00000000000022B0
46440.00ns INFO [00005806] C0: GPR Update: R09=0000000000000000
46456.00ns INFO [00005808] T0 IFETCH 00002340 tag=08 len=6 WIMG:0
46456.00ns INFO [00005808] C0: CP 0:0022B8 00000000000022B8
46464.00ns INFO [00005809] C0: CP 0:0022BC 00000000000022BC
46464.00ns INFO [00005809] C0: CR Update: F0=2
46472.00ns INFO [00005810] WB Slave: RD @00002340=39200000
46472.00ns INFO [00005810] C0: CP 0:0022D8 1:0022DC 00000000000022D8
46480.00ns INFO [00005811] C0: GPR Update: R09=0000000000000000
46488.00ns INFO [00005812] WB Slave: RD @00002344=9121000C
46488.00ns INFO [00005812] C0: CP 0:0022E0 00000000000022E0
46496.00ns INFO [00005813] C0: CR Update: F0=2
46504.00ns INFO [00005814] WB Slave: RD @00002348=81210070
46504.00ns INFO [00005814] C0: CP 0:0022E4 00000000000022E4
46520.00ns INFO [00005816] WB Slave: RD @0000234C=2C090000
46520.00ns INFO [00005816] C0: CP 0:0022FC 00000000000022FC
46528.00ns INFO [00005817] C0: CP 0:002300 0000000000002300
46528.00ns INFO [00005817] C0: GPR Update: R09=0000000000000002
46536.00ns INFO [00005818] WB Slave: RD @00002350=4082006C
46536.00ns INFO [00005818] C0: CP 0:002304 0000000000002304
46536.00ns INFO [00005818] C0: GPR Update: R09=0000000000000000
46544.00ns INFO [00005819] C0: CR Update: F0=2
46552.00ns INFO [00005820] WB Slave: RD @00002354=8121000C
46552.00ns INFO [00005820] C0: CP 0:002308 0000000000002308
46568.00ns INFO [00005822] WB Slave: RD @00002358=39490001
46584.00ns INFO [00005824] WB Slave: RD @0000235C=9141000C
46600.00ns INFO [00005826] WB Slave: RD @00002360=39410008
46616.00ns INFO [00005828] WB Slave: RD @00002364=7D2A4A14
46632.00ns INFO [00005830] WB Slave: RD @00002368=39400030
46648.00ns INFO [00005832] WB Slave: RD @0000236C=99490010
46664.00ns INFO [00005834] WB Slave: RD @00002370=48000058
46680.00ns INFO [00005836] WB Slave: RD @00002374=81410074
46696.00ns INFO [00005838] WB Slave: RD @00002378=81210070
46712.00ns INFO [00005840] WB Slave: RD @0000237C=7D095396
46864.00ns INFO [00005859] C0: CP 0:002340 0000000000002340
46872.00ns INFO [00005860] T0 IFETCH 00002380 tag=09 len=6 WIMG:0
46872.00ns INFO [00005860] C0: GPR Update: R09=0000000000000000
46888.00ns INFO [00005862] WB Slave: RD @00002380=7D4851D6
46888.00ns INFO [00005862] C0: CP 0:002344 0000000000002344
46904.00ns INFO [00005864] WB Slave: RD @00002384=7D2A4850
46904.00ns INFO [00005864] C0: CP 0:002348 1:00234C 0000000000002348
46912.00ns INFO [00005865] C0: GPR Update: R09=0000000000000000
46912.00ns INFO [00005865] C0: CR Update: F0=2
46920.00ns INFO [00005866] WB Slave: RD @00002388=81410010
46920.00ns INFO [00005866] C0: CP 0:002350 0000000000002350
46936.00ns INFO [00005868] WB Slave: RD @0000238C=7D4A4A14
46952.00ns INFO [00005870] WB Slave: RD @00002390=8121000C
46968.00ns INFO [00005872] WB Slave: RD @00002394=39090001
46984.00ns INFO [00005874] WB Slave: RD @00002398=9101000C
47000.00ns INFO [00005876] WB Slave: RD @0000239C=894A0000
47016.00ns INFO [00005878] WB Slave: RD @000023A0=39010008
47032.00ns INFO [00005880] WB Slave: RD @000023A4=7D284A14
47048.00ns INFO [00005882] WB Slave: RD @000023A8=99490010
47064.00ns INFO [00005884] WB Slave: RD @000023AC=81210074
47080.00ns INFO [00005886] WB Slave: RD @000023B0=81410070
47096.00ns INFO [00005888] WB Slave: RD @000023B4=7D2A4B96
47112.00ns INFO [00005890] WB Slave: RD @000023B8=91210070
47128.00ns INFO [00005892] WB Slave: RD @000023BC=81210070
47192.00ns INFO [00005900] ...tick...
47224.00ns INFO [00005904] T0 STORE 0001FD04 tag=00 len=4 be=0F000000 data=0000000000000000814100707D2A4B9600000000000000000000000000000000 WIMG:0
47256.00ns INFO [00005908] WB Slave: WR @0001FD04 sel=F dat=00000000
47256.00ns INFO [00005908] Mem Update: @0001FD04 XXXXXXXX->00000000
47280.00ns INFO [00005911] T0 LOAD 0001FD04 tag=00 len=4 WIMG:0
47296.00ns INFO [00005913] WB Slave: RD @0001FD00=00000000
47312.00ns INFO [00005915] WB Slave: RD @0001FD04=00000000
47328.00ns INFO [00005917] WB Slave: RD @0001FD08=00000A38
47344.00ns INFO [00005919] WB Slave: RD @0001FD0C=20000000
47360.00ns INFO [00005921] WB Slave: RD @0001FD10=00000000
47376.00ns INFO [00005923] WB Slave: RD @0001FD14=00000000
47392.00ns INFO [00005925] WB Slave: RD @0001FD18=00000000
47408.00ns INFO [00005927] WB Slave: RD @0001FD1C=00000000
47424.00ns INFO [00005929] WB Slave: RD @0001FD20=00000000
47440.00ns INFO [00005931] WB Slave: RD @0001FD24=00000000
47456.00ns INFO [00005933] WB Slave: RD @0001FD28=00000000
47472.00ns INFO [00005935] WB Slave: RD @0001FD2C=00000000
47488.00ns INFO [00005937] WB Slave: RD @0001FD30=00000000
47504.00ns INFO [00005939] WB Slave: RD @0001FD34=00000000
47520.00ns INFO [00005941] WB Slave: RD @0001FD38=00000000
47536.00ns INFO [00005943] WB Slave: RD @0001FD3C=00000000
47624.00ns INFO [00005954] C0: CP 0:002354 0000000000002354
47632.00ns INFO [00005955] C0: GPR Update: R09=0000000000000000
47640.00ns INFO [00005956] C0: CP 0:002358 0000000000002358
47648.00ns INFO [00005957] C0: GPR Update: R10=0000000000000001
47664.00ns INFO [00005959] C0: CP 0:00235C 1:002360 000000000000235C
47672.00ns INFO [00005960] C0: CP 0:002364 1:002368 0000000000002364
47672.00ns INFO [00005960] C0: GPR Update: R10=000000000001FD00
47680.00ns INFO [00005961] C0: GPR Update: R09=000000000001FD00
47680.00ns INFO [00005961] C0: GPR Update: R10=0000000000000030
47688.00ns INFO [00005962] C0: CP 0:00236C 1:002370 000000000000236C
47704.00ns INFO [00005964] T0 STORE 0001FD04 tag=00 len=4 be=0F000000 data=0000000000000001000000000000000000000000000000000000000000000000 WIMG:0
47736.00ns INFO [00005968] WB Slave: WR @0001FD04 sel=F dat=00000001
47736.00ns INFO [00005968] Mem Update: @0001FD04 00000000->00000001
47736.00ns INFO [00005968] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 00000000 00000000 00000000 00000000 ...........8 ...................
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
47768.00ns INFO [00005972] T0 STORE 0001FD10 tag=00 len=1 be=80000000 data=3000000000000000000000000000000000000000000000000000000000000000 WIMG:0
47800.00ns INFO [00005976] WB Slave: WR @0001FD10 sel=8 dat=30000000
47800.00ns INFO [00005976] Mem Update: @0001FD10 XXXXXXXX->30000000
47800.00ns INFO [00005976] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF FFFFFFFF 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
47824.00ns INFO [00005979] T0 IFETCH 000023C0 tag=08 len=6 WIMG:0
47840.00ns INFO [00005981] WB Slave: RD @000023C0=2C090000
47856.00ns INFO [00005983] WB Slave: RD @000023C4=4082FFB0
47872.00ns INFO [00005985] WB Slave: RD @000023C8=8141000C
47888.00ns INFO [00005987] WB Slave: RD @000023CC=8121007C
47904.00ns INFO [00005989] WB Slave: RD @000023D0=7C0A4800
47920.00ns INFO [00005991] WB Slave: RD @000023D4=4081000C
47936.00ns INFO [00005993] WB Slave: RD @000023D8=8121000C
47952.00ns INFO [00005995] WB Slave: RD @000023DC=9121007C
47968.00ns INFO [00005997] WB Slave: RD @000023E0=81410078
47984.00ns INFO [00005999] WB Slave: RD @000023E4=8121007C
47992.00ns INFO [00006000] ...tick...
48000.00ns INFO [00006001] WB Slave: RD @000023E8=7D295050
48016.00ns INFO [00006003] WB Slave: RD @000023EC=91210078
48032.00ns INFO [00006005] WB Slave: RD @000023F0=81210080
48048.00ns INFO [00006007] WB Slave: RD @000023F4=71290011
48064.00ns INFO [00006009] WB Slave: RD @000023F8=2C090000
48080.00ns INFO [00006011] WB Slave: RD @000023FC=40820044
48240.00ns INFO [00006031] T0 IFETCH 00002400 tag=09 len=6 WIMG:0
48256.00ns INFO [00006033] WB Slave: RD @00002400=4800002C
48264.00ns INFO [00006034] C0: CP 0:0023C8 00000000000023C8
48272.00ns INFO [00006035] WB Slave: RD @00002404=81410068
48272.00ns INFO [00006035] C0: CP 0:0023CC 00000000000023CC
48272.00ns INFO [00006035] C0: GPR Update: R10=0000000000000001
48280.00ns INFO [00006036] C0: CP 0:0023D0 00000000000023D0
48280.00ns INFO [00006036] C0: GPR Update: R09=00000000FFFFFFFF
48288.00ns INFO [00006037] WB Slave: RD @00002408=8121006C
48288.00ns INFO [00006037] C0: CP 0:0023D4 00000000000023D4
48288.00ns INFO [00006037] C0: CR Update: F0=4
48304.00ns INFO [00006039] WB Slave: RD @0000240C=7C0A4840
48320.00ns INFO [00006041] WB Slave: RD @00002410=40800010
48336.00ns INFO [00006043] WB Slave: RD @00002414=81210068
48352.00ns INFO [00006045] WB Slave: RD @00002418=39400020
48368.00ns INFO [00006047] WB Slave: RD @0000241C=99490000
48384.00ns INFO [00006049] WB Slave: RD @00002420=81210068
48400.00ns INFO [00006051] WB Slave: RD @00002424=39290001
48416.00ns INFO [00006053] WB Slave: RD @00002428=91210068
48432.00ns INFO [00006055] WB Slave: RD @0000242C=81210078
48440.00ns INFO [00006056] C0: CP 0:0023D8 00000000000023D8
48448.00ns INFO [00006057] WB Slave: RD @00002430=3949FFFF
48448.00ns INFO [00006057] C0: GPR Update: R09=0000000000000001
48456.00ns INFO [00006058] C0: CP 0:0023DC 1:0023E0 00000000000023DC
48464.00ns INFO [00006059] WB Slave: RD @00002434=91410078
48464.00ns INFO [00006059] C0: GPR Update: R10=00000000FFFFFFFF
48480.00ns INFO [00006061] WB Slave: RD @00002438=2C090000
48496.00ns INFO [00006063] WB Slave: RD @0000243C=4181FFC8
48592.00ns INFO [00006075] T0 STORE 0001FD74 tag=00 len=4 be=0F000000 data=00000000000000013949FFFF9141007800000000000000000000000000000000 WIMG:0
48624.00ns INFO [00006079] WB Slave: WR @0001FD74 sel=F dat=00000001
48624.00ns INFO [00006079] Mem Update: @0001FD74 FFFFFFFF->00000001
48624.00ns INFO [00006079] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFF 00000001 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
48648.00ns INFO [00006082] T0 IFETCH 00002440 tag=08 len=6 WIMG:0
48664.00ns INFO [00006084] WB Slave: RD @00002440=89210008
48680.00ns INFO [00006086] WB Slave: RD @00002444=2C090000
48688.00ns INFO [00006087] C0: CP 0:0023E4 00000000000023E4
48696.00ns INFO [00006088] WB Slave: RD @00002448=4182002C
48696.00ns INFO [00006088] C0: CP 0:0023E8 00000000000023E8
48696.00ns INFO [00006088] C0: GPR Update: R09=0000000000000001
48704.00ns INFO [00006089] C0: GPR Update: R09=00000000FFFFFFFE
48712.00ns INFO [00006090] WB Slave: RD @0000244C=81410068
48712.00ns INFO [00006090] C0: CP 0:0023EC 1:0023F0 00000000000023EC
48720.00ns INFO [00006091] C0: CP 0:0023F4 1:0023F8 00000000000023F4
48720.00ns INFO [00006091] C0: GPR Update: R09=0000000000000002
48728.00ns INFO [00006092] WB Slave: RD @00002450=8121006C
48728.00ns INFO [00006092] C0: CP 0:0023FC 00000000000023FC
48728.00ns INFO [00006092] C0: GPR Update: R09=0000000000000000
48728.00ns INFO [00006092] C0: CR Update: F0=2
48744.00ns INFO [00006094] WB Slave: RD @00002454=7C0A4840
48760.00ns INFO [00006096] WB Slave: RD @00002458=40800010
48776.00ns INFO [00006098] WB Slave: RD @0000245C=81210068
48792.00ns INFO [00006100] ...tick...
48792.00ns INFO [00006100] WB Slave: RD @00002460=89410008
48808.00ns INFO [00006102] WB Slave: RD @00002464=99490000
48824.00ns INFO [00006104] WB Slave: RD @00002468=81210068
48840.00ns INFO [00006106] WB Slave: RD @0000246C=39290001
48856.00ns INFO [00006108] WB Slave: RD @00002470=91210068
48872.00ns INFO [00006110] WB Slave: RD @00002474=81210080
48888.00ns INFO [00006112] WB Slave: RD @00002478=552906B4
48904.00ns INFO [00006114] WB Slave: RD @0000247C=2C090000
49000.00ns INFO [00006126] T0 STORE 0001FD70 tag=00 len=4 be=F0000000 data=FFFFFFFE91210068812100800000000000000000000000000000000000000000 WIMG:0
49032.00ns INFO [00006130] WB Slave: WR @0001FD70 sel=F dat=FFFFFFFE
49032.00ns INFO [00006130] Mem Update: @0001FD70 FFFFFFFF->FFFFFFFE
49032.00ns INFO [00006130] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFE 00000001 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
49096.00ns INFO [00006138] C0: CP 0:002400 0000000000002400
49176.00ns INFO [00006148] C0: CP 0:00242C 1:002430 000000000000242C
49184.00ns INFO [00006149] C0: GPR Update: R09=00000000FFFFFFFE
49184.00ns INFO [00006149] C0: GPR Update: R10=00000000FFFFFFFD
49200.00ns INFO [00006151] C0: CP 0:002434 1:002438 0000000000002434
49208.00ns INFO [00006152] C0: CP 0:00243C 000000000000243C
49208.00ns INFO [00006152] C0: CR Update: F0=8
49240.00ns INFO [00006156] T0 STORE 0001FD70 tag=00 len=4 be=F0000000 data=FFFFFFFD91210068812100800000000000000000000000000000000000000000 WIMG:0
49272.00ns INFO [00006160] WB Slave: WR @0001FD70 sel=F dat=FFFFFFFD
49272.00ns INFO [00006160] Mem Update: @0001FD70 FFFFFFFE->FFFFFFFD
49272.00ns INFO [00006160] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFD 00000001 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
49296.00ns INFO [00006163] T0 IFETCH 00002480 tag=08 len=6 WIMG:0
49312.00ns INFO [00006165] WB Slave: RD @00002480=4182009C
49328.00ns INFO [00006167] WB Slave: RD @00002484=81210074
49344.00ns INFO [00006169] WB Slave: RD @00002488=2C090008
49360.00ns INFO [00006171] WB Slave: RD @0000248C=40820030
49360.00ns INFO [00006171] C0: CP 0:002440 1:002444 0000000000002440
49368.00ns INFO [00006172] C0: GPR Update: R09=0000000000000000
49368.00ns INFO [00006172] C0: CR Update: F0=2
49376.00ns INFO [00006173] WB Slave: RD @00002490=81410068
49376.00ns INFO [00006173] C0: CP 0:002448 0000000000002448
49392.00ns INFO [00006175] WB Slave: RD @00002494=8121006C
49400.00ns INFO [00006176] C0: CP 0:002474 1:002478 0000000000002474
49408.00ns INFO [00006177] WB Slave: RD @00002498=7C0A4840
49408.00ns INFO [00006177] C0: GPR Update: R09=0000000000000000
49424.00ns INFO [00006179] WB Slave: RD @0000249C=40800010
49424.00ns INFO [00006179] C0: CP 0:00247C 000000000000247C
49432.00ns INFO [00006180] C0: CR Update: F0=2
49440.00ns INFO [00006181] WB Slave: RD @000024A0=81210068
49456.00ns INFO [00006183] WB Slave: RD @000024A4=39400030
49472.00ns INFO [00006185] WB Slave: RD @000024A8=99490000
49488.00ns INFO [00006187] WB Slave: RD @000024AC=81210068
49504.00ns INFO [00006189] WB Slave: RD @000024B0=39290001
49520.00ns INFO [00006191] WB Slave: RD @000024B4=91210068
49536.00ns INFO [00006193] WB Slave: RD @000024B8=48000064
49552.00ns INFO [00006195] WB Slave: RD @000024BC=81210074
49592.00ns INFO [00006200] ...tick...
49696.00ns INFO [00006213] C0: CP 0:002480 0000000000002480
49712.00ns INFO [00006215] T0 IFETCH 000024C0 tag=09 len=6 WIMG:0
49728.00ns INFO [00006217] WB Slave: RD @000024C0=2C090010
49744.00ns INFO [00006219] WB Slave: RD @000024C4=40820058
49760.00ns INFO [00006221] WB Slave: RD @000024C8=81410068
49776.00ns INFO [00006223] WB Slave: RD @000024CC=8121006C
49792.00ns INFO [00006225] WB Slave: RD @000024D0=7C0A4840
49808.00ns INFO [00006227] WB Slave: RD @000024D4=40800010
49824.00ns INFO [00006229] WB Slave: RD @000024D8=81210068
49840.00ns INFO [00006231] WB Slave: RD @000024DC=39400030
49856.00ns INFO [00006233] WB Slave: RD @000024E0=99490000
49872.00ns INFO [00006235] WB Slave: RD @000024E4=81210068
49888.00ns INFO [00006237] WB Slave: RD @000024E8=39290001
49904.00ns INFO [00006239] WB Slave: RD @000024EC=91210068
49920.00ns INFO [00006241] WB Slave: RD @000024F0=81410068
49936.00ns INFO [00006243] WB Slave: RD @000024F4=8121006C
49952.00ns INFO [00006245] WB Slave: RD @000024F8=7C0A4840
49968.00ns INFO [00006247] WB Slave: RD @000024FC=40800014
50128.00ns INFO [00006267] T0 IFETCH 00002510 tag=08 len=6 WIMG:0
50144.00ns INFO [00006269] WB Slave: RD @00002500=81210010
50160.00ns INFO [00006271] WB Slave: RD @00002504=89490021
50176.00ns INFO [00006273] WB Slave: RD @00002508=81210068
50192.00ns INFO [00006275] WB Slave: RD @0000250C=99490000
50208.00ns INFO [00006277] WB Slave: RD @00002510=81210068
50224.00ns INFO [00006279] WB Slave: RD @00002514=39290001
50240.00ns INFO [00006281] WB Slave: RD @00002518=91210068
50256.00ns INFO [00006283] WB Slave: RD @0000251C=81210080
50272.00ns INFO [00006285] WB Slave: RD @00002520=552906F6
50288.00ns INFO [00006287] WB Slave: RD @00002524=2C090000
50304.00ns INFO [00006289] WB Slave: RD @00002528=40820070
50320.00ns INFO [00006291] WB Slave: RD @0000252C=4800002C
50336.00ns INFO [00006293] WB Slave: RD @00002530=81410068
50352.00ns INFO [00006295] WB Slave: RD @00002534=8121006C
50368.00ns INFO [00006297] WB Slave: RD @00002538=7C0A4840
50384.00ns INFO [00006299] WB Slave: RD @0000253C=40800010
50392.00ns INFO [00006300] ...tick...
50544.00ns INFO [00006319] T0 IFETCH 00002540 tag=09 len=6 WIMG:0
50560.00ns INFO [00006321] WB Slave: RD @00002540=81210068
50576.00ns INFO [00006323] WB Slave: RD @00002544=89410014
50576.00ns INFO [00006323] C0: CP 0:00251C 000000000000251C
50584.00ns INFO [00006324] C0: CP 0:002520 0000000000002520
50584.00ns INFO [00006324] C0: GPR Update: R09=0000000000000002
50592.00ns INFO [00006325] WB Slave: RD @00002548=99490000
50592.00ns INFO [00006325] C0: CP 0:002524 0000000000002524
50592.00ns INFO [00006325] C0: GPR Update: R09=0000000000000000
50600.00ns INFO [00006326] C0: CR Update: F0=2
50608.00ns INFO [00006327] WB Slave: RD @0000254C=81210068
50608.00ns INFO [00006327] C0: CP 0:002528 0000000000002528
50624.00ns INFO [00006329] WB Slave: RD @00002550=39290001
50640.00ns INFO [00006331] WB Slave: RD @00002554=91210068
50656.00ns INFO [00006333] WB Slave: RD @00002558=81210078
50672.00ns INFO [00006335] WB Slave: RD @0000255C=3949FFFF
50688.00ns INFO [00006337] WB Slave: RD @00002560=91410078
50704.00ns INFO [00006339] WB Slave: RD @00002564=2C090000
50720.00ns INFO [00006341] WB Slave: RD @00002568=4181FFC8
50720.00ns INFO [00006341] C0: CP 0:00252C 000000000000252C
50736.00ns INFO [00006343] WB Slave: RD @0000256C=4800002C
50752.00ns INFO [00006345] WB Slave: RD @00002570=81410068
50768.00ns INFO [00006347] WB Slave: RD @00002574=8121006C
50784.00ns INFO [00006349] WB Slave: RD @00002578=7C0A4840
50800.00ns INFO [00006351] WB Slave: RD @0000257C=40800010
50960.00ns INFO [00006371] T0 IFETCH 00002590 tag=08 len=6 WIMG:0
50976.00ns INFO [00006373] WB Slave: RD @00002580=81210068
50992.00ns INFO [00006375] WB Slave: RD @00002584=39400030
51008.00ns INFO [00006377] WB Slave: RD @00002588=99490000
51024.00ns INFO [00006379] WB Slave: RD @0000258C=81210068
51040.00ns INFO [00006381] WB Slave: RD @00002590=39290001
51056.00ns INFO [00006383] WB Slave: RD @00002594=91210068
51072.00ns INFO [00006385] WB Slave: RD @00002598=8121007C
51088.00ns INFO [00006387] WB Slave: RD @0000259C=3949FFFF
51104.00ns INFO [00006389] WB Slave: RD @000025A0=9141007C
51120.00ns INFO [00006391] WB Slave: RD @000025A4=8141000C
51136.00ns INFO [00006393] WB Slave: RD @000025A8=7C0A4800
51152.00ns INFO [00006395] WB Slave: RD @000025AC=4180FFC4
51168.00ns INFO [00006397] WB Slave: RD @000025B0=48000038
51184.00ns INFO [00006399] WB Slave: RD @000025B4=81410068
51192.00ns INFO [00006400] ...tick...
51200.00ns INFO [00006401] WB Slave: RD @000025B8=8121006C
51216.00ns INFO [00006403] WB Slave: RD @000025BC=7C0A4840
51448.00ns INFO [00006432] C0: CP 0:002558 1:00255C 0000000000002558
51456.00ns INFO [00006433] C0: GPR Update: R09=00000000FFFFFFFD
51456.00ns INFO [00006433] C0: GPR Update: R10=00000000FFFFFFFC
51472.00ns INFO [00006435] C0: CP 0:002560 1:002564 0000000000002560
51480.00ns INFO [00006436] C0: CP 0:002568 0000000000002568
51480.00ns INFO [00006436] C0: CR Update: F0=8
51512.00ns INFO [00006440] T0 STORE 0001FD70 tag=00 len=4 be=F0000000 data=FFFFFFFC48000038814100680000000000000000000000000000000000000000 WIMG:0
51544.00ns INFO [00006444] WB Slave: WR @0001FD70 sel=F dat=FFFFFFFC
51544.00ns INFO [00006444] Mem Update: @0001FD70 FFFFFFFD->FFFFFFFC
51544.00ns INFO [00006444] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFC 00000001 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
51592.00ns INFO [00006450] C0: CP 0:00256C 000000000000256C
51672.00ns INFO [00006460] C0: CP 0:002598 1:00259C 0000000000002598
51680.00ns INFO [00006461] C0: GPR Update: R09=0000000000000001
51680.00ns INFO [00006461] C0: GPR Update: R10=0000000000000000
51696.00ns INFO [00006463] C0: CP 0:0025A0 1:0025A4 00000000000025A0
51704.00ns INFO [00006464] C0: CP 0:0025A8 1:0025AC 00000000000025A8
51704.00ns INFO [00006464] C0: GPR Update: R10=0000000000000001
51712.00ns INFO [00006465] C0: CR Update: F0=2
51752.00ns INFO [00006470] T0 STORE 0001FD74 tag=00 len=4 be=0F000000 data=0000000000000000480000388141006800000000000000000000000000000000 WIMG:0
51784.00ns INFO [00006474] WB Slave: WR @0001FD74 sel=F dat=00000000
51784.00ns INFO [00006474] Mem Update: @0001FD74 00000001->00000000
51784.00ns INFO [00006474] Stack:
0001FD00: 00000000 00000001 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
51808.00ns INFO [00006477] T0 IFETCH 000025E0 tag=08 len=6 WIMG:0
51816.00ns INFO [00006478] C0: CP 0:0025B0 00000000000025B0
51824.00ns INFO [00006479] WB Slave: RD @000025C0=4080001C
51840.00ns INFO [00006481] WB Slave: RD @000025C4=39410018
51856.00ns INFO [00006483] WB Slave: RD @000025C8=8121000C
51872.00ns INFO [00006485] WB Slave: RD @000025CC=7D2A4A14
51888.00ns INFO [00006487] WB Slave: RD @000025D0=89490000
51904.00ns INFO [00006489] WB Slave: RD @000025D4=81210068
51920.00ns INFO [00006491] WB Slave: RD @000025D8=99490000
51936.00ns INFO [00006493] WB Slave: RD @000025DC=81210068
51952.00ns INFO [00006495] WB Slave: RD @000025E0=39290001
51968.00ns INFO [00006497] WB Slave: RD @000025E4=91210068
51984.00ns INFO [00006499] WB Slave: RD @000025E8=8121000C
51992.00ns INFO [00006500] ...tick...
52000.00ns INFO [00006501] WB Slave: RD @000025EC=3949FFFF
52016.00ns INFO [00006503] WB Slave: RD @000025F0=9141000C
52032.00ns INFO [00006505] WB Slave: RD @000025F4=2C090000
52048.00ns INFO [00006507] WB Slave: RD @000025F8=4181FFBC
52064.00ns INFO [00006509] WB Slave: RD @000025FC=4800002C
52224.00ns INFO [00006529] T0 IFETCH 00002600 tag=09 len=6 WIMG:0
52240.00ns INFO [00006531] WB Slave: RD @00002600=81410068
52256.00ns INFO [00006533] WB Slave: RD @00002604=8121006C
52264.00ns INFO [00006534] C0: CP 0:0025E8 1:0025EC 00000000000025E8
52272.00ns INFO [00006535] WB Slave: RD @00002608=7C0A4840
52272.00ns INFO [00006535] C0: GPR Update: R09=0000000000000001
52272.00ns INFO [00006535] C0: GPR Update: R10=0000000000000000
52288.00ns INFO [00006537] WB Slave: RD @0000260C=40800010
52288.00ns INFO [00006537] C0: CP 0:0025F0 1:0025F4 00000000000025F0
52296.00ns INFO [00006538] C0: CP 0:0025F8 00000000000025F8
52296.00ns INFO [00006538] C0: CR Update: F0=4
52304.00ns INFO [00006539] WB Slave: RD @00002610=81210068
52320.00ns INFO [00006541] WB Slave: RD @00002614=39400020
52336.00ns INFO [00006543] WB Slave: RD @00002618=99490000
52336.00ns INFO [00006543] C0: CP 0:0025B4 00000000000025B4
52344.00ns INFO [00006544] C0: CP 0:0025B8 00000000000025B8
52344.00ns INFO [00006544] C0: GPR Update: R10=000000000001FE29
52352.00ns INFO [00006545] WB Slave: RD @0000261C=81210068
52352.00ns INFO [00006545] C0: CP 0:0025BC 00000000000025BC
52352.00ns INFO [00006545] C0: GPR Update: R09=000000000001FF24
52360.00ns INFO [00006546] C0: CP 0:0025C0 00000000000025C0
52360.00ns INFO [00006546] C0: CR Update: F0=8
52368.00ns INFO [00006547] WB Slave: RD @00002620=39290001
52384.00ns INFO [00006549] WB Slave: RD @00002624=91210068
52400.00ns INFO [00006551] WB Slave: RD @00002628=81210078
52416.00ns INFO [00006553] WB Slave: RD @0000262C=3949FFFF
52432.00ns INFO [00006555] WB Slave: RD @00002630=91410078
52448.00ns INFO [00006557] WB Slave: RD @00002634=2C090000
52464.00ns INFO [00006559] WB Slave: RD @00002638=4181FFC8
52480.00ns INFO [00006561] WB Slave: RD @0000263C=81210068
52480.00ns INFO [00006561] C0: CP 0:0025C4 00000000000025C4
52488.00ns INFO [00006562] C0: GPR Update: R10=000000000001FD10
52576.00ns INFO [00006573] T0 STORE 0001FD04 tag=00 len=4 be=0F000000 data=0000000000000000914100782C09000000000000000000000000000000000000 WIMG:0
52608.00ns INFO [00006577] WB Slave: WR @0001FD04 sel=F dat=00000000
52608.00ns INFO [00006577] Mem Update: @0001FD04 00000001->00000000
52608.00ns INFO [00006577] Stack:
0001FD00: 00000000 00000000 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 ....main(.......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
52672.00ns INFO [00006585] C0: CP 0:0025C8 00000000000025C8
52680.00ns INFO [00006586] C0: CP 0:0025CC 00000000000025CC
52680.00ns INFO [00006586] C0: GPR Update: R09=0000000000000000
52688.00ns INFO [00006587] C0: GPR Update: R09=000000000001FD10
52728.00ns INFO [00006592] C0: CP 0:0025D0 00000000000025D0
52736.00ns INFO [00006593] C0: CP 0:0025D4 00000000000025D4
52736.00ns INFO [00006593] C0: GPR Update: R10=0000000000000030
52744.00ns INFO [00006594] C0: CP 0:0025D8 00000000000025D8
52744.00ns INFO [00006594] C0: GPR Update: R09=000000000001FE29
52752.00ns INFO [00006595] C0: CP 0:0025DC 1:0025E0 00000000000025DC
52760.00ns INFO [00006596] C0: CP 0:0025E4 00000000000025E4
52760.00ns INFO [00006596] C0: GPR Update: R09=000000000001FE2A
52768.00ns INFO [00006597] C0: CP 0:0025E8 1:0025EC 00000000000025E8
52776.00ns INFO [00006598] C0: CP 0:0025F0 1:0025F4 00000000000025F0
52776.00ns INFO [00006598] C0: GPR Update: R09=0000000000000000
52776.00ns INFO [00006598] C0: GPR Update: R10=FFFFFFFFFFFFFFFF
52784.00ns INFO [00006599] T0 STORE 0001FE29 tag=00 len=1 be=00400000 data=00000000000000000030914100782C0900000000000000000000000000000000 WIMG:0
52784.00ns INFO [00006599] C0: CP 0:0025F8 00000000000025F8
52784.00ns INFO [00006599] C0: CR Update: F0=2
52792.00ns INFO [00006600] ...tick...
52816.00ns INFO [00006603] WB Slave: WR @0001FE28 sel=4 dat=00309141
52816.00ns INFO [00006603] Mem Update: @0001FE28 28000000->28300000
52816.00ns INFO [00006603] Stack:
0001FD00: 00000000 00000000 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE29 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ...)...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
52848.00ns INFO [00006607] T0 STORE 0001FD60 tag=00 len=4 be=F0000000 data=0001FE2A914100782C0900000000000000000000000000000000000000000000 WIMG:0
52880.00ns INFO [00006611] WB Slave: WR @0001FD60 sel=F dat=0001FE2A
52880.00ns INFO [00006611] Mem Update: @0001FD60 0001FE29->0001FE2A
52880.00ns INFO [00006611] Stack:
0001FD00: 00000000 00000000 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
52888.00ns INFO [00006612] C0: CP 0:0025FC 00000000000025FC
52928.00ns INFO [00006617] T0 STORE 0001FD04 tag=00 len=4 be=0F000000 data=FFFFFFFFFFFFFFFF914100782C09000000000000000000000000000000000000 WIMG:0
52936.00ns INFO [00006618] C0: CP 0:002628 1:00262C 0000000000002628
52944.00ns INFO [00006619] C0: GPR Update: R09=00000000FFFFFFFC
52944.00ns INFO [00006619] C0: GPR Update: R10=00000000FFFFFFFB
52960.00ns INFO [00006621] WB Slave: WR @0001FD04 sel=F dat=FFFFFFFF
52960.00ns INFO [00006621] Mem Update: @0001FD04 00000000->FFFFFFFF
52960.00ns INFO [00006621] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFC 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
52960.00ns INFO [00006621] C0: CP 0:002630 1:002634 0000000000002630
52968.00ns INFO [00006622] C0: CP 0:002638 0000000000002638
52968.00ns INFO [00006622] C0: CR Update: F0=8
53008.00ns INFO [00006627] T0 STORE 0001FD70 tag=00 len=4 be=F0000000 data=FFFFFFFB914100782C0900000000000000000000000000000000000000000000 WIMG:0
53040.00ns INFO [00006631] WB Slave: WR @0001FD70 sel=F dat=FFFFFFFB
53040.00ns INFO [00006631] Mem Update: @0001FD70 FFFFFFFC->FFFFFFFB
53040.00ns INFO [00006631] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE29 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...)...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
53120.00ns INFO [00006641] C0: CP 0:00263C 000000000000263C
53128.00ns INFO [00006642] C0: CP 0:002640 1:002644 0000000000002640
53128.00ns INFO [00006642] C0: GPR Update: R09=000000000001FE2A
53136.00ns INFO [00006643] C0: CP 0:002648 1:0037C0 0000000000002648
53136.00ns INFO [00006643] C0: GPR Update: R01=000000000001FD88
53136.00ns INFO [00006643] C0: GPR Update: R03=000000000001FE2A
53144.00ns INFO [00006644] C0: GPR Update: R09=000000000001FE2A
53168.00ns INFO [00006647] C0: CP 0:0037C4 1:0037C8 00000000000037C4
53176.00ns INFO [00006648] C0: CP 0:0037CC 00000000000037CC
53176.00ns INFO [00006648] C0: GPR Update: R09=00000000000008F6
53184.00ns INFO [00006649] C0: GPR Update: R09=00000000000008F7
53192.00ns INFO [00006650] C0: CP 0:0037D0 00000000000037D0
53216.00ns INFO [00006653] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE2A914100782C0900000000000000000000000000000000000000000000 WIMG:0
53248.00ns INFO [00006657] WB Slave: WR @0001FDA0 sel=F dat=0001FE2A
53248.00ns INFO [00006657] Mem Update: @0001FDA0 0001FE29->0001FE2A
53248.00ns INFO [00006657] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2A 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...*...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F6 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
53280.00ns INFO [00006661] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F79141007800000000000000000000000000000000 WIMG:0
53312.00ns INFO [00006665] WB Slave: WR @0001FDD8 sel=F dat=000008F7
53312.00ns INFO [00006665] Mem Update: @0001FDD8 000008F6->000008F7
53312.00ns INFO [00006665] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2A 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...*...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F7 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 ....main(0......................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
53376.00ns INFO [00006673] C0: CP 0:0037D4 00000000000037D4
53384.00ns INFO [00006674] C0: GPR Update: R09=00000000000008F7
53408.00ns INFO [00006677] C0: CP 0:0037D8 00000000000037D8
53416.00ns INFO [00006678] C0: CP 0:0037DC 00000000000037DC
53416.00ns INFO [00006678] C0: GPR Update: R09=0000000000000029
53424.00ns INFO [00006679] C0: CP 0:0037E0 1:002B38 00000000000037E0
53424.00ns INFO [00006679] C0: CR Update: F0=4
53432.00ns INFO [00006680] C0: CP 0:002B3C 1:002B40 0000000000002B3C
53432.00ns INFO [00006680] C0: GPR Update: R09=00000000000008F7
53440.00ns INFO [00006681] C0: CP 0:002B44 0000000000002B44
53440.00ns INFO [00006681] C0: GPR Update: R09=0000000000000029
53440.00ns INFO [00006681] C0: CR Update: F0=4
53584.00ns INFO [00006699] C0: CP 0:002B48 0000000000002B48
53592.00ns INFO [00006700] ...tick...
53592.00ns INFO [00006700] C0: CP 0:002B4C 0000000000002B4C
53592.00ns INFO [00006700] C0: GPR Update: R10=000000000001FE2A
53600.00ns INFO [00006701] C0: CP 0:002B50 0000000000002B50
53600.00ns INFO [00006701] C0: GPR Update: R09=000000000001FF24
53608.00ns INFO [00006702] C0: CP 0:002B54 0000000000002B54
53608.00ns INFO [00006702] C0: CR Update: F0=8
53760.00ns INFO [00006721] C0: CP 0:002B58 0000000000002B58
53768.00ns INFO [00006722] C0: GPR Update: R09=00000000000008F7
53792.00ns INFO [00006725] C0: CP 0:002B5C 0000000000002B5C
53800.00ns INFO [00006726] C0: CP 0:002B60 0000000000002B60
53800.00ns INFO [00006726] C0: GPR Update: R10=0000000000000029
53808.00ns INFO [00006727] C0: CP 0:002B64 0000000000002B64
53808.00ns INFO [00006727] C0: GPR Update: R09=000000000001FE2A
53816.00ns INFO [00006728] C0: CP 0:002B68 1:002B6C 0000000000002B68
53824.00ns INFO [00006729] C0: CP 0:002B70 1:002B74 0000000000002B70
53824.00ns INFO [00006729] C0: GPR Update: R09=000000000001FE2B
53832.00ns INFO [00006730] C0: CP 0:0037C8 1:0037CC 00000000000037C8
53840.00ns INFO [00006731] C0: CP 0:0037D0 00000000000037D0
53840.00ns INFO [00006731] C0: GPR Update: R09=00000000000008F8
53856.00ns INFO [00006733] T0 STORE 0001FE2A tag=00 len=1 be=00200000 data=0900000000000000000029914100782C00000000000000000000000000000000 WIMG:0
53888.00ns INFO [00006737] WB Slave: WR @0001FE28 sel=2 dat=00002991
53888.00ns INFO [00006737] Mem Update: @0001FE28 28300000->28302900
53888.00ns INFO [00006737] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2A 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...*...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F7 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28302900 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
53920.00ns INFO [00006741] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE2B914100782C0900000000000000000000000000000000000000000000 WIMG:0
53952.00ns INFO [00006745] WB Slave: WR @0001FDA0 sel=F dat=0001FE2B
53952.00ns INFO [00006745] Mem Update: @0001FDA0 0001FE2A->0001FE2B
53952.00ns INFO [00006745] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2B 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...+...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F7 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28302900 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
53984.00ns INFO [00006749] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F89141007800000000000000000000000000000000 WIMG:0
54016.00ns INFO [00006753] WB Slave: WR @0001FDD8 sel=F dat=000008F8
54016.00ns INFO [00006753] Mem Update: @0001FDD8 000008F7->000008F8
54016.00ns INFO [00006753] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2B 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...+...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F8 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 28302900 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
54080.00ns INFO [00006761] C0: CP 0:0037D4 00000000000037D4
54088.00ns INFO [00006762] C0: GPR Update: R09=00000000000008F8
54112.00ns INFO [00006765] C0: CP 0:0037D8 00000000000037D8
54120.00ns INFO [00006766] C0: CP 0:0037DC 00000000000037DC
54120.00ns INFO [00006766] C0: GPR Update: R09=000000000000000A
54128.00ns INFO [00006767] C0: CP 0:0037E0 1:002B38 00000000000037E0
54128.00ns INFO [00006767] C0: CR Update: F0=4
54136.00ns INFO [00006768] C0: CP 0:002B3C 1:002B40 0000000000002B3C
54136.00ns INFO [00006768] C0: GPR Update: R09=00000000000008F8
54144.00ns INFO [00006769] C0: CP 0:002B44 0000000000002B44
54144.00ns INFO [00006769] C0: GPR Update: R09=000000000000000A
54144.00ns INFO [00006769] C0: CR Update: F0=8
54288.00ns INFO [00006787] C0: CP 0:002B48 0000000000002B48
54296.00ns INFO [00006788] C0: CP 0:002B4C 0000000000002B4C
54296.00ns INFO [00006788] C0: GPR Update: R10=000000000001FE2B
54304.00ns INFO [00006789] C0: CP 0:002B50 0000000000002B50
54304.00ns INFO [00006789] C0: GPR Update: R09=000000000001FF24
54312.00ns INFO [00006790] C0: CP 0:002B54 0000000000002B54
54312.00ns INFO [00006790] C0: CR Update: F0=8
54392.00ns INFO [00006800] ...tick...
54464.00ns INFO [00006809] C0: CP 0:002B58 0000000000002B58
54472.00ns INFO [00006810] C0: GPR Update: R09=00000000000008F8
54496.00ns INFO [00006813] C0: CP 0:002B5C 0000000000002B5C
54504.00ns INFO [00006814] C0: CP 0:002B60 0000000000002B60
54504.00ns INFO [00006814] C0: GPR Update: R10=000000000000000A
54512.00ns INFO [00006815] C0: CP 0:002B64 0000000000002B64
54512.00ns INFO [00006815] C0: GPR Update: R09=000000000001FE2B
54520.00ns INFO [00006816] C0: CP 0:002B68 1:002B6C 0000000000002B68
54528.00ns INFO [00006817] C0: CP 0:002B70 1:002B74 0000000000002B70
54528.00ns INFO [00006817] C0: GPR Update: R09=000000000001FE2C
54536.00ns INFO [00006818] C0: CP 0:0037C8 1:0037CC 00000000000037C8
54544.00ns INFO [00006819] C0: CP 0:0037D0 00000000000037D0
54544.00ns INFO [00006819] C0: GPR Update: R09=00000000000008F9
54560.00ns INFO [00006821] T0 STORE 0001FE2B tag=00 len=1 be=00100000 data=2C090000000000000000000A9141007800000000000000000000000000000000 WIMG:0
54592.00ns INFO [00006825] WB Slave: WR @0001FE28 sel=1 dat=0000000A
54592.00ns INFO [00006825] Mem Update: @0001FE28 28302900->2830290A
54592.00ns INFO [00006825] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2B 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...+...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F8 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
54624.00ns INFO [00006829] T0 STORE 0001FDA0 tag=00 len=4 be=F0000000 data=0001FE2C914100782C0900000000000000000000000000000000000000000000 WIMG:0
54656.00ns INFO [00006833] WB Slave: WR @0001FDA0 sel=F dat=0001FE2C
54656.00ns INFO [00006833] Mem Update: @0001FDA0 0001FE2B->0001FE2C
54656.00ns INFO [00006833] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F8 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
54688.00ns INFO [00006837] T0 STORE 0001FDD8 tag=00 len=4 be=00F00000 data=2C09000000000000000008F99141007800000000000000000000000000000000 WIMG:0
54720.00ns INFO [00006841] WB Slave: WR @0001FDD8 sel=F dat=000008F9
54720.00ns INFO [00006841] Mem Update: @0001FDD8 000008F8->000008F9
54720.00ns INFO [00006841] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000000 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
54784.00ns INFO [00006849] C0: CP 0:0037D4 00000000000037D4
54792.00ns INFO [00006850] C0: GPR Update: R09=00000000000008F9
54816.00ns INFO [00006853] C0: CP 0:0037D8 00000000000037D8
54824.00ns INFO [00006854] C0: CP 0:0037DC 00000000000037DC
54824.00ns INFO [00006854] C0: GPR Update: R09=0000000000000000
54832.00ns INFO [00006855] C0: CP 0:0037E0 00000000000037E0
54832.00ns INFO [00006855] C0: CR Update: F0=2
54920.00ns INFO [00006866] T0 IFETCH 00003820 tag=08 len=6 WIMG:0
54936.00ns INFO [00006868] WB Slave: RD @00003800=81210018
54952.00ns INFO [00006870] WB Slave: RD @00003804=39400000
54968.00ns INFO [00006872] WB Slave: RD @00003808=99490000
54984.00ns INFO [00006874] WB Slave: RD @0000380C=48000014
54984.00ns INFO [00006874] C0: CP 0:0037E4 1:0037E8 00000000000037E4
54992.00ns INFO [00006875] C0: GPR Update: R09=0000000000000100
54992.00ns INFO [00006875] C0: CR Update: F0=4
55000.00ns INFO [00006876] WB Slave: RD @00003810=8121001C
55000.00ns INFO [00006876] C0: CP 0:0037EC 00000000000037EC
55016.00ns INFO [00006878] WB Slave: RD @00003814=3929FFFF
55032.00ns INFO [00006880] WB Slave: RD @00003818=39400000
55048.00ns INFO [00006882] WB Slave: RD @0000381C=99490000
55064.00ns INFO [00006884] WB Slave: RD @00003820=81410018
55080.00ns INFO [00006886] WB Slave: RD @00003824=81210048
55096.00ns INFO [00006888] WB Slave: RD @00003828=7D295050
55112.00ns INFO [00006890] WB Slave: RD @0000382C=7D234B78
55128.00ns INFO [00006892] WB Slave: RD @00003830=80010064
55144.00ns INFO [00006894] WB Slave: RD @00003834=7C0803A6
55152.00ns INFO [00006895] C0: CP 0:0037F0 00000000000037F0
55160.00ns INFO [00006896] WB Slave: RD @00003838=38210060
55160.00ns INFO [00006896] C0: CP 0:0037F4 00000000000037F4
55160.00ns INFO [00006896] C0: GPR Update: R10=000000000001FE2C
55168.00ns INFO [00006897] C0: CP 0:0037F8 00000000000037F8
55168.00ns INFO [00006897] C0: GPR Update: R09=000000000001FF24
55176.00ns INFO [00006898] WB Slave: RD @0000383C=4E800020
55176.00ns INFO [00006898] C0: CP 0:0037FC 00000000000037FC
55176.00ns INFO [00006898] C0: CR Update: F0=8
55192.00ns INFO [00006900] ...tick...
55384.00ns INFO [00006924] T0 IFETCH 00002680 tag=08 len=6 WIMG:0
55400.00ns INFO [00006926] WB Slave: RD @00002680=91210008
55408.00ns INFO [00006927] C0: CP 0:003800 1:003804 0000000000003800
55416.00ns INFO [00006928] WB Slave: RD @00002684=81410008
55416.00ns INFO [00006928] C0: GPR Update: R09=000000000001FE2C
55416.00ns INFO [00006928] C0: GPR Update: R10=0000000000000000
55432.00ns INFO [00006930] WB Slave: RD @00002688=8121001C
55432.00ns INFO [00006930] C0: CP 0:003808 1:00380C 0000000000003808
55448.00ns INFO [00006932] WB Slave: RD @0000268C=7C0A4840
55448.00ns INFO [00006932] C0: CP 0:003820 0000000000003820
55456.00ns INFO [00006933] C0: CP 0:003824 0000000000003824
55456.00ns INFO [00006933] C0: GPR Update: R10=000000000001FE2C
55464.00ns INFO [00006934] WB Slave: RD @00002690=41800010
55464.00ns INFO [00006934] C0: CP 0:003828 0000000000003828
55464.00ns INFO [00006934] C0: GPR Update: R09=000000000001FE24
55472.00ns INFO [00006935] C0: CP 0:00382C 1:003830 000000000000382C
55472.00ns INFO [00006935] C0: GPR Update: R09=0000000000000008
55480.00ns INFO [00006936] WB Slave: RD @00002694=8121001C
55480.00ns INFO [00006936] C0: CP 0:003834 1:003838 0000000000003834
55480.00ns INFO [00006936] C0: GPR Update: R00=000000000000267C
55480.00ns INFO [00006936] C0: GPR Update: R03=0000000000000008
55488.00ns INFO [00006937] C0: CP 0:00383C 1:00267C 000000000000383C
55488.00ns INFO [00006937] C0: GPR Update: R01=000000000001FDE8
55488.00ns INFO [00006937] C0: LR Update:000000000000267C
55496.00ns INFO [00006938] WB Slave: RD @00002698=3929FFFF
55496.00ns INFO [00006938] C0: GPR Update: R09=0000000000000008
55512.00ns INFO [00006940] WB Slave: RD @0000269C=48000008
55528.00ns INFO [00006942] WB Slave: RD @000026A0=81210008
55544.00ns INFO [00006944] WB Slave: RD @000026A4=7D234B78
55560.00ns INFO [00006946] WB Slave: RD @000026A8=80010034
55576.00ns INFO [00006948] WB Slave: RD @000026AC=7C0803A6
55592.00ns INFO [00006950] WB Slave: RD @000026B0=38210030
55608.00ns INFO [00006952] WB Slave: RD @000026B4=4E800020
55624.00ns INFO [00006954] WB Slave: RD @000026B8=9421FF80
55640.00ns INFO [00006956] WB Slave: RD @000026BC=7C0802A6
55736.00ns INFO [00006968] T0 STORE 0001FE2C tag=00 len=1 be=00080000 data=304E800020000000000000000038210000000000000000000000000000000000 WIMG:0
55768.00ns INFO [00006972] WB Slave: WR @0001FE2C sel=8 dat=00382100
55768.00ns INFO [00006972] Mem Update: @0001FE2C XXXXXXXX->00000000
55792.00ns INFO [00006975] T0 IFETCH 000026C0 tag=09 len=6 WIMG:0
55808.00ns INFO [00006977] WB Slave: RD @000026C0=90010084
55816.00ns INFO [00006978] C0: CP 0:002680 0000000000002680
55824.00ns INFO [00006979] WB Slave: RD @000026C4=90610018
55840.00ns INFO [00006981] WB Slave: RD @000026C8=9081001C
55856.00ns INFO [00006983] WB Slave: RD @000026CC=90A10020
55872.00ns INFO [00006985] WB Slave: RD @000026D0=90C1002C
55888.00ns INFO [00006987] WB Slave: RD @000026D4=90E10030
55904.00ns INFO [00006989] WB Slave: RD @000026D8=91010034
55920.00ns INFO [00006991] WB Slave: RD @000026DC=91210038
55936.00ns INFO [00006993] WB Slave: RD @000026E0=9141003C
55952.00ns INFO [00006995] WB Slave: RD @000026E4=40860024
55968.00ns INFO [00006997] WB Slave: RD @000026E8=D8210040
55984.00ns INFO [00006999] WB Slave: RD @000026EC=D8410048
55992.00ns INFO [00007000] ...tick...
56000.00ns INFO [00007001] WB Slave: RD @000026F0=D8610050
56016.00ns INFO [00007003] WB Slave: RD @000026F4=D8810058
56032.00ns INFO [00007005] WB Slave: RD @000026F8=D8A10060
56048.00ns INFO [00007007] WB Slave: RD @000026FC=D8C10068
56144.00ns INFO [00007019] T0 STORE 0001FDF0 tag=00 len=4 be=F0000000 data=00000008D8610050D88100580000000000000000000000000000000000000000 WIMG:0
56176.00ns INFO [00007023] WB Slave: WR @0001FDF0 sel=F dat=00000008
56176.00ns INFO [00007023] Mem Update: @0001FDF0 XXXXXXXX->00000008
56176.00ns INFO [00007023] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000000 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
56200.00ns INFO [00007026] T0 IFETCH 000039D0 tag=08 len=6 WIMG:0
56216.00ns INFO [00007028] WB Slave: RD @000039C0=7D234B78
56232.00ns INFO [00007030] WB Slave: RD @000039C4=80010014
56240.00ns INFO [00007031] C0: CP 0:002684 0000000000002684
56248.00ns INFO [00007032] WB Slave: RD @000039C8=7C0803A6
56248.00ns INFO [00007032] C0: CP 0:002688 1:00268C 0000000000002688
56248.00ns INFO [00007032] C0: GPR Update: R10=0000000000000008
56256.00ns INFO [00007033] C0: CP 0:002690 1:0026A0 0000000000002690
56256.00ns INFO [00007033] C0: GPR Update: R09=0000000000000100
56256.00ns INFO [00007033] C0: CR Update: F0=8
56264.00ns INFO [00007034] WB Slave: RD @000039CC=38210010
56264.00ns INFO [00007034] C0: CP 0:0026A4 1:0026A8 00000000000026A4
56264.00ns INFO [00007034] C0: GPR Update: R09=0000000000000008
56272.00ns INFO [00007035] C0: CP 0:0026AC 1:0026B0 00000000000026AC
56272.00ns INFO [00007035] C0: GPR Update: R00=0000000000003A58
56272.00ns INFO [00007035] C0: GPR Update: R03=0000000000000008
56280.00ns INFO [00007036] WB Slave: RD @000039D0=4E800020
56280.00ns INFO [00007036] C0: CP 0:0026B4 1:003A58 00000000000026B4
56280.00ns INFO [00007036] C0: GPR Update: R01=000000000001FE18
56280.00ns INFO [00007036] C0: LR Update:0000000000003A58
56288.00ns INFO [00007037] C0: GPR Update: R09=0000000000000008
56296.00ns INFO [00007038] WB Slave: RD @000039D4=9421FFF0
56296.00ns INFO [00007038] C0: CP 0:003A5C 1:003A60 0000000000003A5C
56304.00ns INFO [00007039] C0: GPR Update: R10=000000000001FE24
56312.00ns INFO [00007040] WB Slave: RD @000039D8=7C0802A6
56328.00ns INFO [00007042] WB Slave: RD @000039DC=90010014
56344.00ns INFO [00007044] WB Slave: RD @000039E0=90610008
56360.00ns INFO [00007046] WB Slave: RD @000039E4=48000020
56376.00ns INFO [00007048] WB Slave: RD @000039E8=81210008
56392.00ns INFO [00007050] WB Slave: RD @000039EC=89290000
56408.00ns INFO [00007052] WB Slave: RD @000039F0=7D234B78
56424.00ns INFO [00007054] WB Slave: RD @000039F4=4BFFFE9D
56440.00ns INFO [00007056] WB Slave: RD @000039F8=81210008
56456.00ns INFO [00007058] WB Slave: RD @000039FC=39290001
56552.00ns INFO [00007070] T0 STORE 0001FE20 tag=00 len=4 be=F0000000 data=000000087D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
56584.00ns INFO [00007074] WB Slave: WR @0001FE20 sel=F dat=00000008
56584.00ns INFO [00007074] Mem Update: @0001FE20 XXXXXXXX->00000008
56584.00ns INFO [00007074] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 000008F0 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
56648.00ns INFO [00007082] C0: CP 0:003A64 0000000000003A64
56656.00ns INFO [00007083] C0: CP 0:003A68 1:003A6C 0000000000003A68
56656.00ns INFO [00007083] C0: GPR Update: R09=0000000000000008
56664.00ns INFO [00007084] C0: GPR Update: R09=000000000001FE2C
56664.00ns INFO [00007084] C0: GPR Update: R10=0000000000000000
56696.00ns INFO [00007088] C0: CP 0:003A70 1:003A74 0000000000003A70
56704.00ns INFO [00007089] C0: CP 0:003A78 1:003A7C 0000000000003A78
56704.00ns INFO [00007089] C0: GPR Update: R09=000000000001FE24
56712.00ns INFO [00007090] C0: CP 0:0039D4 1:0039D8 00000000000039D4
56712.00ns INFO [00007090] C0: GPR Update: R03=000000000001FE24
56712.00ns INFO [00007090] C0: LR Update:0000000000003A80
56720.00ns INFO [00007091] C0: CP 0:0039DC 1:0039E0 00000000000039DC
56720.00ns INFO [00007091] C0: GPR Update: R00=0000000000003A80
56720.00ns INFO [00007091] C0: GPR Update: R01=000000000001FE08
56728.00ns INFO [00007092] C0: CP 0:0039E4 00000000000039E4
56760.00ns INFO [00007096] T0 STORE 0001FE2C tag=00 len=1 be=00080000 data=784BFFFE9D00000000000000007D234B00000000000000000000000000000000 WIMG:0
56792.00ns INFO [00007100] ...tick...
56792.00ns INFO [00007100] WB Slave: WR @0001FE2C sel=8 dat=007D234B
56792.00ns INFO [00007100] Mem Update: @0001FE2C 00000000->00000000
56824.00ns INFO [00007104] T0 STORE 0001FE08 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE187D234B7800000000000000000000000000000000 WIMG:0
56856.00ns INFO [00007108] WB Slave: WR @0001FE08 sel=F dat=0001FE18
56856.00ns INFO [00007108] Mem Update: @0001FE08 000008F0->0001FE18
56856.00ns INFO [00007108] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 0001FE18 0001FF44 00000000 00000000 0001FF38 00003A58 ...$...........D...........8..:X
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
56888.00ns INFO [00007112] T0 STORE 0001FE1C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D0000000000003A8000000000000000000000000000000000 WIMG:0
56920.00ns INFO [00007116] WB Slave: WR @0001FE1C sel=F dat=00003A80
56920.00ns INFO [00007116] Mem Update: @0001FE1C 00003A58->00003A80
56920.00ns INFO [00007116] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 0001FE18 0001FF44 00000000 00000000 0001FF38 00003A80 ...$...........D...........8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
56952.00ns INFO [00007120] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE247D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
56984.00ns INFO [00007124] WB Slave: WR @0001FE10 sel=F dat=0001FE24
56984.00ns INFO [00007124] Mem Update: @0001FE10 XXXXXXXX->0001FE24
56984.00ns INFO [00007124] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 00000000 00000000 ..............&|................
0001FE00: 0001FE24 00000100 0001FE18 0001FF44 0001FE24 00000000 0001FF38 00003A80 ...$...........D...$.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
57048.00ns INFO [00007132] C0: CP 0:003A04 0000000000003A04
57056.00ns INFO [00007133] C0: GPR Update: R09=000000000001FE24
57080.00ns INFO [00007136] C0: CP 0:003A08 1:003A0C 0000000000003A08
57088.00ns INFO [00007137] C0: GPR Update: R09=000000000000006D
57088.00ns INFO [00007137] C0: CR Update: F0=4
57096.00ns INFO [00007138] C0: CP 0:003A10 1:0039E8 0000000000003A10
57104.00ns INFO [00007139] C0: CP 0:0039EC 1:0039F0 00000000000039EC
57104.00ns INFO [00007139] C0: GPR Update: R09=000000000001FE24
57112.00ns INFO [00007140] C0: CP 0:0039F4 1:003890 00000000000039F4
57112.00ns INFO [00007140] C0: GPR Update: R03=000000000000006D
57112.00ns INFO [00007140] C0: GPR Update: R09=000000000000006D
57120.00ns INFO [00007141] C0: CP 0:003894 1:003898 0000000000003894
57120.00ns INFO [00007141] C0: GPR Update: R01=000000000001FDF8
57120.00ns INFO [00007141] C0: LR Update:00000000000039F8
57128.00ns INFO [00007142] C0: CP 0:00389C 1:0038A0 000000000000389C
57128.00ns INFO [00007142] C0: GPR Update: R00=00000000000039F8
57136.00ns INFO [00007143] C0: CP 0:0038A4 1:0038A8 00000000000038A4
57136.00ns INFO [00007143] C0: GPR Update: R09=0000000000020000
57144.00ns INFO [00007144] C0: CP 0:0038AC 00000000000038AC
57144.00ns INFO [00007144] C0: GPR Update: R09=0000000000000C00
57144.00ns INFO [00007144] C0: CR Update: F0=4
57160.00ns INFO [00007146] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
57192.00ns INFO [00007150] WB Slave: WR @0001FDF8 sel=F dat=0001FE08
57192.00ns INFO [00007150] Mem Update: @0001FDF8 XXXXXXXX->0001FE08
57192.00ns INFO [00007150] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0001FE24 00000100 0001FE18 0001FF44 0001FE24 00000000 0001FF38 00003A80 ...$...........D...$.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
57240.00ns INFO [00007156] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
57256.00ns INFO [00007158] C0: CP 0:0038B0 00000000000038B0
57264.00ns INFO [00007159] C0: GPR Update: R09=0000000000020000
57272.00ns INFO [00007160] WB Slave: WR @0001FE0C sel=F dat=000039F8
57272.00ns INFO [00007160] Mem Update: @0001FE0C 0001FF44->000039F8
57272.00ns INFO [00007160] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0001FE24 00000100 0001FE18 000039F8 0001FE24 00000000 0001FF38 00003A80 ...$..........9....$.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
57304.00ns INFO [00007164] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=0000006D7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
57304.00ns INFO [00007164] C0: CP 0:0038B4 00000000000038B4
57312.00ns INFO [00007165] C0: GPR Update: R09=0000000000000C00
57336.00ns INFO [00007168] WB Slave: WR @0001FE00 sel=F dat=0000006D
57336.00ns INFO [00007168] Mem Update: @0001FE00 0001FE24->0000006D
57336.00ns INFO [00007168] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FE18 0000267C 00000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000006D 00000100 0001FE18 000039F8 0001FE24 00000000 0001FF38 00003A80 ...m..........9....$.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
57400.00ns INFO [00007176] C0: CP 0:0038B8 1:0038BC 00000000000038B8
57408.00ns INFO [00007177] C0: GPR Update: R10=000000000000006D
57416.00ns INFO [00007178] C0: CP 0:0038C0 1:0038C4 00000000000038C0
57424.00ns INFO [00007179] C0: CP 0:0038C8 1:000C00 00000000000038C8
57424.00ns INFO [00007179] C0: GPR Update: R03=000000000000006D
57424.00ns INFO [00007179] C0: CTR Update:0000000000000C00
57432.00ns INFO [00007180] C0: GPR Update: R01=000000000001FDE8
57432.00ns INFO [00007180] C0: LR Update:00000000000038CC
57440.00ns INFO [00007181] C0: CP 0:000C04 0000000000000C04
57448.00ns INFO [00007182] C0: GPR Update: R09=000000000000006D
57464.00ns INFO [00007184] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
57464.00ns INFO [00007184] C0: CP 0:000C08 1:000C0C 0000000000000C08
57472.00ns INFO [00007185] C0: CP 0:000C10 1:000C14 0000000000000C10
57472.00ns INFO [00007185] C0: GPR Update: R09=0000000000010000
57480.00ns INFO [00007186] C0: CP 0:000C18 1:000C1C 0000000000000C18
57480.00ns INFO [00007186] C0: GPR Update: R08=000000000001C005
57480.00ns INFO [00007186] C0: GPR Update: R09=000000000001C004
57488.00ns INFO [00007187] C0: GPR Update: R10=0000000000010000
57496.00ns INFO [00007188] WB Slave: WR @0001FDE8 sel=F dat=0001FDF8
57496.00ns INFO [00007188] Mem Update: @0001FDE8 0001FE18->0001FDF8
57496.00ns INFO [00007188] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 00000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000006D 00000100 0001FE18 000039F8 0001FE24 00000000 0001FF38 00003A80 ...m..........9....$.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
57528.00ns INFO [00007192] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=6D7D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
57560.00ns INFO [00007196] WB Slave: WR @0001FDF0 sel=8 dat=6D7D234B
57560.00ns INFO [00007196] Mem Update: @0001FDF0 00000008->6D000008
57560.00ns INFO [00007196] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 6D000008 00000000 0001FE08 00000000 ..............&|m...............
0001FE00: 0000006D 00000100 0001FE18 000039F8 0001FE24 00000000 0001FF38 00003A80 ...m..........9....$.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
57592.00ns INFO [00007200] ...tick...
57592.00ns INFO [00007200] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0057D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
57624.00ns INFO [00007204] WB Slave: WR @00012000 sel=F dat=0001C005
57624.00ns INFO [00007204] Mem Update: @00012000 0001C004->0001C005
57624.00ns INFO [00007204] C0: CP 0:000C20 0000000000000C20
57632.00ns INFO [00007205] C0: GPR Update: R10=000000000000006D
57640.00ns INFO [00007206] C0: CP 0:000C24 1:000C28 0000000000000C24
57648.00ns INFO [00007207] C0: CP 0:000C2C 1:000C30 0000000000000C2C
57656.00ns INFO [00007208] C0: CP 0:0038CC 1:0038D0 00000000000038CC
57656.00ns INFO [00007208] C0: GPR Update: R01=000000000001FDF8
57664.00ns INFO [00007209] C0: CP 0:0038D4 1:0038D8 00000000000038D4
57664.00ns INFO [00007209] C0: GPR Update: R03=000000000000006D
57664.00ns INFO [00007209] C0: GPR Update: R09=000000000000006D
57672.00ns INFO [00007210] C0: CP 0:0038DC 1:0038E0 00000000000038DC
57672.00ns INFO [00007210] C0: GPR Update: R00=00000000000039F8
57672.00ns INFO [00007210] C0: LR Update:00000000000039F8
57680.00ns INFO [00007211] C0: CP 0:0039F8 1:0039FC 00000000000039F8
57680.00ns INFO [00007211] C0: GPR Update: R01=000000000001FE08
57688.00ns INFO [00007212] T0 STORE 0001C004 tag=00 len=1 be=08000000 data=000000006D7D234B784BFFFE9D00000000000000000000000000000000000000 WIMG:0
57688.00ns INFO [00007212] C0: CP 0:003A00 0000000000003A00
57688.00ns INFO [00007212] C0: GPR Update: R09=000000000001FE25
57720.00ns INFO [00007216] WB Slave: WR @0001C004 sel=8 dat=6D7D234B
57720.00ns INFO [00007216] Mem Update: @0001C004 XXXXXXXX->6D000000
57720.00ns INFO [00007216] Print buffer:
0001C000: 7774660A 6D000000 00000000 00000000 00000000 00000000 00000000 00000000 wtf.m...........................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
57752.00ns INFO [00007220] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE257D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
57784.00ns INFO [00007224] WB Slave: WR @0001FE10 sel=F dat=0001FE25
57784.00ns INFO [00007224] Mem Update: @0001FE10 0001FE24->0001FE25
57784.00ns INFO [00007224] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 6D000008 00000000 0001FE08 00000000 ..............&|m...............
0001FE00: 0000006D 00000100 0001FE18 000039F8 0001FE25 00000000 0001FF38 00003A80 ...m..........9....%.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
57848.00ns INFO [00007232] C0: CP 0:003A04 0000000000003A04
57856.00ns INFO [00007233] C0: GPR Update: R09=000000000001FE25
57888.00ns INFO [00007237] C0: CP 0:003A08 1:003A0C 0000000000003A08
57896.00ns INFO [00007238] C0: GPR Update: R09=0000000000000061
57896.00ns INFO [00007238] C0: CR Update: F0=4
57904.00ns INFO [00007239] C0: CP 0:003A10 1:0039E8 0000000000003A10
57912.00ns INFO [00007240] C0: CP 0:0039EC 1:0039F0 00000000000039EC
57912.00ns INFO [00007240] C0: GPR Update: R09=000000000001FE25
57920.00ns INFO [00007241] C0: CP 0:0039F4 1:003890 00000000000039F4
57920.00ns INFO [00007241] C0: GPR Update: R03=0000000000000061
57920.00ns INFO [00007241] C0: GPR Update: R09=0000000000000061
57928.00ns INFO [00007242] C0: CP 0:003894 1:003898 0000000000003894
57928.00ns INFO [00007242] C0: GPR Update: R01=000000000001FDF8
57928.00ns INFO [00007242] C0: LR Update:00000000000039F8
57936.00ns INFO [00007243] C0: CP 0:00389C 1:0038A0 000000000000389C
57936.00ns INFO [00007243] C0: GPR Update: R00=00000000000039F8
57944.00ns INFO [00007244] C0: CP 0:0038A4 1:0038A8 00000000000038A4
57944.00ns INFO [00007244] C0: GPR Update: R09=0000000000020000
57952.00ns INFO [00007245] C0: CP 0:0038AC 00000000000038AC
57952.00ns INFO [00007245] C0: GPR Update: R09=0000000000000C00
57952.00ns INFO [00007245] C0: CR Update: F0=4
57960.00ns INFO [00007246] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
57992.00ns INFO [00007250] WB Slave: WR @0001FDF8 sel=F dat=0001FE08
57992.00ns INFO [00007250] Mem Update: @0001FDF8 0001FE08->0001FE08
58024.00ns INFO [00007254] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
58056.00ns INFO [00007258] WB Slave: WR @0001FE0C sel=F dat=000039F8
58056.00ns INFO [00007258] Mem Update: @0001FE0C 000039F8->000039F8
58064.00ns INFO [00007259] C0: CP 0:0038B0 00000000000038B0
58072.00ns INFO [00007260] C0: GPR Update: R09=0000000000020000
58104.00ns INFO [00007264] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=000000617D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
58112.00ns INFO [00007265] C0: CP 0:0038B4 00000000000038B4
58120.00ns INFO [00007266] C0: GPR Update: R09=0000000000000C00
58136.00ns INFO [00007268] WB Slave: WR @0001FE00 sel=F dat=00000061
58136.00ns INFO [00007268] Mem Update: @0001FE00 0000006D->00000061
58136.00ns INFO [00007268] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 6D000008 00000000 0001FE08 00000000 ..............&|m...............
0001FE00: 00000061 00000100 0001FE18 000039F8 0001FE25 00000000 0001FF38 00003A80 ...a..........9....%.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
58200.00ns INFO [00007276] C0: CP 0:0038B8 1:0038BC 00000000000038B8
58208.00ns INFO [00007277] C0: GPR Update: R10=0000000000000061
58216.00ns INFO [00007278] C0: CP 0:0038C0 1:0038C4 00000000000038C0
58224.00ns INFO [00007279] C0: CP 0:0038C8 1:000C00 00000000000038C8
58224.00ns INFO [00007279] C0: GPR Update: R03=0000000000000061
58224.00ns INFO [00007279] C0: CTR Update:0000000000000C00
58232.00ns INFO [00007280] C0: GPR Update: R01=000000000001FDE8
58232.00ns INFO [00007280] C0: LR Update:00000000000038CC
58240.00ns INFO [00007281] C0: CP 0:000C04 0000000000000C04
58248.00ns INFO [00007282] C0: GPR Update: R09=0000000000000061
58264.00ns INFO [00007284] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
58264.00ns INFO [00007284] C0: CP 0:000C08 1:000C0C 0000000000000C08
58272.00ns INFO [00007285] C0: CP 0:000C10 1:000C14 0000000000000C10
58272.00ns INFO [00007285] C0: GPR Update: R09=0000000000010000
58280.00ns INFO [00007286] C0: CP 0:000C18 1:000C1C 0000000000000C18
58280.00ns INFO [00007286] C0: GPR Update: R08=000000000001C006
58280.00ns INFO [00007286] C0: GPR Update: R09=000000000001C005
58288.00ns INFO [00007287] C0: GPR Update: R10=0000000000010000
58296.00ns INFO [00007288] WB Slave: WR @0001FDE8 sel=F dat=0001FDF8
58296.00ns INFO [00007288] Mem Update: @0001FDE8 0001FDF8->0001FDF8
58328.00ns INFO [00007292] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=617D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
58360.00ns INFO [00007296] WB Slave: WR @0001FDF0 sel=8 dat=617D234B
58360.00ns INFO [00007296] Mem Update: @0001FDF0 6D000008->61000008
58360.00ns INFO [00007296] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 61000008 00000000 0001FE08 00000000 ..............&|a...............
0001FE00: 00000061 00000100 0001FE18 000039F8 0001FE25 00000000 0001FF38 00003A80 ...a..........9....%.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
58392.00ns INFO [00007300] ...tick...
58392.00ns INFO [00007300] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0067D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
58424.00ns INFO [00007304] WB Slave: WR @00012000 sel=F dat=0001C006
58424.00ns INFO [00007304] Mem Update: @00012000 0001C005->0001C006
58424.00ns INFO [00007304] C0: CP 0:000C20 0000000000000C20
58432.00ns INFO [00007305] C0: GPR Update: R10=0000000000000061
58440.00ns INFO [00007306] C0: CP 0:000C24 1:000C28 0000000000000C24
58448.00ns INFO [00007307] C0: CP 0:000C2C 1:000C30 0000000000000C2C
58456.00ns INFO [00007308] C0: CP 0:0038CC 1:0038D0 00000000000038CC
58456.00ns INFO [00007308] C0: GPR Update: R01=000000000001FDF8
58464.00ns INFO [00007309] C0: CP 0:0038D4 1:0038D8 00000000000038D4
58464.00ns INFO [00007309] C0: GPR Update: R03=0000000000000061
58464.00ns INFO [00007309] C0: GPR Update: R09=0000000000000061
58472.00ns INFO [00007310] C0: CP 0:0038DC 1:0038E0 00000000000038DC
58472.00ns INFO [00007310] C0: GPR Update: R00=00000000000039F8
58472.00ns INFO [00007310] C0: LR Update:00000000000039F8
58480.00ns INFO [00007311] C0: CP 0:0039F8 1:0039FC 00000000000039F8
58480.00ns INFO [00007311] C0: GPR Update: R01=000000000001FE08
58488.00ns INFO [00007312] T0 STORE 0001C005 tag=00 len=1 be=04000000 data=0000000000617D234B784BFFFE9D000000000000000000000000000000000000 WIMG:0
58488.00ns INFO [00007312] C0: CP 0:003A00 0000000000003A00
58488.00ns INFO [00007312] C0: GPR Update: R09=000000000001FE26
58520.00ns INFO [00007316] WB Slave: WR @0001C004 sel=4 dat=00617D23
58520.00ns INFO [00007316] Mem Update: @0001C004 6D000000->6D610000
58520.00ns INFO [00007316] Print buffer:
0001C000: 7774660A 6D610000 00000000 00000000 00000000 00000000 00000000 00000000 wtf.ma..........................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
58552.00ns INFO [00007320] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE267D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
58584.00ns INFO [00007324] WB Slave: WR @0001FE10 sel=F dat=0001FE26
58584.00ns INFO [00007324] Mem Update: @0001FE10 0001FE25->0001FE26
58584.00ns INFO [00007324] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 61000008 00000000 0001FE08 00000000 ..............&|a...............
0001FE00: 00000061 00000100 0001FE18 000039F8 0001FE26 00000000 0001FF38 00003A80 ...a..........9....&.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
58648.00ns INFO [00007332] C0: CP 0:003A04 0000000000003A04
58656.00ns INFO [00007333] C0: GPR Update: R09=000000000001FE26
58688.00ns INFO [00007337] C0: CP 0:003A08 1:003A0C 0000000000003A08
58696.00ns INFO [00007338] C0: GPR Update: R09=0000000000000069
58696.00ns INFO [00007338] C0: CR Update: F0=4
58704.00ns INFO [00007339] C0: CP 0:003A10 1:0039E8 0000000000003A10
58712.00ns INFO [00007340] C0: CP 0:0039EC 1:0039F0 00000000000039EC
58712.00ns INFO [00007340] C0: GPR Update: R09=000000000001FE26
58720.00ns INFO [00007341] C0: CP 0:0039F4 1:003890 00000000000039F4
58720.00ns INFO [00007341] C0: GPR Update: R03=0000000000000069
58720.00ns INFO [00007341] C0: GPR Update: R09=0000000000000069
58728.00ns INFO [00007342] C0: CP 0:003894 1:003898 0000000000003894
58728.00ns INFO [00007342] C0: GPR Update: R01=000000000001FDF8
58728.00ns INFO [00007342] C0: LR Update:00000000000039F8
58736.00ns INFO [00007343] C0: CP 0:00389C 1:0038A0 000000000000389C
58736.00ns INFO [00007343] C0: GPR Update: R00=00000000000039F8
58744.00ns INFO [00007344] C0: CP 0:0038A4 1:0038A8 00000000000038A4
58744.00ns INFO [00007344] C0: GPR Update: R09=0000000000020000
58752.00ns INFO [00007345] C0: CP 0:0038AC 00000000000038AC
58752.00ns INFO [00007345] C0: GPR Update: R09=0000000000000C00
58752.00ns INFO [00007345] C0: CR Update: F0=4
58760.00ns INFO [00007346] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
58792.00ns INFO [00007350] WB Slave: WR @0001FDF8 sel=F dat=0001FE08
58792.00ns INFO [00007350] Mem Update: @0001FDF8 0001FE08->0001FE08
58824.00ns INFO [00007354] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
58856.00ns INFO [00007358] WB Slave: WR @0001FE0C sel=F dat=000039F8
58856.00ns INFO [00007358] Mem Update: @0001FE0C 000039F8->000039F8
58864.00ns INFO [00007359] C0: CP 0:0038B0 00000000000038B0
58872.00ns INFO [00007360] C0: GPR Update: R09=0000000000020000
58904.00ns INFO [00007364] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=000000697D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
58912.00ns INFO [00007365] C0: CP 0:0038B4 00000000000038B4
58920.00ns INFO [00007366] C0: GPR Update: R09=0000000000000C00
58936.00ns INFO [00007368] WB Slave: WR @0001FE00 sel=F dat=00000069
58936.00ns INFO [00007368] Mem Update: @0001FE00 00000061->00000069
58936.00ns INFO [00007368] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 61000008 00000000 0001FE08 00000000 ..............&|a...............
0001FE00: 00000069 00000100 0001FE18 000039F8 0001FE26 00000000 0001FF38 00003A80 ...i..........9....&.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
59000.00ns INFO [00007376] C0: CP 0:0038B8 1:0038BC 00000000000038B8
59008.00ns INFO [00007377] C0: GPR Update: R10=0000000000000069
59016.00ns INFO [00007378] C0: CP 0:0038C0 1:0038C4 00000000000038C0
59024.00ns INFO [00007379] C0: CP 0:0038C8 1:000C00 00000000000038C8
59024.00ns INFO [00007379] C0: GPR Update: R03=0000000000000069
59024.00ns INFO [00007379] C0: CTR Update:0000000000000C00
59032.00ns INFO [00007380] C0: GPR Update: R01=000000000001FDE8
59032.00ns INFO [00007380] C0: LR Update:00000000000038CC
59040.00ns INFO [00007381] C0: CP 0:000C04 0000000000000C04
59048.00ns INFO [00007382] C0: GPR Update: R09=0000000000000069
59064.00ns INFO [00007384] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
59064.00ns INFO [00007384] C0: CP 0:000C08 1:000C0C 0000000000000C08
59072.00ns INFO [00007385] C0: CP 0:000C10 1:000C14 0000000000000C10
59072.00ns INFO [00007385] C0: GPR Update: R09=0000000000010000
59080.00ns INFO [00007386] C0: CP 0:000C18 1:000C1C 0000000000000C18
59080.00ns INFO [00007386] C0: GPR Update: R08=000000000001C007
59080.00ns INFO [00007386] C0: GPR Update: R09=000000000001C006
59088.00ns INFO [00007387] C0: GPR Update: R10=0000000000010000
59096.00ns INFO [00007388] WB Slave: WR @0001FDE8 sel=F dat=0001FDF8
59096.00ns INFO [00007388] Mem Update: @0001FDE8 0001FDF8->0001FDF8
59128.00ns INFO [00007392] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=697D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
59160.00ns INFO [00007396] WB Slave: WR @0001FDF0 sel=8 dat=697D234B
59160.00ns INFO [00007396] Mem Update: @0001FDF0 61000008->69000008
59160.00ns INFO [00007396] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 69000008 00000000 0001FE08 00000000 ..............&|i...............
0001FE00: 00000069 00000100 0001FE18 000039F8 0001FE26 00000000 0001FF38 00003A80 ...i..........9....&.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
59192.00ns INFO [00007400] ...tick...
59192.00ns INFO [00007400] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0077D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
59224.00ns INFO [00007404] WB Slave: WR @00012000 sel=F dat=0001C007
59224.00ns INFO [00007404] Mem Update: @00012000 0001C006->0001C007
59224.00ns INFO [00007404] C0: CP 0:000C20 0000000000000C20
59232.00ns INFO [00007405] C0: GPR Update: R10=0000000000000069
59240.00ns INFO [00007406] C0: CP 0:000C24 1:000C28 0000000000000C24
59248.00ns INFO [00007407] C0: CP 0:000C2C 1:000C30 0000000000000C2C
59256.00ns INFO [00007408] C0: CP 0:0038CC 1:0038D0 00000000000038CC
59256.00ns INFO [00007408] C0: GPR Update: R01=000000000001FDF8
59264.00ns INFO [00007409] C0: CP 0:0038D4 1:0038D8 00000000000038D4
59264.00ns INFO [00007409] C0: GPR Update: R03=0000000000000069
59264.00ns INFO [00007409] C0: GPR Update: R09=0000000000000069
59272.00ns INFO [00007410] C0: CP 0:0038DC 1:0038E0 00000000000038DC
59272.00ns INFO [00007410] C0: GPR Update: R00=00000000000039F8
59272.00ns INFO [00007410] C0: LR Update:00000000000039F8
59280.00ns INFO [00007411] C0: CP 0:0039F8 1:0039FC 00000000000039F8
59280.00ns INFO [00007411] C0: GPR Update: R01=000000000001FE08
59288.00ns INFO [00007412] T0 STORE 0001C006 tag=00 len=1 be=02000000 data=000000000000697D234B784BFFFE9D0000000000000000000000000000000000 WIMG:0
59288.00ns INFO [00007412] C0: CP 0:003A00 0000000000003A00
59288.00ns INFO [00007412] C0: GPR Update: R09=000000000001FE27
59320.00ns INFO [00007416] WB Slave: WR @0001C004 sel=2 dat=0000697D
59320.00ns INFO [00007416] Mem Update: @0001C004 6D610000->6D616900
59320.00ns INFO [00007416] Print buffer:
0001C000: 7774660A 6D616900 00000000 00000000 00000000 00000000 00000000 00000000 wtf.mai.........................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
59352.00ns INFO [00007420] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE277D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
59384.00ns INFO [00007424] WB Slave: WR @0001FE10 sel=F dat=0001FE27
59384.00ns INFO [00007424] Mem Update: @0001FE10 0001FE26->0001FE27
59384.00ns INFO [00007424] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 69000008 00000000 0001FE08 00000000 ..............&|i...............
0001FE00: 00000069 00000100 0001FE18 000039F8 0001FE27 00000000 0001FF38 00003A80 ...i..........9....'.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
59448.00ns INFO [00007432] C0: CP 0:003A04 0000000000003A04
59456.00ns INFO [00007433] C0: GPR Update: R09=000000000001FE27
59488.00ns INFO [00007437] C0: CP 0:003A08 1:003A0C 0000000000003A08
59496.00ns INFO [00007438] C0: GPR Update: R09=000000000000006E
59496.00ns INFO [00007438] C0: CR Update: F0=4
59504.00ns INFO [00007439] C0: CP 0:003A10 1:0039E8 0000000000003A10
59512.00ns INFO [00007440] C0: CP 0:0039EC 1:0039F0 00000000000039EC
59512.00ns INFO [00007440] C0: GPR Update: R09=000000000001FE27
59520.00ns INFO [00007441] C0: CP 0:0039F4 1:003890 00000000000039F4
59520.00ns INFO [00007441] C0: GPR Update: R03=000000000000006E
59520.00ns INFO [00007441] C0: GPR Update: R09=000000000000006E
59528.00ns INFO [00007442] C0: CP 0:003894 1:003898 0000000000003894
59528.00ns INFO [00007442] C0: GPR Update: R01=000000000001FDF8
59528.00ns INFO [00007442] C0: LR Update:00000000000039F8
59536.00ns INFO [00007443] C0: CP 0:00389C 1:0038A0 000000000000389C
59536.00ns INFO [00007443] C0: GPR Update: R00=00000000000039F8
59544.00ns INFO [00007444] C0: CP 0:0038A4 1:0038A8 00000000000038A4
59544.00ns INFO [00007444] C0: GPR Update: R09=0000000000020000
59552.00ns INFO [00007445] C0: CP 0:0038AC 00000000000038AC
59552.00ns INFO [00007445] C0: GPR Update: R09=0000000000000C00
59552.00ns INFO [00007445] C0: CR Update: F0=4
59560.00ns INFO [00007446] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
59592.00ns INFO [00007450] WB Slave: WR @0001FDF8 sel=F dat=0001FE08
59592.00ns INFO [00007450] Mem Update: @0001FDF8 0001FE08->0001FE08
59624.00ns INFO [00007454] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
59656.00ns INFO [00007458] WB Slave: WR @0001FE0C sel=F dat=000039F8
59656.00ns INFO [00007458] Mem Update: @0001FE0C 000039F8->000039F8
59664.00ns INFO [00007459] C0: CP 0:0038B0 00000000000038B0
59672.00ns INFO [00007460] C0: GPR Update: R09=0000000000020000
59704.00ns INFO [00007464] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=0000006E7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
59712.00ns INFO [00007465] C0: CP 0:0038B4 00000000000038B4
59720.00ns INFO [00007466] C0: GPR Update: R09=0000000000000C00
59736.00ns INFO [00007468] WB Slave: WR @0001FE00 sel=F dat=0000006E
59736.00ns INFO [00007468] Mem Update: @0001FE00 00000069->0000006E
59736.00ns INFO [00007468] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 69000008 00000000 0001FE08 00000000 ..............&|i...............
0001FE00: 0000006E 00000100 0001FE18 000039F8 0001FE27 00000000 0001FF38 00003A80 ...n..........9....'.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
59800.00ns INFO [00007476] C0: CP 0:0038B8 1:0038BC 00000000000038B8
59808.00ns INFO [00007477] C0: GPR Update: R10=000000000000006E
59816.00ns INFO [00007478] C0: CP 0:0038C0 1:0038C4 00000000000038C0
59824.00ns INFO [00007479] C0: CP 0:0038C8 1:000C00 00000000000038C8
59824.00ns INFO [00007479] C0: GPR Update: R03=000000000000006E
59824.00ns INFO [00007479] C0: CTR Update:0000000000000C00
59832.00ns INFO [00007480] C0: GPR Update: R01=000000000001FDE8
59832.00ns INFO [00007480] C0: LR Update:00000000000038CC
59840.00ns INFO [00007481] C0: CP 0:000C04 0000000000000C04
59848.00ns INFO [00007482] C0: GPR Update: R09=000000000000006E
59864.00ns INFO [00007484] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
59864.00ns INFO [00007484] C0: CP 0:000C08 1:000C0C 0000000000000C08
59872.00ns INFO [00007485] C0: CP 0:000C10 1:000C14 0000000000000C10
59872.00ns INFO [00007485] C0: GPR Update: R09=0000000000010000
59880.00ns INFO [00007486] C0: CP 0:000C18 1:000C1C 0000000000000C18
59880.00ns INFO [00007486] C0: GPR Update: R08=000000000001C008
59880.00ns INFO [00007486] C0: GPR Update: R09=000000000001C007
59888.00ns INFO [00007487] C0: GPR Update: R10=0000000000010000
59896.00ns INFO [00007488] WB Slave: WR @0001FDE8 sel=F dat=0001FDF8
59896.00ns INFO [00007488] Mem Update: @0001FDE8 0001FDF8->0001FDF8
59928.00ns INFO [00007492] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=6E7D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
59960.00ns INFO [00007496] WB Slave: WR @0001FDF0 sel=8 dat=6E7D234B
59960.00ns INFO [00007496] Mem Update: @0001FDF0 69000008->6E000008
59960.00ns INFO [00007496] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 6E000008 00000000 0001FE08 00000000 ..............&|n...............
0001FE00: 0000006E 00000100 0001FE18 000039F8 0001FE27 00000000 0001FF38 00003A80 ...n..........9....'.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
59992.00ns INFO [00007500] ...tick...
59992.00ns INFO [00007500] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0087D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
60024.00ns INFO [00007504] WB Slave: WR @00012000 sel=F dat=0001C008
60024.00ns INFO [00007504] Mem Update: @00012000 0001C007->0001C008
60024.00ns INFO [00007504] C0: CP 0:000C20 0000000000000C20
60032.00ns INFO [00007505] C0: GPR Update: R10=000000000000006E
60040.00ns INFO [00007506] C0: CP 0:000C24 1:000C28 0000000000000C24
60048.00ns INFO [00007507] C0: CP 0:000C2C 1:000C30 0000000000000C2C
60056.00ns INFO [00007508] C0: CP 0:0038CC 1:0038D0 00000000000038CC
60056.00ns INFO [00007508] C0: GPR Update: R01=000000000001FDF8
60064.00ns INFO [00007509] C0: CP 0:0038D4 1:0038D8 00000000000038D4
60064.00ns INFO [00007509] C0: GPR Update: R03=000000000000006E
60064.00ns INFO [00007509] C0: GPR Update: R09=000000000000006E
60072.00ns INFO [00007510] C0: CP 0:0038DC 1:0038E0 00000000000038DC
60072.00ns INFO [00007510] C0: GPR Update: R00=00000000000039F8
60072.00ns INFO [00007510] C0: LR Update:00000000000039F8
60080.00ns INFO [00007511] C0: CP 0:0039F8 1:0039FC 00000000000039F8
60080.00ns INFO [00007511] C0: GPR Update: R01=000000000001FE08
60088.00ns INFO [00007512] T0 STORE 0001C007 tag=00 len=1 be=01000000 data=000000000000006E7D234B784BFFFE9D00000000000000000000000000000000 WIMG:0
60088.00ns INFO [00007512] C0: CP 0:003A00 0000000000003A00
60088.00ns INFO [00007512] C0: GPR Update: R09=000000000001FE28
60120.00ns INFO [00007516] WB Slave: WR @0001C004 sel=1 dat=0000006E
60120.00ns INFO [00007516] Mem Update: @0001C004 6D616900->6D61696E
60120.00ns INFO [00007516] Print buffer:
0001C000: 7774660A 6D61696E 00000000 00000000 00000000 00000000 00000000 00000000 wtf.main........................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
60152.00ns INFO [00007520] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE287D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
60184.00ns INFO [00007524] WB Slave: WR @0001FE10 sel=F dat=0001FE28
60184.00ns INFO [00007524] Mem Update: @0001FE10 0001FE27->0001FE28
60184.00ns INFO [00007524] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 6E000008 00000000 0001FE08 00000000 ..............&|n...............
0001FE00: 0000006E 00000100 0001FE18 000039F8 0001FE28 00000000 0001FF38 00003A80 ...n..........9....(.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
60248.00ns INFO [00007532] C0: CP 0:003A04 0000000000003A04
60256.00ns INFO [00007533] C0: GPR Update: R09=000000000001FE28
60288.00ns INFO [00007537] C0: CP 0:003A08 1:003A0C 0000000000003A08
60296.00ns INFO [00007538] C0: GPR Update: R09=0000000000000028
60296.00ns INFO [00007538] C0: CR Update: F0=4
60304.00ns INFO [00007539] C0: CP 0:003A10 1:0039E8 0000000000003A10
60312.00ns INFO [00007540] C0: CP 0:0039EC 1:0039F0 00000000000039EC
60312.00ns INFO [00007540] C0: GPR Update: R09=000000000001FE28
60320.00ns INFO [00007541] C0: CP 0:0039F4 1:003890 00000000000039F4
60320.00ns INFO [00007541] C0: GPR Update: R03=0000000000000028
60320.00ns INFO [00007541] C0: GPR Update: R09=0000000000000028
60328.00ns INFO [00007542] C0: CP 0:003894 1:003898 0000000000003894
60328.00ns INFO [00007542] C0: GPR Update: R01=000000000001FDF8
60328.00ns INFO [00007542] C0: LR Update:00000000000039F8
60336.00ns INFO [00007543] C0: CP 0:00389C 1:0038A0 000000000000389C
60336.00ns INFO [00007543] C0: GPR Update: R00=00000000000039F8
60344.00ns INFO [00007544] C0: CP 0:0038A4 1:0038A8 00000000000038A4
60344.00ns INFO [00007544] C0: GPR Update: R09=0000000000020000
60352.00ns INFO [00007545] C0: CP 0:0038AC 1:0038B0 00000000000038AC
60352.00ns INFO [00007545] C0: GPR Update: R09=0000000000000C00
60352.00ns INFO [00007545] C0: CR Update: F0=4
60360.00ns INFO [00007546] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
60360.00ns INFO [00007546] C0: GPR Update: R09=0000000000020000
60392.00ns INFO [00007550] WB Slave: WR @0001FDF8 sel=F dat=0001FE08
60392.00ns INFO [00007550] Mem Update: @0001FDF8 0001FE08->0001FE08
60416.00ns INFO [00007553] C0: CP 0:0038B4 00000000000038B4
60424.00ns INFO [00007554] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
60424.00ns INFO [00007554] C0: GPR Update: R09=0000000000000C00
60456.00ns INFO [00007558] WB Slave: WR @0001FE0C sel=F dat=000039F8
60456.00ns INFO [00007558] Mem Update: @0001FE0C 000039F8->000039F8
60488.00ns INFO [00007562] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=000000287D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
60520.00ns INFO [00007566] WB Slave: WR @0001FE00 sel=F dat=00000028
60520.00ns INFO [00007566] Mem Update: @0001FE00 0000006E->00000028
60520.00ns INFO [00007566] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 6E000008 00000000 0001FE08 00000000 ..............&|n...............
0001FE00: 00000028 00000100 0001FE18 000039F8 0001FE28 00000000 0001FF38 00003A80 ...(..........9....(.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
60584.00ns INFO [00007574] C0: CP 0:0038B8 00000000000038B8
60592.00ns INFO [00007575] C0: CP 0:0038BC 00000000000038BC
60592.00ns INFO [00007575] C0: GPR Update: R10=0000000000000028
60600.00ns INFO [00007576] C0: CP 0:0038C0 1:0038C4 00000000000038C0
60600.00ns INFO [00007576] C0: GPR Update: R10=0000000000000028
60608.00ns INFO [00007577] C0: CP 0:0038C8 1:000C00 00000000000038C8
60608.00ns INFO [00007577] C0: GPR Update: R03=0000000000000028
60608.00ns INFO [00007577] C0: CTR Update:0000000000000C00
60616.00ns INFO [00007578] C0: CP 0:000C04 0000000000000C04
60616.00ns INFO [00007578] C0: GPR Update: R01=000000000001FDE8
60616.00ns INFO [00007578] C0: LR Update:00000000000038CC
60624.00ns INFO [00007579] C0: GPR Update: R09=0000000000000028
60648.00ns INFO [00007582] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
60648.00ns INFO [00007582] C0: CP 0:000C08 1:000C0C 0000000000000C08
60656.00ns INFO [00007583] C0: CP 0:000C10 1:000C14 0000000000000C10
60656.00ns INFO [00007583] C0: GPR Update: R09=0000000000010000
60664.00ns INFO [00007584] C0: CP 0:000C18 1:000C1C 0000000000000C18
60664.00ns INFO [00007584] C0: GPR Update: R08=000000000001C009
60664.00ns INFO [00007584] C0: GPR Update: R09=000000000001C008
60672.00ns INFO [00007585] C0: GPR Update: R10=0000000000010000
60680.00ns INFO [00007586] WB Slave: WR @0001FDE8 sel=F dat=0001FDF8
60680.00ns INFO [00007586] Mem Update: @0001FDE8 0001FDF8->0001FDF8
60712.00ns INFO [00007590] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=287D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
60744.00ns INFO [00007594] WB Slave: WR @0001FDF0 sel=8 dat=287D234B
60744.00ns INFO [00007594] Mem Update: @0001FDF0 6E000008->28000008
60744.00ns INFO [00007594] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 28000008 00000000 0001FE08 00000000 ..............&|(...............
0001FE00: 00000028 00000100 0001FE18 000039F8 0001FE28 00000000 0001FF38 00003A80 ...(..........9....(.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
60776.00ns INFO [00007598] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C0097D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
60792.00ns INFO [00007600] ...tick...
60808.00ns INFO [00007602] WB Slave: WR @00012000 sel=F dat=0001C009
60808.00ns INFO [00007602] Mem Update: @00012000 0001C008->0001C009
60808.00ns INFO [00007602] C0: CP 0:000C20 0000000000000C20
60816.00ns INFO [00007603] C0: GPR Update: R10=0000000000000028
60824.00ns INFO [00007604] C0: CP 0:000C24 1:000C28 0000000000000C24
60832.00ns INFO [00007605] C0: CP 0:000C2C 1:000C30 0000000000000C2C
60840.00ns INFO [00007606] C0: CP 0:0038CC 1:0038D0 00000000000038CC
60840.00ns INFO [00007606] C0: GPR Update: R01=000000000001FDF8
60848.00ns INFO [00007607] C0: CP 0:0038D4 1:0038D8 00000000000038D4
60848.00ns INFO [00007607] C0: GPR Update: R03=0000000000000028
60848.00ns INFO [00007607] C0: GPR Update: R09=0000000000000028
60856.00ns INFO [00007608] C0: CP 0:0038DC 1:0038E0 00000000000038DC
60856.00ns INFO [00007608] C0: GPR Update: R00=00000000000039F8
60856.00ns INFO [00007608] C0: LR Update:00000000000039F8
60864.00ns INFO [00007609] C0: CP 0:0039F8 1:0039FC 00000000000039F8
60864.00ns INFO [00007609] C0: GPR Update: R01=000000000001FE08
60872.00ns INFO [00007610] T0 STORE 0001C008 tag=00 len=1 be=00800000 data=9D00000000000000287D234B784BFFFE00000000000000000000000000000000 WIMG:0
60872.00ns INFO [00007610] C0: CP 0:003A00 0000000000003A00
60872.00ns INFO [00007610] C0: GPR Update: R09=000000000001FE29
60904.00ns INFO [00007614] WB Slave: WR @0001C008 sel=8 dat=287D234B
60904.00ns INFO [00007614] Mem Update: @0001C008 XXXXXXXX->28000000
60904.00ns INFO [00007614] Print buffer:
0001C000: 7774660A 6D61696E 28000000 00000000 00000000 00000000 00000000 00000000 wtf.main(.......................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
60936.00ns INFO [00007618] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE297D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
60968.00ns INFO [00007622] WB Slave: WR @0001FE10 sel=F dat=0001FE29
60968.00ns INFO [00007622] Mem Update: @0001FE10 0001FE28->0001FE29
60968.00ns INFO [00007622] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 28000008 00000000 0001FE08 00000000 ..............&|(...............
0001FE00: 00000028 00000100 0001FE18 000039F8 0001FE29 00000000 0001FF38 00003A80 ...(..........9....).......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
61032.00ns INFO [00007630] C0: CP 0:003A04 0000000000003A04
61040.00ns INFO [00007631] C0: GPR Update: R09=000000000001FE29
61064.00ns INFO [00007634] C0: CP 0:003A08 0000000000003A08
61072.00ns INFO [00007635] C0: CP 0:003A0C 0000000000003A0C
61072.00ns INFO [00007635] C0: GPR Update: R09=0000000000000030
61080.00ns INFO [00007636] C0: CP 0:003A10 1:0039E8 0000000000003A10
61080.00ns INFO [00007636] C0: CR Update: F0=4
61088.00ns INFO [00007637] C0: CP 0:0039EC 1:0039F0 00000000000039EC
61088.00ns INFO [00007637] C0: GPR Update: R09=000000000001FE29
61096.00ns INFO [00007638] C0: CP 0:0039F4 1:003890 00000000000039F4
61096.00ns INFO [00007638] C0: GPR Update: R03=0000000000000030
61096.00ns INFO [00007638] C0: GPR Update: R09=0000000000000030
61104.00ns INFO [00007639] C0: CP 0:003894 1:003898 0000000000003894
61104.00ns INFO [00007639] C0: GPR Update: R01=000000000001FDF8
61104.00ns INFO [00007639] C0: LR Update:00000000000039F8
61112.00ns INFO [00007640] C0: CP 0:00389C 1:0038A0 000000000000389C
61112.00ns INFO [00007640] C0: GPR Update: R00=00000000000039F8
61120.00ns INFO [00007641] C0: CP 0:0038A4 1:0038A8 00000000000038A4
61120.00ns INFO [00007641] C0: GPR Update: R09=0000000000020000
61128.00ns INFO [00007642] C0: CP 0:0038AC 00000000000038AC
61128.00ns INFO [00007642] C0: GPR Update: R09=0000000000000C00
61128.00ns INFO [00007642] C0: CR Update: F0=4
61160.00ns INFO [00007646] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
61160.00ns INFO [00007646] C0: CP 0:0038B0 00000000000038B0
61168.00ns INFO [00007647] C0: GPR Update: R09=0000000000020000
61192.00ns INFO [00007650] WB Slave: WR @0001FDF8 sel=F dat=0001FE08
61192.00ns INFO [00007650] Mem Update: @0001FDF8 0001FE08->0001FE08
61208.00ns INFO [00007652] C0: CP 0:0038B4 00000000000038B4
61216.00ns INFO [00007653] C0: GPR Update: R09=0000000000000C00
61224.00ns INFO [00007654] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
61256.00ns INFO [00007658] WB Slave: WR @0001FE0C sel=F dat=000039F8
61256.00ns INFO [00007658] Mem Update: @0001FE0C 000039F8->000039F8
61288.00ns INFO [00007662] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=000000307D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
61320.00ns INFO [00007666] WB Slave: WR @0001FE00 sel=F dat=00000030
61320.00ns INFO [00007666] Mem Update: @0001FE00 00000028->00000030
61320.00ns INFO [00007666] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 28000008 00000000 0001FE08 00000000 ..............&|(...............
0001FE00: 00000030 00000100 0001FE18 000039F8 0001FE29 00000000 0001FF38 00003A80 ...0..........9....).......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
61384.00ns INFO [00007674] C0: CP 0:0038B8 1:0038BC 00000000000038B8
61392.00ns INFO [00007675] C0: GPR Update: R10=0000000000000030
61400.00ns INFO [00007676] C0: CP 0:0038C0 1:0038C4 00000000000038C0
61408.00ns INFO [00007677] C0: CP 0:0038C8 1:000C00 00000000000038C8
61408.00ns INFO [00007677] C0: GPR Update: R03=0000000000000030
61408.00ns INFO [00007677] C0: CTR Update:0000000000000C00
61416.00ns INFO [00007678] C0: GPR Update: R01=000000000001FDE8
61416.00ns INFO [00007678] C0: LR Update:00000000000038CC
61424.00ns INFO [00007679] C0: CP 0:000C04 0000000000000C04
61432.00ns INFO [00007680] C0: GPR Update: R09=0000000000000030
61448.00ns INFO [00007682] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
61448.00ns INFO [00007682] C0: CP 0:000C08 1:000C0C 0000000000000C08
61456.00ns INFO [00007683] C0: CP 0:000C10 1:000C14 0000000000000C10
61456.00ns INFO [00007683] C0: GPR Update: R09=0000000000010000
61464.00ns INFO [00007684] C0: CP 0:000C18 1:000C1C 0000000000000C18
61464.00ns INFO [00007684] C0: GPR Update: R08=000000000001C00A
61464.00ns INFO [00007684] C0: GPR Update: R09=000000000001C009
61472.00ns INFO [00007685] C0: GPR Update: R10=0000000000010000
61480.00ns INFO [00007686] WB Slave: WR @0001FDE8 sel=F dat=0001FDF8
61480.00ns INFO [00007686] Mem Update: @0001FDE8 0001FDF8->0001FDF8
61512.00ns INFO [00007690] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=307D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
61544.00ns INFO [00007694] WB Slave: WR @0001FDF0 sel=8 dat=307D234B
61544.00ns INFO [00007694] Mem Update: @0001FDF0 28000008->30000008
61544.00ns INFO [00007694] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 30000008 00000000 0001FE08 00000000 ..............&|0...............
0001FE00: 00000030 00000100 0001FE18 000039F8 0001FE29 00000000 0001FF38 00003A80 ...0..........9....).......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
61576.00ns INFO [00007698] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C00A7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
61592.00ns INFO [00007700] ...tick...
61608.00ns INFO [00007702] WB Slave: WR @00012000 sel=F dat=0001C00A
61608.00ns INFO [00007702] Mem Update: @00012000 0001C009->0001C00A
61608.00ns INFO [00007702] C0: CP 0:000C20 0000000000000C20
61616.00ns INFO [00007703] C0: GPR Update: R10=0000000000000030
61624.00ns INFO [00007704] C0: CP 0:000C24 1:000C28 0000000000000C24
61632.00ns INFO [00007705] C0: CP 0:000C2C 1:000C30 0000000000000C2C
61640.00ns INFO [00007706] C0: CP 0:0038CC 1:0038D0 00000000000038CC
61640.00ns INFO [00007706] C0: GPR Update: R01=000000000001FDF8
61648.00ns INFO [00007707] C0: CP 0:0038D4 1:0038D8 00000000000038D4
61648.00ns INFO [00007707] C0: GPR Update: R03=0000000000000030
61648.00ns INFO [00007707] C0: GPR Update: R09=0000000000000030
61656.00ns INFO [00007708] C0: CP 0:0038DC 1:0038E0 00000000000038DC
61656.00ns INFO [00007708] C0: GPR Update: R00=00000000000039F8
61656.00ns INFO [00007708] C0: LR Update:00000000000039F8
61664.00ns INFO [00007709] C0: CP 0:0039F8 1:0039FC 00000000000039F8
61664.00ns INFO [00007709] C0: GPR Update: R01=000000000001FE08
61672.00ns INFO [00007710] T0 STORE 0001C009 tag=00 len=1 be=00400000 data=FE9D00000000000000307D234B784BFF00000000000000000000000000000000 WIMG:0
61672.00ns INFO [00007710] C0: CP 0:003A00 0000000000003A00
61672.00ns INFO [00007710] C0: GPR Update: R09=000000000001FE2A
61704.00ns INFO [00007714] WB Slave: WR @0001C008 sel=4 dat=00307D23
61704.00ns INFO [00007714] Mem Update: @0001C008 28000000->28300000
61704.00ns INFO [00007714] Print buffer:
0001C000: 7774660A 6D61696E 28300000 00000000 00000000 00000000 00000000 00000000 wtf.main(0......................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
61736.00ns INFO [00007718] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE2A7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
61768.00ns INFO [00007722] WB Slave: WR @0001FE10 sel=F dat=0001FE2A
61768.00ns INFO [00007722] Mem Update: @0001FE10 0001FE29->0001FE2A
61768.00ns INFO [00007722] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 30000008 00000000 0001FE08 00000000 ..............&|0...............
0001FE00: 00000030 00000100 0001FE18 000039F8 0001FE2A 00000000 0001FF38 00003A80 ...0..........9....*.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
61832.00ns INFO [00007730] C0: CP 0:003A04 0000000000003A04
61840.00ns INFO [00007731] C0: GPR Update: R09=000000000001FE2A
61872.00ns INFO [00007735] C0: CP 0:003A08 1:003A0C 0000000000003A08
61880.00ns INFO [00007736] C0: GPR Update: R09=0000000000000029
61880.00ns INFO [00007736] C0: CR Update: F0=4
61888.00ns INFO [00007737] C0: CP 0:003A10 1:0039E8 0000000000003A10
61896.00ns INFO [00007738] C0: CP 0:0039EC 1:0039F0 00000000000039EC
61896.00ns INFO [00007738] C0: GPR Update: R09=000000000001FE2A
61904.00ns INFO [00007739] C0: CP 0:0039F4 1:003890 00000000000039F4
61904.00ns INFO [00007739] C0: GPR Update: R03=0000000000000029
61904.00ns INFO [00007739] C0: GPR Update: R09=0000000000000029
61912.00ns INFO [00007740] C0: CP 0:003894 1:003898 0000000000003894
61912.00ns INFO [00007740] C0: GPR Update: R01=000000000001FDF8
61912.00ns INFO [00007740] C0: LR Update:00000000000039F8
61920.00ns INFO [00007741] C0: CP 0:00389C 1:0038A0 000000000000389C
61920.00ns INFO [00007741] C0: GPR Update: R00=00000000000039F8
61928.00ns INFO [00007742] C0: CP 0:0038A4 1:0038A8 00000000000038A4
61928.00ns INFO [00007742] C0: GPR Update: R09=0000000000020000
61936.00ns INFO [00007743] C0: CP 0:0038AC 1:0038B0 00000000000038AC
61936.00ns INFO [00007743] C0: GPR Update: R09=0000000000000C00
61936.00ns INFO [00007743] C0: CR Update: F0=4
61944.00ns INFO [00007744] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
61944.00ns INFO [00007744] C0: GPR Update: R09=0000000000020000
61976.00ns INFO [00007748] WB Slave: WR @0001FDF8 sel=F dat=0001FE08
61976.00ns INFO [00007748] Mem Update: @0001FDF8 0001FE08->0001FE08
62000.00ns INFO [00007751] C0: CP 0:0038B4 00000000000038B4
62008.00ns INFO [00007752] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
62008.00ns INFO [00007752] C0: GPR Update: R09=0000000000000C00
62040.00ns INFO [00007756] WB Slave: WR @0001FE0C sel=F dat=000039F8
62040.00ns INFO [00007756] Mem Update: @0001FE0C 000039F8->000039F8
62072.00ns INFO [00007760] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=000000297D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
62104.00ns INFO [00007764] WB Slave: WR @0001FE00 sel=F dat=00000029
62104.00ns INFO [00007764] Mem Update: @0001FE00 00000030->00000029
62104.00ns INFO [00007764] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 30000008 00000000 0001FE08 00000000 ..............&|0...............
0001FE00: 00000029 00000100 0001FE18 000039F8 0001FE2A 00000000 0001FF38 00003A80 ...)..........9....*.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
62168.00ns INFO [00007772] C0: CP 0:0038B8 00000000000038B8
62176.00ns INFO [00007773] C0: CP 0:0038BC 00000000000038BC
62176.00ns INFO [00007773] C0: GPR Update: R10=0000000000000029
62184.00ns INFO [00007774] C0: CP 0:0038C0 1:0038C4 00000000000038C0
62184.00ns INFO [00007774] C0: GPR Update: R10=0000000000000029
62192.00ns INFO [00007775] C0: CP 0:0038C8 1:000C00 00000000000038C8
62192.00ns INFO [00007775] C0: GPR Update: R03=0000000000000029
62192.00ns INFO [00007775] C0: CTR Update:0000000000000C00
62200.00ns INFO [00007776] C0: CP 0:000C04 0000000000000C04
62200.00ns INFO [00007776] C0: GPR Update: R01=000000000001FDE8
62200.00ns INFO [00007776] C0: LR Update:00000000000038CC
62208.00ns INFO [00007777] C0: GPR Update: R09=0000000000000029
62232.00ns INFO [00007780] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
62232.00ns INFO [00007780] C0: CP 0:000C08 1:000C0C 0000000000000C08
62240.00ns INFO [00007781] C0: CP 0:000C10 1:000C14 0000000000000C10
62240.00ns INFO [00007781] C0: GPR Update: R09=0000000000010000
62248.00ns INFO [00007782] C0: CP 0:000C18 1:000C1C 0000000000000C18
62248.00ns INFO [00007782] C0: GPR Update: R08=000000000001C00B
62248.00ns INFO [00007782] C0: GPR Update: R09=000000000001C00A
62256.00ns INFO [00007783] C0: GPR Update: R10=0000000000010000
62264.00ns INFO [00007784] WB Slave: WR @0001FDE8 sel=F dat=0001FDF8
62264.00ns INFO [00007784] Mem Update: @0001FDE8 0001FDF8->0001FDF8
62296.00ns INFO [00007788] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=297D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
62328.00ns INFO [00007792] WB Slave: WR @0001FDF0 sel=8 dat=297D234B
62328.00ns INFO [00007792] Mem Update: @0001FDF0 30000008->29000008
62328.00ns INFO [00007792] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 29000008 00000000 0001FE08 00000000 ..............&|)...............
0001FE00: 00000029 00000100 0001FE18 000039F8 0001FE2A 00000000 0001FF38 00003A80 ...)..........9....*.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
62360.00ns INFO [00007796] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C00B7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
62392.00ns INFO [00007800] ...tick...
62392.00ns INFO [00007800] WB Slave: WR @00012000 sel=F dat=0001C00B
62392.00ns INFO [00007800] Mem Update: @00012000 0001C00A->0001C00B
62392.00ns INFO [00007800] C0: CP 0:000C20 0000000000000C20
62400.00ns INFO [00007801] C0: GPR Update: R10=0000000000000029
62408.00ns INFO [00007802] C0: CP 0:000C24 1:000C28 0000000000000C24
62416.00ns INFO [00007803] C0: CP 0:000C2C 1:000C30 0000000000000C2C
62424.00ns INFO [00007804] C0: CP 0:0038CC 1:0038D0 00000000000038CC
62424.00ns INFO [00007804] C0: GPR Update: R01=000000000001FDF8
62432.00ns INFO [00007805] C0: CP 0:0038D4 1:0038D8 00000000000038D4
62432.00ns INFO [00007805] C0: GPR Update: R03=0000000000000029
62432.00ns INFO [00007805] C0: GPR Update: R09=0000000000000029
62440.00ns INFO [00007806] C0: CP 0:0038DC 1:0038E0 00000000000038DC
62440.00ns INFO [00007806] C0: GPR Update: R00=00000000000039F8
62440.00ns INFO [00007806] C0: LR Update:00000000000039F8
62448.00ns INFO [00007807] C0: CP 0:0039F8 1:0039FC 00000000000039F8
62448.00ns INFO [00007807] C0: GPR Update: R01=000000000001FE08
62456.00ns INFO [00007808] T0 STORE 0001C00A tag=00 len=1 be=00200000 data=FFFE9D00000000000000297D234B784B00000000000000000000000000000000 WIMG:0
62456.00ns INFO [00007808] C0: CP 0:003A00 0000000000003A00
62456.00ns INFO [00007808] C0: GPR Update: R09=000000000001FE2B
62488.00ns INFO [00007812] WB Slave: WR @0001C008 sel=2 dat=0000297D
62488.00ns INFO [00007812] Mem Update: @0001C008 28300000->28302900
62488.00ns INFO [00007812] Print buffer:
0001C000: 7774660A 6D61696E 28302900 00000000 00000000 00000000 00000000 00000000 wtf.main(0).....................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
62520.00ns INFO [00007816] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE2B7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
62552.00ns INFO [00007820] WB Slave: WR @0001FE10 sel=F dat=0001FE2B
62552.00ns INFO [00007820] Mem Update: @0001FE10 0001FE2A->0001FE2B
62552.00ns INFO [00007820] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 29000008 00000000 0001FE08 00000000 ..............&|)...............
0001FE00: 00000029 00000100 0001FE18 000039F8 0001FE2B 00000000 0001FF38 00003A80 ...)..........9....+.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
62616.00ns INFO [00007828] C0: CP 0:003A04 0000000000003A04
62624.00ns INFO [00007829] C0: GPR Update: R09=000000000001FE2B
62648.00ns INFO [00007832] C0: CP 0:003A08 0000000000003A08
62656.00ns INFO [00007833] C0: CP 0:003A0C 0000000000003A0C
62656.00ns INFO [00007833] C0: GPR Update: R09=000000000000000A
62664.00ns INFO [00007834] C0: CP 0:003A10 1:0039E8 0000000000003A10
62664.00ns INFO [00007834] C0: CR Update: F0=4
62672.00ns INFO [00007835] C0: CP 0:0039EC 1:0039F0 00000000000039EC
62672.00ns INFO [00007835] C0: GPR Update: R09=000000000001FE2B
62680.00ns INFO [00007836] C0: CP 0:0039F4 1:003890 00000000000039F4
62680.00ns INFO [00007836] C0: GPR Update: R03=000000000000000A
62680.00ns INFO [00007836] C0: GPR Update: R09=000000000000000A
62688.00ns INFO [00007837] C0: CP 0:003894 1:003898 0000000000003894
62688.00ns INFO [00007837] C0: GPR Update: R01=000000000001FDF8
62688.00ns INFO [00007837] C0: LR Update:00000000000039F8
62696.00ns INFO [00007838] C0: CP 0:00389C 1:0038A0 000000000000389C
62696.00ns INFO [00007838] C0: GPR Update: R00=00000000000039F8
62704.00ns INFO [00007839] C0: CP 0:0038A4 1:0038A8 00000000000038A4
62704.00ns INFO [00007839] C0: GPR Update: R09=0000000000020000
62712.00ns INFO [00007840] C0: CP 0:0038AC 00000000000038AC
62712.00ns INFO [00007840] C0: GPR Update: R09=0000000000000C00
62712.00ns INFO [00007840] C0: CR Update: F0=4
62744.00ns INFO [00007844] T0 STORE 0001FDF8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FE087D234B7800000000000000000000000000000000 WIMG:0
62744.00ns INFO [00007844] C0: CP 0:0038B0 00000000000038B0
62752.00ns INFO [00007845] C0: GPR Update: R09=0000000000020000
62776.00ns INFO [00007848] WB Slave: WR @0001FDF8 sel=F dat=0001FE08
62776.00ns INFO [00007848] Mem Update: @0001FDF8 0001FE08->0001FE08
62792.00ns INFO [00007850] C0: CP 0:0038B4 00000000000038B4
62800.00ns INFO [00007851] C0: GPR Update: R09=0000000000000C00
62808.00ns INFO [00007852] T0 STORE 0001FE0C tag=00 len=4 be=000F0000 data=7D234B784BFFFE9D00000000000039F800000000000000000000000000000000 WIMG:0
62840.00ns INFO [00007856] WB Slave: WR @0001FE0C sel=F dat=000039F8
62840.00ns INFO [00007856] Mem Update: @0001FE0C 000039F8->000039F8
62872.00ns INFO [00007860] T0 STORE 0001FE00 tag=00 len=4 be=F0000000 data=0000000A7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
62904.00ns INFO [00007864] WB Slave: WR @0001FE00 sel=F dat=0000000A
62904.00ns INFO [00007864] Mem Update: @0001FE00 00000029->0000000A
62904.00ns INFO [00007864] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 29000008 00000000 0001FE08 00000000 ..............&|)...............
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2B 00000000 0001FF38 00003A80 ..............9....+.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
62968.00ns INFO [00007872] C0: CP 0:0038B8 1:0038BC 00000000000038B8
62976.00ns INFO [00007873] C0: GPR Update: R10=000000000000000A
62984.00ns INFO [00007874] C0: CP 0:0038C0 1:0038C4 00000000000038C0
62992.00ns INFO [00007875] C0: CP 0:0038C8 1:000C00 00000000000038C8
62992.00ns INFO [00007875] C0: GPR Update: R03=000000000000000A
62992.00ns INFO [00007875] C0: CTR Update:0000000000000C00
63000.00ns INFO [00007876] C0: GPR Update: R01=000000000001FDE8
63000.00ns INFO [00007876] C0: LR Update:00000000000038CC
63008.00ns INFO [00007877] C0: CP 0:000C04 0000000000000C04
63016.00ns INFO [00007878] C0: GPR Update: R09=000000000000000A
63032.00ns INFO [00007880] T0 STORE 0001FDE8 tag=00 len=4 be=00F00000 data=4BFFFE9D000000000001FDF87D234B7800000000000000000000000000000000 WIMG:0
63032.00ns INFO [00007880] C0: CP 0:000C08 1:000C0C 0000000000000C08
63040.00ns INFO [00007881] C0: CP 0:000C10 1:000C14 0000000000000C10
63040.00ns INFO [00007881] C0: GPR Update: R09=0000000000010000
63048.00ns INFO [00007882] C0: CP 0:000C18 1:000C1C 0000000000000C18
63048.00ns INFO [00007882] C0: GPR Update: R08=000000000001C00C
63048.00ns INFO [00007882] C0: GPR Update: R09=000000000001C00B
63056.00ns INFO [00007883] C0: GPR Update: R10=0000000000010000
63064.00ns INFO [00007884] WB Slave: WR @0001FDE8 sel=F dat=0001FDF8
63064.00ns INFO [00007884] Mem Update: @0001FDE8 0001FDF8->0001FDF8
63096.00ns INFO [00007888] T0 STORE 0001FDF0 tag=00 len=1 be=80000000 data=0A7D234B784BFFFE9D0000000000000000000000000000000000000000000000 WIMG:0
63128.00ns INFO [00007892] WB Slave: WR @0001FDF0 sel=8 dat=0A7D234B
63128.00ns INFO [00007892] Mem Update: @0001FDF0 29000008->0A000008
63128.00ns INFO [00007892] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2B 00000000 0001FF38 00003A80 ..............9....+.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
63160.00ns INFO [00007896] T0 STORE 00012000 tag=00 len=4 be=F0000000 data=0001C00C7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
63192.00ns INFO [00007900] ...tick...
63192.00ns INFO [00007900] WB Slave: WR @00012000 sel=F dat=0001C00C
63192.00ns INFO [00007900] Mem Update: @00012000 0001C00B->0001C00C
63192.00ns INFO [00007900] C0: CP 0:000C20 0000000000000C20
63200.00ns INFO [00007901] C0: GPR Update: R10=000000000000000A
63208.00ns INFO [00007902] C0: CP 0:000C24 1:000C28 0000000000000C24
63216.00ns INFO [00007903] C0: CP 0:000C2C 1:000C30 0000000000000C2C
63224.00ns INFO [00007904] C0: CP 0:0038CC 1:0038D0 00000000000038CC
63224.00ns INFO [00007904] C0: GPR Update: R01=000000000001FDF8
63232.00ns INFO [00007905] C0: CP 0:0038D4 1:0038D8 00000000000038D4
63232.00ns INFO [00007905] C0: GPR Update: R03=000000000000000A
63232.00ns INFO [00007905] C0: GPR Update: R09=000000000000000A
63240.00ns INFO [00007906] C0: CP 0:0038DC 1:0038E0 00000000000038DC
63240.00ns INFO [00007906] C0: GPR Update: R00=00000000000039F8
63240.00ns INFO [00007906] C0: LR Update:00000000000039F8
63248.00ns INFO [00007907] C0: CP 0:0039F8 1:0039FC 00000000000039F8
63248.00ns INFO [00007907] C0: GPR Update: R01=000000000001FE08
63256.00ns INFO [00007908] T0 STORE 0001C00B tag=00 len=1 be=00100000 data=4BFFFE9D000000000000000A7D234B7800000000000000000000000000000000 WIMG:0
63256.00ns INFO [00007908] C0: CP 0:003A00 0000000000003A00
63256.00ns INFO [00007908] C0: GPR Update: R09=000000000001FE2C
63288.00ns INFO [00007912] WB Slave: WR @0001C008 sel=1 dat=0000000A
63288.00ns INFO [00007912] Mem Update: @0001C008 28302900->2830290A
63288.00ns INFO [00007912] Print buffer:
0001C000: 7774660A 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 wtf.main(0).....................
0001C020: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C040: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C060: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C080: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C0E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C100: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C120: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C140: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C160: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C180: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1A0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1C0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001C1E0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
63320.00ns INFO [00007916] T0 STORE 0001FE10 tag=00 len=4 be=F0000000 data=0001FE2C7D234B784BFFFE9D0000000000000000000000000000000000000000 WIMG:0
63352.00ns INFO [00007920] WB Slave: WR @0001FE10 sel=F dat=0001FE2C
63352.00ns INFO [00007920] Mem Update: @0001FE10 0001FE2B->0001FE2C
63352.00ns INFO [00007920] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000000 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
63416.00ns INFO [00007928] C0: CP 0:003A04 0000000000003A04
63424.00ns INFO [00007929] C0: GPR Update: R09=000000000001FE2C
63456.00ns INFO [00007933] C0: CP 0:003A08 1:003A0C 0000000000003A08
63464.00ns INFO [00007934] C0: GPR Update: R09=0000000000000000
63464.00ns INFO [00007934] C0: CR Update: F0=2
63472.00ns INFO [00007935] C0: CP 0:003A10 0000000000003A10
63592.00ns INFO [00007950] C0: CP 0:003A14 1:003A18 0000000000003A14
63632.00ns INFO [00007955] C0: CP 0:003A1C 0000000000003A1C
63640.00ns INFO [00007956] C0: CP 0:003A20 1:003A24 0000000000003A20
63640.00ns INFO [00007956] C0: GPR Update: R00=0000000000003A80
63648.00ns INFO [00007957] T0 IFETCH 00000D40 tag=08 len=6 WIMG:0
63648.00ns INFO [00007957] C0: CP 0:003A28 0000000000003A28
63648.00ns INFO [00007957] C0: GPR Update: R01=000000000001FE18
63648.00ns INFO [00007957] C0: LR Update:0000000000003A80
63664.00ns INFO [00007959] WB Slave: RD @00000D40=91210034
63664.00ns INFO [00007959] C0: CP 0:003A80 1:003A84 0000000000003A80
63672.00ns INFO [00007960] C0: CP 0:003A88 0000000000003A88
63672.00ns INFO [00007960] C0: GPR Update: R03=0000000000000008
63672.00ns INFO [00007960] C0: GPR Update: R09=0000000000000008
63680.00ns INFO [00007961] WB Slave: RD @00000D44=81210034
63680.00ns INFO [00007961] C0: CP 0:003A8C 1:003A90 0000000000003A8C
63680.00ns INFO [00007961] C0: GPR Update: R00=0000000000003B18
63688.00ns INFO [00007962] C0: CP 0:003A94 1:003B18 0000000000003A94
63688.00ns INFO [00007962] C0: GPR Update: R01=000000000001FF38
63688.00ns INFO [00007962] C0: LR Update:0000000000003B18
63696.00ns INFO [00007963] WB Slave: RD @00000D48=7D334BA6
63696.00ns INFO [00007963] C0: GPR Update: R09=0000000000000008
63712.00ns INFO [00007965] WB Slave: RD @00000D4C=60000000
63712.00ns INFO [00007965] C0: CP 0:003B1C 0000000000003B1C
63728.00ns INFO [00007967] WB Slave: RD @00000D50=39200000
63744.00ns INFO [00007969] WB Slave: RD @00000D54=91210030
63760.00ns INFO [00007971] WB Slave: RD @00000D58=81210030
63776.00ns INFO [00007973] WB Slave: RD @00000D5C=7D3603A6
63792.00ns INFO [00007975] WB Slave: RD @00000D60=60000000
63808.00ns INFO [00007977] WB Slave: RD @00000D64=39200000
63824.00ns INFO [00007979] WB Slave: RD @00000D68=9121002C
63840.00ns INFO [00007981] WB Slave: RD @00000D6C=8121002C
63856.00ns INFO [00007983] WB Slave: RD @00000D70=7D3D43A6
63872.00ns INFO [00007985] WB Slave: RD @00000D74=60000000
63888.00ns INFO [00007987] WB Slave: RD @00000D78=39200000
63904.00ns INFO [00007989] WB Slave: RD @00000D7C=91210028
63992.00ns INFO [00008000] ...tick...
64000.00ns INFO [00008001] T0 STORE 0001FF40 tag=00 len=4 be=F0000000 data=000000087D3D43A6600000000000000000000000000000000000000000000000 WIMG:0
64032.00ns INFO [00008005] WB Slave: WR @0001FF40 sel=F dat=00000008
64032.00ns INFO [00008005] Mem Update: @0001FF40 XXXXXXXX->00000008
64032.00ns INFO [00008005] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000518 ................................
64096.00ns INFO [00008013] C0: CP 0:003B20 1:003B24 0000000000003B20
64104.00ns INFO [00008014] C0: CP 0:003B28 1:003B2C 0000000000003B28
64104.00ns INFO [00008014] C0: GPR Update: R03=0000000000000008
64104.00ns INFO [00008014] C0: GPR Update: R09=0000000000000008
64112.00ns INFO [00008015] C0: CP 0:003B30 1:003B34 0000000000003B30
64112.00ns INFO [00008015] C0: GPR Update: R00=0000000000000D3C
64112.00ns INFO [00008015] C0: LR Update:0000000000000D3C
64120.00ns INFO [00008016] C0: CP 0:000D3C 1:000D40 0000000000000D3C
64120.00ns INFO [00008016] C0: GPR Update: R01=000000000001FFB8
64128.00ns INFO [00008017] C0: GPR Update: R09=0000000003000000
64160.00ns INFO [00008021] T0 STORE 0001FFEC tag=00 len=4 be=000F0000 data=7D3D43A660000000000000000300000000000000000000000000000000000000 WIMG:0
64192.00ns INFO [00008025] WB Slave: WR @0001FFEC sel=F dat=03000000
64192.00ns INFO [00008025] Mem Update: @0001FFEC XXXXXXXX->03000000
64192.00ns INFO [00008025] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 00000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
64216.00ns INFO [00008028] T0 IFETCH 00000DC0 tag=08 len=6 WIMG:0
64232.00ns INFO [00008030] WB Slave: RD @00000DC0=39200000
64248.00ns INFO [00008032] WB Slave: RD @00000DC4=91210018
64256.00ns INFO [00008033] C0: CP 0:000D44 0000000000000D44
64264.00ns INFO [00008034] WB Slave: RD @00000DC8=81210018
64264.00ns INFO [00008034] C0: GPR Update: R09=0000000003000000
64280.00ns INFO [00008036] WB Slave: RD @00000DCC=7D3053A6
64296.00ns INFO [00008038] WB Slave: RD @00000DD0=60000000
64312.00ns INFO [00008040] WB Slave: RD @00000DD4=39200000
64328.00ns INFO [00008042] WB Slave: RD @00000DD8=91210014
64344.00ns INFO [00008044] WB Slave: RD @00000DDC=81210014
64360.00ns INFO [00008046] WB Slave: RD @00000DE0=7D3453A6
64368.00ns INFO [00008047] C0: CP 0:000D48 0000000000000D48
64376.00ns INFO [00008048] WB Slave: RD @00000DE4=60000000
64392.00ns INFO [00008050] WB Slave: RD @00000DE8=81210010
64408.00ns INFO [00008052] WB Slave: RD @00000DEC=7D234B78
64424.00ns INFO [00008054] WB Slave: RD @00000DF0=48000390
64440.00ns INFO [00008056] WB Slave: RD @00000DF4=48000000
64456.00ns INFO [00008058] WB Slave: RD @00000DF8=7D234B78
64472.00ns INFO [00008060] WB Slave: RD @00000DFC=80010044
64520.00ns INFO [00008066] C0: CP 0:000D4C 0000000000000D4C
64528.00ns INFO [00008067] C0: CP 0:000D50 0000000000000D50
64536.00ns INFO [00008068] C0: GPR Update: R09=0000000000000000
64552.00ns INFO [00008070] C0: CP 0:000D54 0000000000000D54
64592.00ns INFO [00008075] T0 STORE 0001FFE8 tag=00 len=4 be=00F00000 data=4800000000000000000000004800039000000000000000000000000000000000 WIMG:0
64624.00ns INFO [00008079] WB Slave: WR @0001FFE8 sel=F dat=00000000
64624.00ns INFO [00008079] Mem Update: @0001FFE8 XXXXXXXX->00000000
64648.00ns INFO [00008082] T0 IFETCH 00000E00 tag=09 len=6 WIMG:0
64664.00ns INFO [00008084] WB Slave: RD @00000E00=7C0803A6
64680.00ns INFO [00008086] WB Slave: RD @00000E04=38210040
64696.00ns INFO [00008088] WB Slave: RD @00000E08=4E800020
64696.00ns INFO [00008088] C0: CP 0:000D58 0000000000000D58
64704.00ns INFO [00008089] C0: GPR Update: R09=0000000000000000
64712.00ns INFO [00008090] WB Slave: RD @00000E0C=9421FFE0
64728.00ns INFO [00008092] WB Slave: RD @00000E10=7C0802A6
64744.00ns INFO [00008094] WB Slave: RD @00000E14=90010024
64760.00ns INFO [00008096] WB Slave: RD @00000E18=90610018
64776.00ns INFO [00008098] WB Slave: RD @00000E1C=39200001
64792.00ns INFO [00008100] ...tick...
64792.00ns INFO [00008100] WB Slave: RD @00000E20=9121000C
64808.00ns INFO [00008102] WB Slave: RD @00000E24=81410018
64808.00ns INFO [00008102] C0: CP 0:000D5C 1:000D60 0000000000000D5C
64816.00ns INFO [00008103] C0: CP 0:000D64 1:000D68 0000000000000D64
64824.00ns INFO [00008104] WB Slave: RD @00000E28=3D200867
64824.00ns INFO [00008104] C0: GPR Update: R09=0000000000000000
64840.00ns INFO [00008106] WB Slave: RD @00000E2C=61295309
64856.00ns INFO [00008108] WB Slave: RD @00000E30=7C0A4800
64872.00ns INFO [00008110] WB Slave: RD @00000E34=4182000C
64888.00ns INFO [00008112] WB Slave: RD @00000E38=3C608000
64904.00ns INFO [00008114] WB Slave: RD @00000E3C=4BFFF9B9
65000.00ns INFO [00008126] T0 STORE 0001FFE4 tag=00 len=4 be=0F000000 data=00000000000000007C0A48004182000C00000000000000000000000000000000 WIMG:0
65032.00ns INFO [00008130] WB Slave: WR @0001FFE4 sel=F dat=00000000
65032.00ns INFO [00008130] Mem Update: @0001FFE4 XXXXXXXX->00000000
65096.00ns INFO [00008138] C0: CP 0:000D6C 0000000000000D6C
65104.00ns INFO [00008139] C0: GPR Update: R09=0000000000000000
65168.00ns INFO [00008147] T0 IFETCH 00001180 tag=08 len=6 WIMG:0
65184.00ns INFO [00008149] WB Slave: RD @00001180=9023009C
65200.00ns INFO [00008151] WB Slave: RD @00001184=802300A0
65208.00ns INFO [00008152] C0: CP 0:000D70 1:000D74 0000000000000D70
65216.00ns INFO [00008153] WB Slave: RD @00001188=7C2903A6
65216.00ns INFO [00008153] C0: CP 0:000D78 1:000D7C 0000000000000D78
65224.00ns INFO [00008154] C0: GPR Update: R09=0000000000000000
65232.00ns INFO [00008155] WB Slave: RD @0000118C=382300A4
65248.00ns INFO [00008157] WB Slave: RD @00001190=384300D8
65264.00ns INFO [00008159] WB Slave: RD @00001194=80810000
65280.00ns INFO [00008161] WB Slave: RD @00001198=80A20000
65296.00ns INFO [00008163] WB Slave: RD @0000119C=90850000
65312.00ns INFO [00008165] WB Slave: RD @000011A0=38210004
65328.00ns INFO [00008167] WB Slave: RD @000011A4=38420004
65344.00ns INFO [00008169] WB Slave: RD @000011A8=4200FFEC
65360.00ns INFO [00008171] WB Slave: RD @000011AC=3C804800
65376.00ns INFO [00008173] WB Slave: RD @000011B0=60840006
65392.00ns INFO [00008175] WB Slave: RD @000011B4=90850000
65408.00ns INFO [00008177] WB Slave: RD @000011B8=802010F4
65424.00ns INFO [00008179] WB Slave: RD @000011BC=7C3B03A6
65520.00ns INFO [00008191] T0 STORE 0001FFE0 tag=00 len=4 be=F0000000 data=0000000060840006908500000000000000000000000000000000000000000000 WIMG:0
65552.00ns INFO [00008195] WB Slave: WR @0001FFE0 sel=F dat=00000000
65552.00ns INFO [00008195] Mem Update: @0001FFE0 XXXXXXXX->00000000
65576.00ns INFO [00008198] T0 IFETCH 000011C0 tag=09 len=6 WIMG:0
65592.00ns INFO [00008200] ...tick...
65592.00ns INFO [00008200] WB Slave: RD @000011C0=80201138
65608.00ns INFO [00008202] WB Slave: RD @000011C4=7C3A03A6
65616.00ns INFO [00008203] C0: CP 0:000D80 0000000000000D80
65624.00ns INFO [00008204] WB Slave: RD @000011C8=80230080
65624.00ns INFO [00008204] C0: GPR Update: R09=0000000000000000
65640.00ns INFO [00008206] WB Slave: RD @000011CC=7C2FF120
65656.00ns INFO [00008208] WB Slave: RD @000011D0=80230084
65672.00ns INFO [00008210] WB Slave: RD @000011D4=7C2103A6
65688.00ns INFO [00008212] WB Slave: RD @000011D8=80230088
65704.00ns INFO [00008214] WB Slave: RD @000011DC=7C2903A6
65720.00ns INFO [00008216] WB Slave: RD @000011E0=8023008C
65728.00ns INFO [00008217] C0: CP 0:000D84 1:000D88 0000000000000D84
65736.00ns INFO [00008218] WB Slave: RD @000011E4=7C2803A6
65736.00ns INFO [00008218] C0: CP 0:000D8C 1:000D90 0000000000000D8C
65744.00ns INFO [00008219] C0: GPR Update: R09=FFFFFFFFFE000000
65752.00ns INFO [00008220] WB Slave: RD @000011E8=80230090
65768.00ns INFO [00008222] WB Slave: RD @000011EC=7C2FCBA6
65784.00ns INFO [00008224] WB Slave: RD @000011F0=80030000
65800.00ns INFO [00008226] WB Slave: RD @000011F4=80230004
65816.00ns INFO [00008228] WB Slave: RD @000011F8=80430008
65832.00ns INFO [00008230] WB Slave: RD @000011FC=80830010
65928.00ns INFO [00008242] T0 STORE 0001FFDC tag=00 len=4 be=000F0000 data=8003000080230004FFFFFFFFFE00000000000000000000000000000000000000 WIMG:0
65960.00ns INFO [00008246] WB Slave: WR @0001FFDC sel=F dat=FE000000
65960.00ns INFO [00008246] Mem Update: @0001FFDC XXXXXXXX->FE000000
65960.00ns INFO [00008246] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 00000000 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
66024.00ns INFO [00008254] C0: CP 0:000D94 0000000000000D94
66032.00ns INFO [00008255] C0: GPR Update: R09=00000000FE000000
66136.00ns INFO [00008268] C0: CP 0:000D98 1:000D9C 0000000000000D98
66232.00ns INFO [00008280] C0: CP 0:000DA0 0000000000000DA0
66240.00ns INFO [00008281] C0: GPR Update: R09=00000000000018C0
66296.00ns INFO [00008288] C0: CP 0:000DA4 0000000000000DA4
66344.00ns INFO [00008294] T0 STORE 0001FFD8 tag=00 len=4 be=00F00000 data=8023000400000000000018C08003000000000000000000000000000000000000 WIMG:0
66376.00ns INFO [00008298] WB Slave: WR @0001FFD8 sel=F dat=000018C0
66376.00ns INFO [00008298] Mem Update: @0001FFD8 XXXXXXXX->000018C0
66376.00ns INFO [00008298] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 00000000 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
66392.00ns INFO [00008300] ...tick...
66440.00ns INFO [00008306] C0: CP 0:000DA8 1:000DAC 0000000000000DA8
66448.00ns INFO [00008307] C0: GPR Update: R09=000018C0000018C0
66472.00ns INFO [00008310] C0: CP 0:000DB0 0000000000000DB0
66520.00ns INFO [00008316] T0 STORE 0001FFD4 tag=00 len=4 be=0F000000 data=000018C0000018C0800300008023000400000000000000000000000000000000 WIMG:0
66552.00ns INFO [00008320] WB Slave: WR @0001FFD4 sel=F dat=000018C0
66552.00ns INFO [00008320] Mem Update: @0001FFD4 XXXXXXXX->000018C0
66552.00ns INFO [00008320] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFA8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
66616.00ns INFO [00008328] C0: CP 0:000DB4 0000000000000DB4
66624.00ns INFO [00008329] C0: GPR Update: R09=00000000000018C0
66824.00ns INFO [00008354] C0: CP 0:000DB8 0000000000000DB8
66976.00ns INFO [00008373] C0: CP 0:000DBC 0000000000000DBC
66984.00ns INFO [00008374] C0: CP 0:000DC0 0000000000000DC0
66992.00ns INFO [00008375] C0: GPR Update: R09=0000000000000000
67008.00ns INFO [00008377] C0: CP 0:000DC4 0000000000000DC4
67048.00ns INFO [00008382] T0 STORE 0001FFD0 tag=00 len=4 be=F0000000 data=0000000080030000802300040000000000000000000000000000000000000000 WIMG:0
67080.00ns INFO [00008386] WB Slave: WR @0001FFD0 sel=F dat=00000000
67080.00ns INFO [00008386] Mem Update: @0001FFD0 XXXXXXXX->00000000
67104.00ns INFO [00008389] T0 LOAD 00001100 tag=00 len=4 WIMG:0
67120.00ns INFO [00008391] WB Slave: RD @00001100=0000000D
67136.00ns INFO [00008393] WB Slave: RD @00001104=7C61CC14
67152.00ns INFO [00008395] WB Slave: RD @00001108=7D230595
67152.00ns INFO [00008395] C0: CP 0:000DC8 0000000000000DC8
67160.00ns INFO [00008396] C0: GPR Update: R09=0000000000000000
67168.00ns INFO [00008397] WB Slave: RD @0000110C=7AC37392
67184.00ns INFO [00008399] WB Slave: RD @00001110=7E094C11
67192.00ns INFO [00008400] ...tick...
67200.00ns INFO [00008401] WB Slave: RD @00001114=7E1CB115
67216.00ns INFO [00008403] WB Slave: RD @00001118=7A338886
67232.00ns INFO [00008405] WB Slave: RD @0000111C=7C6004D1
67248.00ns INFO [00008407] WB Slave: RD @00001120=7E09B038
67264.00ns INFO [00008409] WB Slave: RD @00001124=7C360591
67264.00ns INFO [00008409] C0: CP 0:000DCC 1:000DD0 0000000000000DCC
67272.00ns INFO [00008410] C0: CP 0:000DD4 1:000DD8 0000000000000DD4
67280.00ns INFO [00008411] WB Slave: RD @00001128=7E2B00D1
67280.00ns INFO [00008411] C0: GPR Update: R09=0000000000000000
67296.00ns INFO [00008413] WB Slave: RD @0000112C=60000000
67312.00ns INFO [00008415] WB Slave: RD @00001130=60000000
67328.00ns INFO [00008417] WB Slave: RD @00001134=60000000
67344.00ns INFO [00008419] WB Slave: RD @00001138=00010000
67360.00ns INFO [00008421] WB Slave: RD @0000113C=00010004
67456.00ns INFO [00008433] T0 STORE 0001FFCC tag=00 len=4 be=000F0000 data=6000000060000000000000000000000000000000000000000000000000000000 WIMG:0
67488.00ns INFO [00008437] WB Slave: WR @0001FFCC sel=F dat=00000000
67488.00ns INFO [00008437] Mem Update: @0001FFCC XXXXXXXX->00000000
67544.00ns INFO [00008444] C0: CP 0:000DDC 0000000000000DDC
67552.00ns INFO [00008445] C0: GPR Update: R09=0000000000000000
67656.00ns INFO [00008458] C0: CP 0:000DE0 1:000DE4 0000000000000DE0
67664.00ns INFO [00008459] C0: CP 0:000DE8 1:000DEC 0000000000000DE8
67672.00ns INFO [00008460] C0: CP 0:000DF0 1:001180 0000000000000DF0
67672.00ns INFO [00008460] C0: GPR Update: R03=0000000000001060
67672.00ns INFO [00008460] C0: GPR Update: R09=0000000000001060
67680.00ns INFO [00008461] C0: CP 0:001184 1:001188 0000000000001184
67688.00ns INFO [00008462] C0: CP 0:00118C 1:001190 000000000000118C
67688.00ns INFO [00008462] C0: GPR Update: R01=000000000000000D
67688.00ns INFO [00008462] C0: CTR Update:000000000000000D
67696.00ns INFO [00008463] C0: CP 0:001194 1:001198 0000000000001194
67696.00ns INFO [00008463] C0: GPR Update: R01=0000000000001104
67696.00ns INFO [00008463] C0: GPR Update: R02=0000000000001138
67704.00ns INFO [00008464] C0: CP 0:00119C 1:0011A0 000000000000119C
67704.00ns INFO [00008464] C0: GPR Update: R04=000000007C61CC14
67704.00ns INFO [00008464] C0: GPR Update: R05=0000000000010000
67712.00ns INFO [00008465] C0: CP 0:0011A4 00000000000011A4
67712.00ns INFO [00008465] C0: GPR Update: R01=0000000000001108
67720.00ns INFO [00008466] C0: GPR Update: R02=000000000000113C
67728.00ns INFO [00008467] T0 STORE 000010FC tag=00 len=4 be=000F0000 data=6000000060000000000000000001FFB800000000000000000000000000000000 WIMG:0
67744.00ns INFO [00008469] C0: CP 0:0011A8 00000000000011A8
67752.00ns INFO [00008470] C0: CTR Update:000000000000000C
67760.00ns INFO [00008471] WB Slave: WR @000010FC sel=F dat=0001FFB8
67760.00ns INFO [00008471] Mem Update: @000010FC 00000000->0001FFB8
67784.00ns INFO [00008474] C0: CP 0:001194 0000000000001194
67792.00ns INFO [00008475] T0 STORE 00010000 tag=00 len=4 be=F0000000 data=7C61CC1460000000600000000000000000000000000000000000000000000000 WIMG:0
67792.00ns INFO [00008475] C0: CP 0:001198 0000000000001198
67792.00ns INFO [00008475] C0: GPR Update: R04=000000007D230595
67800.00ns INFO [00008476] C0: GPR Update: R05=0000000000010004
67816.00ns INFO [00008478] C0: CP 0:00119C 1:0011A0 000000000000119C
67824.00ns INFO [00008479] WB Slave: WR @00010000 sel=F dat=7C61CC14
67824.00ns INFO [00008479] Mem Update: @00010000 XXXXXXXX->7C61CC14
67824.00ns INFO [00008479] C0: CP 0:0011A4 1:0011A8 00000000000011A4
67824.00ns INFO [00008479] C0: GPR Update: R01=000000000000110C
67832.00ns INFO [00008480] C0: CP 0:001194 0000000000001194
67832.00ns INFO [00008480] C0: GPR Update: R02=0000000000001140
67832.00ns INFO [00008480] C0: CTR Update:000000000000000B
67840.00ns INFO [00008481] C0: GPR Update: R04=000000007AC37392
67856.00ns INFO [00008483] T0 STORE 00010004 tag=00 len=4 be=0F000000 data=000000007D230595600000006000000000000000000000000000000000000000 WIMG:0
67888.00ns INFO [00008487] WB Slave: WR @00010004 sel=F dat=7D230595
67888.00ns INFO [00008487] Mem Update: @00010004 XXXXXXXX->7D230595
67912.00ns INFO [00008490] T0 LOAD 00001140 tag=00 len=4 WIMG:0
67928.00ns INFO [00008492] WB Slave: RD @00001140=00010008
67944.00ns INFO [00008494] WB Slave: RD @00001144=0001000C
67960.00ns INFO [00008496] WB Slave: RD @00001148=00010010
67976.00ns INFO [00008498] WB Slave: RD @0000114C=00010014
67992.00ns INFO [00008500] ...tick...
67992.00ns INFO [00008500] WB Slave: RD @00001150=00010018
68008.00ns INFO [00008502] WB Slave: RD @00001154=0001001C
68024.00ns INFO [00008504] WB Slave: RD @00001158=00010020
68040.00ns INFO [00008506] WB Slave: RD @0000115C=00010024
68056.00ns INFO [00008508] WB Slave: RD @00001160=00010028
68072.00ns INFO [00008510] WB Slave: RD @00001164=0001002C
68088.00ns INFO [00008512] WB Slave: RD @00001168=00010030
68104.00ns INFO [00008514] WB Slave: RD @0000116C=48000014
68120.00ns INFO [00008516] WB Slave: RD @00001170=60000000
68136.00ns INFO [00008518] WB Slave: RD @00001174=60000000
68152.00ns INFO [00008520] WB Slave: RD @00001178=60000000
68168.00ns INFO [00008522] WB Slave: RD @0000117C=60000000
68256.00ns INFO [00008533] C0: CP 0:001198 0000000000001198
68264.00ns INFO [00008534] C0: GPR Update: R05=0000000000010008
68328.00ns INFO [00008542] C0: CP 0:00119C 1:0011A0 000000000000119C
68336.00ns INFO [00008543] C0: CP 0:0011A4 1:0011A8 00000000000011A4
68336.00ns INFO [00008543] C0: GPR Update: R01=0000000000001110
68344.00ns INFO [00008544] C0: CP 0:001194 0000000000001194
68344.00ns INFO [00008544] C0: GPR Update: R02=0000000000001144
68344.00ns INFO [00008544] C0: CTR Update:000000000000000A
68352.00ns INFO [00008545] C0: CP 0:001198 0000000000001198
68352.00ns INFO [00008545] C0: GPR Update: R04=000000007E094C11
68360.00ns INFO [00008546] C0: GPR Update: R05=000000000001000C
68368.00ns INFO [00008547] T0 STORE 00010008 tag=00 len=4 be=00F00000 data=60000000000000007AC373926000000000000000000000000000000000000000 WIMG:0
68376.00ns INFO [00008548] C0: CP 0:00119C 1:0011A0 000000000000119C
68384.00ns INFO [00008549] C0: CP 0:0011A4 1:0011A8 00000000000011A4
68384.00ns INFO [00008549] C0: GPR Update: R01=0000000000001114
68392.00ns INFO [00008550] C0: CP 0:001194 0000000000001194
68392.00ns INFO [00008550] C0: GPR Update: R02=0000000000001148
68392.00ns INFO [00008550] C0: CTR Update:0000000000000009
68400.00ns INFO [00008551] WB Slave: WR @00010008 sel=F dat=7AC37392
68400.00ns INFO [00008551] Mem Update: @00010008 XXXXXXXX->7AC37392
68400.00ns INFO [00008551] C0: CP 0:001198 1:00119C 0000000000001198
68400.00ns INFO [00008551] C0: GPR Update: R04=000000007E1CB115
68408.00ns INFO [00008552] C0: CP 0:0011A0 1:0011A4 00000000000011A0
68408.00ns INFO [00008552] C0: GPR Update: R05=0000000000010010
68416.00ns INFO [00008553] C0: CP 0:0011A8 00000000000011A8
68416.00ns INFO [00008553] C0: GPR Update: R01=0000000000001118
68416.00ns INFO [00008553] C0: GPR Update: R02=000000000000114C
68424.00ns INFO [00008554] C0: CTR Update:0000000000000008
68448.00ns INFO [00008557] T0 STORE 0001000C tag=00 len=4 be=000F0000 data=6000000060000000000000007E094C1100000000000000000000000000000000 WIMG:0
68456.00ns INFO [00008558] C0: CP 0:001194 0000000000001194
68464.00ns INFO [00008559] C0: CP 0:001198 0000000000001198
68464.00ns INFO [00008559] C0: GPR Update: R04=000000007A338886
68472.00ns INFO [00008560] C0: GPR Update: R05=0000000000010014
68480.00ns INFO [00008561] WB Slave: WR @0001000C sel=F dat=7E094C11
68480.00ns INFO [00008561] Mem Update: @0001000C XXXXXXXX->7E094C11
68488.00ns INFO [00008562] C0: CP 0:00119C 1:0011A0 000000000000119C
68496.00ns INFO [00008563] C0: CP 0:0011A4 1:0011A8 00000000000011A4
68496.00ns INFO [00008563] C0: GPR Update: R01=000000000000111C
68504.00ns INFO [00008564] C0: CP 0:001194 0000000000001194
68504.00ns INFO [00008564] C0: GPR Update: R02=0000000000001150
68504.00ns INFO [00008564] C0: CTR Update:0000000000000007
68512.00ns INFO [00008565] T0 STORE 00010010 tag=00 len=4 be=F0000000 data=7E1CB11560000000600000000000000000000000000000000000000000000000 WIMG:0
68512.00ns INFO [00008565] C0: CP 0:001198 0000000000001198
68512.00ns INFO [00008565] C0: GPR Update: R04=000000007C6004D1
68520.00ns INFO [00008566] C0: GPR Update: R05=0000000000010018
68536.00ns INFO [00008568] C0: CP 0:00119C 1:0011A0 000000000000119C
68544.00ns INFO [00008569] WB Slave: WR @00010010 sel=F dat=7E1CB115
68544.00ns INFO [00008569] Mem Update: @00010010 XXXXXXXX->7E1CB115
68544.00ns INFO [00008569] C0: CP 0:0011A4 1:0011A8 00000000000011A4
68544.00ns INFO [00008569] C0: GPR Update: R01=0000000000001120
68552.00ns INFO [00008570] C0: CP 0:001194 0000000000001194
68552.00ns INFO [00008570] C0: GPR Update: R02=0000000000001154
68552.00ns INFO [00008570] C0: CTR Update:0000000000000006
68560.00ns INFO [00008571] C0: CP 0:001198 0000000000001198
68560.00ns INFO [00008571] C0: GPR Update: R04=000000007E09B038
68568.00ns INFO [00008572] C0: GPR Update: R05=000000000001001C
68576.00ns INFO [00008573] T0 STORE 00010014 tag=00 len=4 be=0F000000 data=000000007A338886600000006000000000000000000000000000000000000000 WIMG:0
68584.00ns INFO [00008574] C0: CP 0:00119C 1:0011A0 000000000000119C
68592.00ns INFO [00008575] C0: CP 0:0011A4 1:0011A8 00000000000011A4
68592.00ns INFO [00008575] C0: GPR Update: R01=0000000000001124
68600.00ns INFO [00008576] C0: GPR Update: R02=0000000000001158
68600.00ns INFO [00008576] C0: CTR Update:0000000000000005
68608.00ns INFO [00008577] WB Slave: WR @00010014 sel=F dat=7A338886
68608.00ns INFO [00008577] Mem Update: @00010014 XXXXXXXX->7A338886
68616.00ns INFO [00008578] C0: CP 0:001194 0000000000001194
68624.00ns INFO [00008579] C0: CP 0:001198 0000000000001198
68624.00ns INFO [00008579] C0: GPR Update: R04=000000007C360591
68632.00ns INFO [00008580] C0: GPR Update: R05=0000000000010020
68648.00ns INFO [00008582] C0: CP 0:00119C 1:0011A0 000000000000119C
68656.00ns INFO [00008583] T0 STORE 00010018 tag=00 len=4 be=00F00000 data=60000000000000007C6004D16000000000000000000000000000000000000000 WIMG:0
68656.00ns INFO [00008583] C0: CP 0:0011A4 1:0011A8 00000000000011A4
68656.00ns INFO [00008583] C0: GPR Update: R01=0000000000001128
68664.00ns INFO [00008584] C0: CP 0:001194 0000000000001194
68664.00ns INFO [00008584] C0: GPR Update: R02=000000000000115C
68664.00ns INFO [00008584] C0: CTR Update:0000000000000004
68672.00ns INFO [00008585] C0: CP 0:001198 0000000000001198
68672.00ns INFO [00008585] C0: GPR Update: R04=000000007E2B00D1
68680.00ns INFO [00008586] C0: GPR Update: R05=0000000000010024
68688.00ns INFO [00008587] WB Slave: WR @00010018 sel=F dat=7C6004D1
68688.00ns INFO [00008587] Mem Update: @00010018 XXXXXXXX->7C6004D1
68696.00ns INFO [00008588] C0: CP 0:00119C 1:0011A0 000000000000119C
68704.00ns INFO [00008589] C0: CP 0:0011A4 1:0011A8 00000000000011A4
68704.00ns INFO [00008589] C0: GPR Update: R01=000000000000112C
68712.00ns INFO [00008590] C0: CP 0:001194 0000000000001194
68712.00ns INFO [00008590] C0: GPR Update: R02=0000000000001160
68712.00ns INFO [00008590] C0: CTR Update:0000000000000003
68720.00ns INFO [00008591] T0 STORE 0001001C tag=00 len=4 be=000F0000 data=6000000060000000000000007E09B03800000000000000000000000000000000 WIMG:0
68720.00ns INFO [00008591] C0: CP 0:001198 0000000000001198
68720.00ns INFO [00008591] C0: GPR Update: R04=0000000060000000
68728.00ns INFO [00008592] C0: GPR Update: R05=0000000000010028
68744.00ns INFO [00008594] C0: CP 0:00119C 1:0011A0 000000000000119C
68752.00ns INFO [00008595] WB Slave: WR @0001001C sel=F dat=7E09B038
68752.00ns INFO [00008595] Mem Update: @0001001C XXXXXXXX->7E09B038
68752.00ns INFO [00008595] C0: CP 0:0011A4 1:0011A8 00000000000011A4
68752.00ns INFO [00008595] C0: GPR Update: R01=0000000000001130
68760.00ns INFO [00008596] C0: GPR Update: R02=0000000000001164
68760.00ns INFO [00008596] C0: CTR Update:0000000000000002
68776.00ns INFO [00008598] C0: CP 0:001194 0000000000001194
68784.00ns INFO [00008599] T0 STORE 00010020 tag=00 len=4 be=F0000000 data=7C36059160000000600000000000000000000000000000000000000000000000 WIMG:0
68784.00ns INFO [00008599] C0: CP 0:001198 0000000000001198
68784.00ns INFO [00008599] C0: GPR Update: R04=0000000060000000
68792.00ns INFO [00008600] ...tick...
68792.00ns INFO [00008600] C0: GPR Update: R05=000000000001002C
68808.00ns INFO [00008602] C0: CP 0:00119C 1:0011A0 000000000000119C
68816.00ns INFO [00008603] WB Slave: WR @00010020 sel=F dat=7C360591
68816.00ns INFO [00008603] Mem Update: @00010020 XXXXXXXX->7C360591
68816.00ns INFO [00008603] C0: CP 0:0011A4 1:0011A8 00000000000011A4
68816.00ns INFO [00008603] C0: GPR Update: R01=0000000000001134
68824.00ns INFO [00008604] C0: CP 0:001194 0000000000001194
68824.00ns INFO [00008604] C0: GPR Update: R02=0000000000001168
68824.00ns INFO [00008604] C0: CTR Update:0000000000000001
68832.00ns INFO [00008605] C0: CP 0:001198 0000000000001198
68832.00ns INFO [00008605] C0: GPR Update: R04=0000000060000000
68840.00ns INFO [00008606] C0: GPR Update: R05=0000000000010030
68848.00ns INFO [00008607] T0 STORE 00010024 tag=00 len=4 be=0F000000 data=000000007E2B00D1600000006000000000000000000000000000000000000000 WIMG:0
68856.00ns INFO [00008608] C0: CP 0:00119C 1:0011A0 000000000000119C
68864.00ns INFO [00008609] C0: CP 0:0011A4 1:0011A8 00000000000011A4
68864.00ns INFO [00008609] C0: GPR Update: R01=0000000000001138
68872.00ns INFO [00008610] C0: GPR Update: R02=000000000000116C
68872.00ns INFO [00008610] C0: CTR Update:0000000000000000
68880.00ns INFO [00008611] WB Slave: WR @00010024 sel=F dat=7E2B00D1
68880.00ns INFO [00008611] Mem Update: @00010024 XXXXXXXX->7E2B00D1
68912.00ns INFO [00008615] T0 STORE 00010028 tag=00 len=4 be=00F00000 data=6000000000000000600000006000000000000000000000000000000000000000 WIMG:0
68944.00ns INFO [00008619] WB Slave: WR @00010028 sel=F dat=60000000
68944.00ns INFO [00008619] Mem Update: @00010028 XXXXXXXX->60000000
68976.00ns INFO [00008623] T0 STORE 0001002C tag=00 len=4 be=000F0000 data=6000000060000000000000006000000000000000000000000000000000000000 WIMG:0
68976.00ns INFO [00008623] C0: CP 0:0011AC 00000000000011AC
68984.00ns INFO [00008624] C0: GPR Update: R04=0000000048000000
68992.00ns INFO [00008625] C0: CP 0:0011B0 00000000000011B0
69000.00ns INFO [00008626] C0: GPR Update: R04=0000000048000006
69008.00ns INFO [00008627] WB Slave: WR @0001002C sel=F dat=60000000
69008.00ns INFO [00008627] Mem Update: @0001002C XXXXXXXX->60000000
69016.00ns INFO [00008628] C0: CP 0:0011B4 00000000000011B4
69040.00ns INFO [00008631] T0 STORE 00010030 tag=00 len=4 be=F0000000 data=6000000060000000600000000000000000000000000000000000000000000000 WIMG:0
69072.00ns INFO [00008635] WB Slave: WR @00010030 sel=F dat=60000000
69072.00ns INFO [00008635] Mem Update: @00010030 XXXXXXXX->60000000
69104.00ns INFO [00008639] T0 STORE 00010030 tag=00 len=4 be=F0000000 data=4800000660000000600000000000000000000000000000000000000000000000 WIMG:0
69136.00ns INFO [00008643] WB Slave: WR @00010030 sel=F dat=48000006
69136.00ns INFO [00008643] Mem Update: @00010030 60000000->48000006
69160.00ns INFO [00008646] T0 IFETCH 00001200 tag=08 len=6 WIMG:0
69176.00ns INFO [00008648] WB Slave: RD @00001200=80A30014
69192.00ns INFO [00008650] WB Slave: RD @00001204=80C30018
69208.00ns INFO [00008652] WB Slave: RD @00001208=80E3001C
69224.00ns INFO [00008654] WB Slave: RD @0000120C=81030020
69240.00ns INFO [00008656] WB Slave: RD @00001210=81230024
69256.00ns INFO [00008658] WB Slave: RD @00001214=81430028
69272.00ns INFO [00008660] WB Slave: RD @00001218=8163002C
69288.00ns INFO [00008662] WB Slave: RD @0000121C=81830030
69304.00ns INFO [00008664] WB Slave: RD @00001220=81A30034
69320.00ns INFO [00008666] WB Slave: RD @00001224=81C30038
69336.00ns INFO [00008668] WB Slave: RD @00001228=81E3003C
69352.00ns INFO [00008670] WB Slave: RD @0000122C=82030040
69368.00ns INFO [00008672] WB Slave: RD @00001230=82230044
69384.00ns INFO [00008674] WB Slave: RD @00001234=82430048
69400.00ns INFO [00008676] WB Slave: RD @00001238=8263004C
69416.00ns INFO [00008678] WB Slave: RD @0000123C=82830050
69576.00ns INFO [00008698] T0 LOAD 000010F4 tag=00 len=4 WIMG:0
69592.00ns INFO [00008700] ...tick...
69592.00ns INFO [00008700] WB Slave: RD @000010C0=FFFFFFFF
69608.00ns INFO [00008702] WB Slave: RD @000010C4=AF224C19
69624.00ns INFO [00008704] WB Slave: RD @000010C8=FFFFFFFF
69640.00ns INFO [00008706] WB Slave: RD @000010CC=FFFFFFFF
69656.00ns INFO [00008708] WB Slave: RD @000010D0=D624B27A
69672.00ns INFO [00008710] WB Slave: RD @000010D4=FFFFFFFF
69688.00ns INFO [00008712] WB Slave: RD @000010D8=FFFFFFFF
69704.00ns INFO [00008714] WB Slave: RD @000010DC=FFFFFFFF
69720.00ns INFO [00008716] WB Slave: RD @000010E0=DBFD3628
69736.00ns INFO [00008718] WB Slave: RD @000010E4=89F0006E
69752.00ns INFO [00008720] WB Slave: RD @000010E8=FFFFFFFF
69768.00ns INFO [00008722] WB Slave: RD @000010EC=FFFFFFFF
69784.00ns INFO [00008724] WB Slave: RD @000010F0=FFFFFFFF
69800.00ns INFO [00008726] WB Slave: RD @000010F4=00001104
69816.00ns INFO [00008728] WB Slave: RD @000010F8=00010000
69832.00ns INFO [00008730] WB Slave: RD @000010FC=0001FFB8
69944.00ns INFO [00008744] C0: CP 0:0011B8 00000000000011B8
69952.00ns INFO [00008745] C0: GPR Update: R01=0000000000001104
69992.00ns INFO [00008750] T0 IFETCH 00001240 tag=09 len=6 WIMG:0
70008.00ns INFO [00008752] WB Slave: RD @00001240=82A30054
70024.00ns INFO [00008754] WB Slave: RD @00001244=82C30058
70040.00ns INFO [00008756] WB Slave: RD @00001248=82E3005C
70056.00ns INFO [00008758] WB Slave: RD @0000124C=83030060
70056.00ns INFO [00008758] C0: CP 0:0011BC 1:0011C0 00000000000011BC
70064.00ns INFO [00008759] C0: GPR Update: R01=0000000000010000
70072.00ns INFO [00008760] WB Slave: RD @00001250=83230064
70088.00ns INFO [00008762] WB Slave: RD @00001254=83430068
70104.00ns INFO [00008764] WB Slave: RD @00001258=8363006C
70120.00ns INFO [00008766] WB Slave: RD @0000125C=83830070
70136.00ns INFO [00008768] WB Slave: RD @00001260=83A30074
70152.00ns INFO [00008770] WB Slave: RD @00001264=83C30078
70168.00ns INFO [00008772] WB Slave: RD @00001268=83E3007C
70168.00ns INFO [00008772] C0: CP 0:0011C4 1:0011C8 00000000000011C4
70176.00ns INFO [00008773] C0: CP 0:0011CC 1:000000 00000000000011CC
70176.00ns INFO [00008773] C0: GPR Update: R01=00000000DBFD3628
70184.00ns INFO [00008774] WB Slave: RD @0000126C=8063000C
70184.00ns INFO [00008774] C0: CP 0:000000 1:000000 00000000000011CC
70184.00ns INFO [00008774] C0: CR Update: F0=D
70192.00ns INFO [00008775] C0: CP 0:000000 1:000000 00000000000011CC
70192.00ns INFO [00008775] C0: CR Update: F1=B
70192.00ns INFO [00008775] C0: CR Update: F2=F
70200.00ns INFO [00008776] WB Slave: RD @00001270=4C000064
70200.00ns INFO [00008776] C0: CP 0:000000 1:000000 00000000000011CC
70200.00ns INFO [00008776] C0: CR Update: F3=D
70200.00ns INFO [00008776] C0: CR Update: F4=3
70208.00ns INFO [00008777] C0: CP 0:000000 1:0011D0 00000000000011CC
70208.00ns INFO [00008777] C0: CR Update: F5=6
70208.00ns INFO [00008777] C0: CR Update: F6=2
70216.00ns INFO [00008778] WB Slave: RD @00001274=4800000C
70216.00ns INFO [00008778] C0: CP 0:0011D4 1:0011D8 00000000000011D4
70216.00ns INFO [00008778] C0: GPR Update: R01=0000000089F0006E
70216.00ns INFO [00008778] C0: CR Update: F7=8
70224.00ns INFO [00008779] C0: CP 0:0011DC 00000000000011DC
70224.00ns INFO [00008779] C0: GPR Update: R01=00000000FFFFFFFF
70224.00ns INFO [00008779] C0: XER Update: SO/OV/CA=100 LEN=6E
70232.00ns INFO [00008780] WB Slave: RD @00001278=60000000
70232.00ns INFO [00008780] C0: CTR Update:00000000FFFFFFFF
70248.00ns INFO [00008782] WB Slave: RD @0000127C=60000000
70296.00ns INFO [00008788] C0: CP 0:0011E0 00000000000011E0
70304.00ns INFO [00008789] C0: CP 0:0011E4 1:0011E8 00000000000011E4
70304.00ns INFO [00008789] C0: GPR Update: R01=00000000FFFFFFFF
70312.00ns INFO [00008790] C0: CP 0:0011EC 00000000000011EC
70312.00ns INFO [00008790] C0: GPR Update: R01=00000000FFFFFFFF
70312.00ns INFO [00008790] C0: LR Update:00000000FFFFFFFF
70392.00ns INFO [00008800] ...tick...
70408.00ns INFO [00008802] T0 LOAD 00001060 tag=00 len=4 WIMG:0
70424.00ns INFO [00008804] WB Slave: RD @00001040=696E666F
70440.00ns INFO [00008806] WB Slave: RD @00001044=20746578
70456.00ns INFO [00008808] WB Slave: RD @00001048=74006865
70472.00ns INFO [00008810] WB Slave: RD @0000104C=61646572
70488.00ns INFO [00008812] WB Slave: RD @00001050=20746578
70504.00ns INFO [00008814] WB Slave: RD @00001054=74000000
70520.00ns INFO [00008816] WB Slave: RD @00001058=00000000
70536.00ns INFO [00008818] WB Slave: RD @0000105C=00000000
70552.00ns INFO [00008820] WB Slave: RD @00001060=00000000
70568.00ns INFO [00008822] WB Slave: RD @00001064=5822C905
70584.00ns INFO [00008824] WB Slave: RD @00001068=FFFFFFFF
70600.00ns INFO [00008826] WB Slave: RD @0000106C=91B6D1A3
70616.00ns INFO [00008828] WB Slave: RD @00001070=FFFFFFFF
70632.00ns INFO [00008830] WB Slave: RD @00001074=FFFFFFFF
70648.00ns INFO [00008832] WB Slave: RD @00001078=FFFFFFFF
70664.00ns INFO [00008834] WB Slave: RD @0000107C=FFFFFFFF
70768.00ns INFO [00008847] C0: CP 0:0011F0 00000000000011F0
70776.00ns INFO [00008848] C0: GPR Update: R00=0000000000000000
70824.00ns INFO [00008854] T0 IFETCH 00001280 tag=08 len=6 WIMG:0
70840.00ns INFO [00008856] WB Slave: RD @00001280=7C2FCBA6
70848.00ns INFO [00008857] C0: CP 0:0011F4 00000000000011F4
70856.00ns INFO [00008858] WB Slave: RD @00001284=3C200000
70856.00ns INFO [00008858] C0: CP 0:0011F8 00000000000011F8
70856.00ns INFO [00008858] C0: GPR Update: R01=000000005822C905
70864.00ns INFO [00008859] C0: CP 0:0011FC 00000000000011FC
70864.00ns INFO [00008859] C0: GPR Update: R02=00000000FFFFFFFF
70872.00ns INFO [00008860] WB Slave: RD @00001288=60211360
70872.00ns INFO [00008860] C0: CP 0:001200 0000000000001200
70872.00ns INFO [00008860] C0: GPR Update: R04=00000000FFFFFFFF
70880.00ns INFO [00008861] C0: CP 0:001204 0000000000001204
70880.00ns INFO [00008861] C0: GPR Update: R05=00000000FFFFFFFF
70888.00ns INFO [00008862] WB Slave: RD @0000128C=90010000
70888.00ns INFO [00008862] C0: CP 0:001208 0000000000001208
70888.00ns INFO [00008862] C0: GPR Update: R06=00000000FFFFFFFF
70896.00ns INFO [00008863] C0: GPR Update: R07=00000000FFFFFFFF
70904.00ns INFO [00008864] WB Slave: RD @00001290=90410008
70920.00ns INFO [00008866] WB Slave: RD @00001294=9061000C
70936.00ns INFO [00008868] WB Slave: RD @00001298=90810010
70952.00ns INFO [00008870] WB Slave: RD @0000129C=90A10014
70968.00ns INFO [00008872] WB Slave: RD @000012A0=90C10018
70984.00ns INFO [00008874] WB Slave: RD @000012A4=90E1001C
71000.00ns INFO [00008876] WB Slave: RD @000012A8=91010020
71016.00ns INFO [00008878] WB Slave: RD @000012AC=91210024
71032.00ns INFO [00008880] WB Slave: RD @000012B0=91410028
71048.00ns INFO [00008882] WB Slave: RD @000012B4=9161002C
71064.00ns INFO [00008884] WB Slave: RD @000012B8=91810030
71080.00ns INFO [00008886] WB Slave: RD @000012BC=91A10034
71192.00ns INFO [00008900] ...tick...
71240.00ns INFO [00008906] T0 LOAD 00001080 tag=01 len=4 WIMG:0
71256.00ns INFO [00008908] WB Slave: RD @00001080=FFFFFFFF
71272.00ns INFO [00008910] WB Slave: RD @00001084=7E11EE88
71288.00ns INFO [00008912] WB Slave: RD @00001088=FFFFFFFF
71304.00ns INFO [00008914] WB Slave: RD @0000108C=7FFFFFFF
71320.00ns INFO [00008916] WB Slave: RD @00001090=FFFFFFFF
71336.00ns INFO [00008918] WB Slave: RD @00001094=FFFFFFFF
71352.00ns INFO [00008920] WB Slave: RD @00001098=8C20BDE6
71368.00ns INFO [00008922] WB Slave: RD @0000109C=FFFFFFFF
71384.00ns INFO [00008924] WB Slave: RD @000010A0=76D0DADF
71400.00ns INFO [00008926] WB Slave: RD @000010A4=15111F42
71416.00ns INFO [00008928] WB Slave: RD @000010A8=FFFFFFFF
71432.00ns INFO [00008930] WB Slave: RD @000010AC=36108E50
71448.00ns INFO [00008932] WB Slave: RD @000010B0=FFFFFFFF
71464.00ns INFO [00008934] WB Slave: RD @000010B4=FFFFFFFF
71480.00ns INFO [00008936] WB Slave: RD @000010B8=328A0CED
71496.00ns INFO [00008938] WB Slave: RD @000010BC=FFFFFFFF
71584.00ns INFO [00008949] C0: CP 0:00120C 000000000000120C
71592.00ns INFO [00008950] C0: GPR Update: R08=00000000FFFFFFFF
71656.00ns INFO [00008958] T0 IFETCH 000012C0 tag=09 len=6 WIMG:0
71672.00ns INFO [00008960] WB Slave: RD @000012C0=91C10038
71680.00ns INFO [00008961] C0: CP 0:001210 0000000000001210
71688.00ns INFO [00008962] WB Slave: RD @000012C4=91E1003C
71688.00ns INFO [00008962] C0: CP 0:001214 0000000000001214
71688.00ns INFO [00008962] C0: GPR Update: R09=000000007E11EE88
71696.00ns INFO [00008963] C0: CP 0:001218 0000000000001218
71696.00ns INFO [00008963] C0: GPR Update: R10=00000000FFFFFFFF
71704.00ns INFO [00008964] WB Slave: RD @000012C8=92010040
71704.00ns INFO [00008964] C0: CP 0:00121C 000000000000121C
71704.00ns INFO [00008964] C0: GPR Update: R11=000000007FFFFFFF
71712.00ns INFO [00008965] C0: CP 0:001220 0000000000001220
71712.00ns INFO [00008965] C0: GPR Update: R12=00000000FFFFFFFF
71720.00ns INFO [00008966] WB Slave: RD @000012CC=92210044
71720.00ns INFO [00008966] C0: CP 0:001224 0000000000001224
71720.00ns INFO [00008966] C0: GPR Update: R13=00000000FFFFFFFF
71728.00ns INFO [00008967] C0: CP 0:001228 0000000000001228
71728.00ns INFO [00008967] C0: GPR Update: R14=000000008C20BDE6
71736.00ns INFO [00008968] WB Slave: RD @000012D0=92410048
71736.00ns INFO [00008968] C0: CP 0:00122C 000000000000122C
71736.00ns INFO [00008968] C0: GPR Update: R15=00000000FFFFFFFF
71744.00ns INFO [00008969] C0: CP 0:001230 0000000000001230
71744.00ns INFO [00008969] C0: GPR Update: R16=0000000076D0DADF
71752.00ns INFO [00008970] WB Slave: RD @000012D4=9261004C
71752.00ns INFO [00008970] C0: CP 0:001234 0000000000001234
71752.00ns INFO [00008970] C0: GPR Update: R17=0000000015111F42
71760.00ns INFO [00008971] C0: CP 0:001238 0000000000001238
71760.00ns INFO [00008971] C0: GPR Update: R18=00000000FFFFFFFF
71768.00ns INFO [00008972] WB Slave: RD @000012D8=92810050
71768.00ns INFO [00008972] C0: CP 0:00123C 000000000000123C
71768.00ns INFO [00008972] C0: GPR Update: R19=0000000036108E50
71776.00ns INFO [00008973] C0: CP 0:001240 0000000000001240
71776.00ns INFO [00008973] C0: GPR Update: R20=00000000FFFFFFFF
71784.00ns INFO [00008974] WB Slave: RD @000012DC=92A10054
71784.00ns INFO [00008974] C0: CP 0:001244 0000000000001244
71784.00ns INFO [00008974] C0: GPR Update: R21=00000000FFFFFFFF
71792.00ns INFO [00008975] C0: CP 0:001248 0000000000001248
71792.00ns INFO [00008975] C0: GPR Update: R22=00000000328A0CED
71800.00ns INFO [00008976] WB Slave: RD @000012E0=92C10058
71800.00ns INFO [00008976] C0: CP 0:00124C 000000000000124C
71800.00ns INFO [00008976] C0: GPR Update: R23=00000000FFFFFFFF
71808.00ns INFO [00008977] C0: GPR Update: R24=00000000FFFFFFFF
71816.00ns INFO [00008978] WB Slave: RD @000012E4=92E1005C
71816.00ns INFO [00008978] C0: CP 0:001250 0000000000001250
71824.00ns INFO [00008979] C0: CP 0:001254 0000000000001254
71824.00ns INFO [00008979] C0: GPR Update: R25=00000000AF224C19
71832.00ns INFO [00008980] WB Slave: RD @000012E8=93010060
71832.00ns INFO [00008980] C0: CP 0:001258 0000000000001258
71832.00ns INFO [00008980] C0: GPR Update: R26=00000000FFFFFFFF
71840.00ns INFO [00008981] C0: CP 0:00125C 000000000000125C
71840.00ns INFO [00008981] C0: GPR Update: R27=00000000FFFFFFFF
71848.00ns INFO [00008982] WB Slave: RD @000012EC=93210064
71848.00ns INFO [00008982] C0: CP 0:001260 0000000000001260
71848.00ns INFO [00008982] C0: GPR Update: R28=00000000D624B27A
71856.00ns INFO [00008983] C0: CP 0:001264 0000000000001264
71856.00ns INFO [00008983] C0: GPR Update: R29=00000000FFFFFFFF
71864.00ns INFO [00008984] WB Slave: RD @000012F0=93410068
71864.00ns INFO [00008984] C0: CP 0:001268 0000000000001268
71864.00ns INFO [00008984] C0: GPR Update: R30=00000000FFFFFFFF
71872.00ns INFO [00008985] C0: CP 0:00126C 1:001270 000000000000126C
71872.00ns INFO [00008985] C0: GPR Update: R31=00000000FFFFFFFF
71880.00ns INFO [00008986] WB Slave: RD @000012F4=9361006C
71880.00ns INFO [00008986] C0: GPR Update: R03=0000000091B6D1A3
71896.00ns INFO [00008988] WB Slave: RD @000012F8=93810070
71912.00ns INFO [00008990] WB Slave: RD @000012FC=93A10074
71992.00ns INFO [00009000] ...tick...
72072.00ns INFO [00009010] T0 IFETCH 00010000 tag=08 len=6 WIMG:0
72088.00ns INFO [00009012] WB Slave: RD @00010000=7C61CC14
72104.00ns INFO [00009014] WB Slave: RD @00010004=7D230595
72120.00ns INFO [00009016] WB Slave: RD @00010008=7AC37392
72136.00ns INFO [00009018] WB Slave: RD @0001000C=7E094C11
72152.00ns INFO [00009020] WB Slave: RD @00010010=7E1CB115
72168.00ns INFO [00009022] WB Slave: RD @00010014=7A338886
72184.00ns INFO [00009024] WB Slave: RD @00010018=7C6004D1
72200.00ns INFO [00009026] WB Slave: RD @0001001C=7E09B038
72216.00ns INFO [00009028] WB Slave: RD @00010020=7C360591
72232.00ns INFO [00009030] WB Slave: RD @00010024=7E2B00D1
72248.00ns INFO [00009032] WB Slave: RD @00010028=60000000
72264.00ns INFO [00009034] WB Slave: RD @0001002C=60000000
72280.00ns INFO [00009036] WB Slave: RD @00010030=48000006
72296.00ns INFO [00009038] WB Slave: RD @00010034=00000000
72312.00ns INFO [00009040] WB Slave: RD @00010038=00000000
72328.00ns INFO [00009042] WB Slave: RD @0001003C=00000000
72480.00ns INFO [00009061] C0: CP 0:010000 0000000000010000
72488.00ns INFO [00009062] T0 IFETCH 00010040 tag=09 len=6 WIMG:0
72488.00ns INFO [00009062] C0: GPR Update: R03=000000010745151E
72488.00ns INFO [00009062] C0: XER Update: SO/OV/CA=101 LEN=6E
72504.00ns INFO [00009064] WB Slave: RD @00010040=00000000
72520.00ns INFO [00009066] WB Slave: RD @00010044=00000000
72536.00ns INFO [00009068] WB Slave: RD @00010048=00000000
72552.00ns INFO [00009070] WB Slave: RD @0001004C=00000000
72568.00ns INFO [00009072] WB Slave: RD @00010050=00000000
72584.00ns INFO [00009074] WB Slave: RD @00010054=00000000
72600.00ns INFO [00009076] WB Slave: RD @00010058=00000000
72616.00ns INFO [00009078] WB Slave: RD @0001005C=00000000
72632.00ns INFO [00009080] WB Slave: RD @00010060=00000000
72632.00ns INFO [00009080] C0: CP 0:010004 0000000000010004
72640.00ns INFO [00009081] C0: GPR Update: R09=000000010745151F
72640.00ns INFO [00009081] C0: CR Update: F0=5
72640.00ns INFO [00009081] C0: XER Update: SO/OV/CA=100 LEN=6E
72648.00ns INFO [00009082] WB Slave: RD @00010064=00000000
72664.00ns INFO [00009084] WB Slave: RD @00010068=00000000
72680.00ns INFO [00009086] WB Slave: RD @0001006C=00000000
72696.00ns INFO [00009088] WB Slave: RD @00010070=00000000
72712.00ns INFO [00009090] WB Slave: RD @00010074=00000000
72728.00ns INFO [00009092] WB Slave: RD @00010078=00000000
72744.00ns INFO [00009094] WB Slave: RD @0001007C=00000000
72784.00ns INFO [00009099] C0: CP 0:010008 0000000000010008
72792.00ns INFO [00009100] ...tick...
72792.00ns INFO [00009100] C0: GPR Update: R03=A282000000000000
72904.00ns INFO [00009114] T0 IFETCH 00001020 tag=08 len=6 WIMG:0
72920.00ns INFO [00009116] WB Slave: RD @00001000=28090023
72936.00ns INFO [00009118] WB Slave: RD @00001004=4081FF70
72936.00ns INFO [00009118] C0: CP 0:01000C 000000000001000C
72944.00ns INFO [00009119] C0: GPR Update: R16=0000000000000000
72944.00ns INFO [00009119] C0: CR Update: F0=3
72944.00ns INFO [00009119] C0: XER Update: SO/OV/CA=101 LEN=6E
72952.00ns INFO [00009120] WB Slave: RD @00001008=4BFFF7E9
72968.00ns INFO [00009122] WB Slave: RD @0000100C=48000000
72984.00ns INFO [00009124] WB Slave: RD @00001010=9421FFF0
73000.00ns INFO [00009126] WB Slave: RD @00001014=90610008
73016.00ns INFO [00009128] WB Slave: RD @00001018=9081000C
73032.00ns INFO [00009130] WB Slave: RD @0000101C=48000258
73048.00ns INFO [00009132] WB Slave: RD @00001020=60000000
73064.00ns INFO [00009134] WB Slave: RD @00001024=38210010
73080.00ns INFO [00009136] WB Slave: RD @00001028=4E800020
73088.00ns INFO [00009137] C0: CP 0:010010 0000000000010010
73096.00ns INFO [00009138] WB Slave: RD @0000102C=48000000
73096.00ns INFO [00009138] C0: GPR Update: R16=0000000108AEBF68
73096.00ns INFO [00009138] C0: CR Update: F0=5
73096.00ns INFO [00009138] C0: XER Update: SO/OV/CA=101 LEN=6E
73112.00ns INFO [00009140] WB Slave: RD @00001030=0001C000
73128.00ns INFO [00009142] WB Slave: RD @00001034=00000000
73144.00ns INFO [00009144] WB Slave: RD @00001038=00000000
73160.00ns INFO [00009146] WB Slave: RD @0000103C=00000000
73240.00ns INFO [00009156] C0: CP 0:010014 0000000000010014
73248.00ns INFO [00009157] C0: GPR Update: R19=2000000000000000
73320.00ns INFO [00009166] T0 IFETCH 00001020 tag=08 len=6 WIMG:0
73336.00ns INFO [00009168] WB Slave: RD @00001000=28090023
73352.00ns INFO [00009170] WB Slave: RD @00001004=4081FF70
73368.00ns INFO [00009172] WB Slave: RD @00001008=4BFFF7E9
73384.00ns INFO [00009174] WB Slave: RD @0000100C=48000000
73392.00ns INFO [00009175] C0: CP 0:010018 0000000000010018
73400.00ns INFO [00009176] WB Slave: RD @00001010=9421FFF0
73400.00ns INFO [00009176] C0: GPR Update: R03=0000000000000000
73400.00ns INFO [00009176] C0: CR Update: F0=3
73400.00ns INFO [00009176] C0: XER Update: SO/OV/CA=101 LEN=6E
73416.00ns INFO [00009178] WB Slave: RD @00001014=90610008
73432.00ns INFO [00009180] WB Slave: RD @00001018=9081000C
73448.00ns INFO [00009182] WB Slave: RD @0000101C=48000258
73464.00ns INFO [00009184] WB Slave: RD @00001020=60000000
73480.00ns INFO [00009186] WB Slave: RD @00001024=38210010
73496.00ns INFO [00009188] WB Slave: RD @00001028=4E800020
73512.00ns INFO [00009190] WB Slave: RD @0000102C=48000000
73528.00ns INFO [00009192] WB Slave: RD @00001030=0001C000
73544.00ns INFO [00009194] WB Slave: RD @00001034=00000000
73544.00ns INFO [00009194] C0: CP 0:01001C 000000000001001C
73552.00ns INFO [00009195] C0: GPR Update: R09=00000000008A0C68
73560.00ns INFO [00009196] WB Slave: RD @00001038=00000000
73576.00ns INFO [00009198] WB Slave: RD @0000103C=00000000
73592.00ns INFO [00009200] ...tick...
73696.00ns INFO [00009213] C0: CP 0:010020 0000000000010020
73704.00ns INFO [00009214] C0: GPR Update: R01=FFFFFFFFCD75F313
73704.00ns INFO [00009214] C0: CR Update: F0=9
73704.00ns INFO [00009214] C0: XER Update: SO/OV/CA=100 LEN=6E
73736.00ns INFO [00009218] T0 IFETCH 00001020 tag=08 len=6 WIMG:0
73752.00ns INFO [00009220] WB Slave: RD @00001000=28090023
73768.00ns INFO [00009222] WB Slave: RD @00001004=4081FF70
73784.00ns INFO [00009224] WB Slave: RD @00001008=4BFFF7E9
73800.00ns INFO [00009226] WB Slave: RD @0000100C=48000000
73816.00ns INFO [00009228] WB Slave: RD @00001010=9421FFF0
73832.00ns INFO [00009230] WB Slave: RD @00001014=90610008
73848.00ns INFO [00009232] WB Slave: RD @00001018=9081000C
73848.00ns INFO [00009232] C0: CP 0:010024 0000000000010024
73856.00ns INFO [00009233] C0: GPR Update: R17=FFFFFFFF80000001
73856.00ns INFO [00009233] C0: CR Update: F0=9
73864.00ns INFO [00009234] WB Slave: RD @0000101C=48000258
73880.00ns INFO [00009236] WB Slave: RD @00001020=60000000
73896.00ns INFO [00009238] WB Slave: RD @00001024=38210010
73912.00ns INFO [00009240] WB Slave: RD @00001028=4E800020
73928.00ns INFO [00009242] WB Slave: RD @0000102C=48000000
73944.00ns INFO [00009244] WB Slave: RD @00001030=0001C000
73960.00ns INFO [00009246] WB Slave: RD @00001034=00000000
73976.00ns INFO [00009248] WB Slave: RD @00001038=00000000
73992.00ns INFO [00009250] WB Slave: RD @0000103C=00000000
74000.00ns INFO [00009251] C0: CP 0:010028 0000000000010028
74152.00ns INFO [00009270] C0: CP 0:01002C 000000000001002C
74184.00ns INFO [00009274] T0 IFETCH 00001020 tag=08 len=6 WIMG:0
74200.00ns INFO [00009276] WB Slave: RD @00001000=28090023
74216.00ns INFO [00009278] WB Slave: RD @00001004=4081FF70
74232.00ns INFO [00009280] WB Slave: RD @00001008=4BFFF7E9
74248.00ns INFO [00009282] WB Slave: RD @0000100C=48000000
74264.00ns INFO [00009284] WB Slave: RD @00001010=9421FFF0
74280.00ns INFO [00009286] WB Slave: RD @00001014=90610008
74296.00ns INFO [00009288] WB Slave: RD @00001018=9081000C
74296.00ns INFO [00009288] C0: CP 0:010030 0000000000010030
74312.00ns INFO [00009290] WB Slave: RD @0000101C=48000258
74328.00ns INFO [00009292] WB Slave: RD @00001020=60000000
74344.00ns INFO [00009294] WB Slave: RD @00001024=38210010
74360.00ns INFO [00009296] WB Slave: RD @00001028=4E800020
74376.00ns INFO [00009298] WB Slave: RD @0000102C=48000000
74392.00ns INFO [00009300] ...tick...
74392.00ns INFO [00009300] WB Slave: RD @00001030=0001C000
74400.00ns INFO [00009301] C0: CP 0:000004 0000000000000004
74408.00ns INFO [00009302] WB Slave: RD @00001034=00000000
74424.00ns INFO [00009304] WB Slave: RD @00001038=00000000
74440.00ns INFO [00009306] WB Slave: RD @0000103C=00000000
74600.00ns INFO [00009326] T0 IFETCH 00000120 tag=09 len=6 WIMG:0
74616.00ns INFO [00009328] WB Slave: RD @00000100=48000000
74632.00ns INFO [00009330] WB Slave: RD @00000104=00000000
74648.00ns INFO [00009332] WB Slave: RD @00000108=00000000
74664.00ns INFO [00009334] WB Slave: RD @0000010C=00000000
74680.00ns INFO [00009336] WB Slave: RD @00000110=00000000
74696.00ns INFO [00009338] WB Slave: RD @00000114=00000000
74712.00ns INFO [00009340] WB Slave: RD @00000118=00000000
74728.00ns INFO [00009342] WB Slave: RD @0000011C=00000000
74744.00ns INFO [00009344] WB Slave: RD @00000120=48001154
74760.00ns INFO [00009346] WB Slave: RD @00000124=00000000
74776.00ns INFO [00009348] WB Slave: RD @00000128=00000000
74792.00ns INFO [00009350] WB Slave: RD @0000012C=00000000
74808.00ns INFO [00009352] WB Slave: RD @00000130=00000000
74824.00ns INFO [00009354] WB Slave: RD @00000134=00000000
74840.00ns INFO [00009356] WB Slave: RD @00000138=00000000
74856.00ns INFO [00009358] WB Slave: RD @0000013C=00000000
75016.00ns INFO [00009378] C0: CP 0:000120 0000000000000120
75160.00ns INFO [00009396] C0: CP 0:001274 0000000000001274
75176.00ns INFO [00009398] T0 IFETCH 000012C0 tag=08 len=6 WIMG:0
75192.00ns INFO [00009400] ...tick...
75192.00ns INFO [00009400] WB Slave: RD @000012C0=91C10038
75208.00ns INFO [00009402] WB Slave: RD @000012C4=91E1003C
75208.00ns INFO [00009402] C0: CP 0:001280 1:001284 0000000000001280
75216.00ns INFO [00009403] C0: CP 0:001288 0000000000001288
75216.00ns INFO [00009403] C0: GPR Update: R01=0000000000000000
75224.00ns INFO [00009404] WB Slave: RD @000012C8=92010040
75224.00ns INFO [00009404] C0: GPR Update: R01=0000000000001360
75240.00ns INFO [00009406] WB Slave: RD @000012CC=92210044
75256.00ns INFO [00009408] WB Slave: RD @000012D0=92410048
75272.00ns INFO [00009410] WB Slave: RD @000012D4=9261004C
75272.00ns INFO [00009410] C0: CP 0:00128C 000000000000128C
75280.00ns INFO [00009411] C0: CP 0:001290 0000000000001290
75288.00ns INFO [00009412] WB Slave: RD @000012D8=92810050
75288.00ns INFO [00009412] C0: CP 0:001294 0000000000001294
75296.00ns INFO [00009413] C0: CP 0:001298 0000000000001298
75304.00ns INFO [00009414] WB Slave: RD @000012DC=92A10054
75304.00ns INFO [00009414] C0: CP 0:00129C 000000000000129C
75312.00ns INFO [00009415] C0: CP 0:0012A0 00000000000012A0
75320.00ns INFO [00009416] WB Slave: RD @000012E0=92C10058
75320.00ns INFO [00009416] C0: CP 0:0012A4 00000000000012A4
75328.00ns INFO [00009417] C0: CP 0:0012A8 00000000000012A8
75336.00ns INFO [00009418] WB Slave: RD @000012E4=92E1005C
75336.00ns INFO [00009418] C0: CP 0:0012AC 00000000000012AC
75344.00ns INFO [00009419] C0: CP 0:0012B0 00000000000012B0
75352.00ns INFO [00009420] WB Slave: RD @000012E8=93010060
75352.00ns INFO [00009420] C0: CP 0:0012B4 00000000000012B4
75368.00ns INFO [00009422] WB Slave: RD @000012EC=93210064
75384.00ns INFO [00009424] WB Slave: RD @000012F0=93410068
75400.00ns INFO [00009426] WB Slave: RD @000012F4=9361006C
75416.00ns INFO [00009428] WB Slave: RD @000012F8=93810070
75432.00ns INFO [00009430] WB Slave: RD @000012FC=93A10074
75528.00ns INFO [00009442] T0 STORE 00001360 tag=00 len=4 be=F0000000 data=00000000934100689361006C0000000000000000000000000000000000000000 WIMG:0
75560.00ns INFO [00009446] WB Slave: WR @00001360 sel=F dat=00000000
75560.00ns INFO [00009446] Mem Update: @00001360 FFFFFFFF->00000000
75592.00ns INFO [00009450] T0 STORE 00001368 tag=00 len=4 be=00F00000 data=9361006C00000000FFFFFFFF9341006800000000000000000000000000000000 WIMG:0
75624.00ns INFO [00009454] WB Slave: WR @00001368 sel=F dat=FFFFFFFF
75624.00ns INFO [00009454] Mem Update: @00001368 FFFFFFFF->FFFFFFFF
75632.00ns INFO [00009455] C0: CP 0:0012B8 00000000000012B8
75640.00ns INFO [00009456] C0: CP 0:0012BC 00000000000012BC
75656.00ns INFO [00009458] T0 STORE 0000136C tag=00 len=4 be=000F0000 data=934100689361006C000000000000000000000000000000000000000000000000 WIMG:0
75688.00ns INFO [00009462] WB Slave: WR @0000136C sel=F dat=00000000
75688.00ns INFO [00009462] Mem Update: @0000136C FFFFFFFF->00000000
75720.00ns INFO [00009466] T0 STORE 00001370 tag=00 len=4 be=F0000000 data=FFFFFFFF934100689361006C0000000000000000000000000000000000000000 WIMG:0
75752.00ns INFO [00009470] WB Slave: WR @00001370 sel=F dat=FFFFFFFF
75752.00ns INFO [00009470] Mem Update: @00001370 FFFFFFFF->FFFFFFFF
75760.00ns INFO [00009471] C0: CP 0:0012C0 00000000000012C0
75768.00ns INFO [00009472] C0: CP 0:0012C4 00000000000012C4
75784.00ns INFO [00009474] T0 STORE 00001374 tag=00 len=4 be=0F000000 data=00000000FFFFFFFF934100689361006C00000000000000000000000000000000 WIMG:0
75816.00ns INFO [00009478] WB Slave: WR @00001374 sel=F dat=FFFFFFFF
75816.00ns INFO [00009478] Mem Update: @00001374 FFFFFFFF->FFFFFFFF
75848.00ns INFO [00009482] T0 STORE 00001378 tag=00 len=4 be=00F00000 data=9361006C00000000FFFFFFFF9341006800000000000000000000000000000000 WIMG:0
75880.00ns INFO [00009486] WB Slave: WR @00001378 sel=F dat=FFFFFFFF
75880.00ns INFO [00009486] Mem Update: @00001378 FFFFFFFF->FFFFFFFF
75888.00ns INFO [00009487] C0: CP 0:0012C8 00000000000012C8
75896.00ns INFO [00009488] C0: CP 0:0012CC 00000000000012CC
75912.00ns INFO [00009490] T0 STORE 0000137C tag=00 len=4 be=000F0000 data=934100689361006C00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
75944.00ns INFO [00009494] WB Slave: WR @0000137C sel=F dat=FFFFFFFF
75944.00ns INFO [00009494] Mem Update: @0000137C FFFFFFFF->FFFFFFFF
75976.00ns INFO [00009498] T0 STORE 00001380 tag=00 len=4 be=F0000000 data=FFFFFFFF934100689361006C0000000000000000000000000000000000000000 WIMG:0
75992.00ns INFO [00009500] ...tick...
76008.00ns INFO [00009502] WB Slave: WR @00001380 sel=F dat=FFFFFFFF
76008.00ns INFO [00009502] Mem Update: @00001380 FFFFFFFF->FFFFFFFF
76016.00ns INFO [00009503] C0: CP 0:0012D0 00000000000012D0
76024.00ns INFO [00009504] C0: CP 0:0012D4 00000000000012D4
76040.00ns INFO [00009506] T0 STORE 00001384 tag=00 len=4 be=0F000000 data=00000000008A0C68934100689361006C00000000000000000000000000000000 WIMG:0
76072.00ns INFO [00009510] WB Slave: WR @00001384 sel=F dat=008A0C68
76072.00ns INFO [00009510] Mem Update: @00001384 FFFFFFFF->008A0C68
76104.00ns INFO [00009514] T0 STORE 00001388 tag=00 len=4 be=00F00000 data=9361006C00000000FFFFFFFF9341006800000000000000000000000000000000 WIMG:0
76136.00ns INFO [00009518] WB Slave: WR @00001388 sel=F dat=FFFFFFFF
76136.00ns INFO [00009518] Mem Update: @00001388 FFFFFFFF->FFFFFFFF
76144.00ns INFO [00009519] C0: CP 0:0012D8 00000000000012D8
76152.00ns INFO [00009520] C0: CP 0:0012DC 00000000000012DC
76168.00ns INFO [00009522] T0 STORE 0000138C tag=00 len=4 be=000F0000 data=934100689361006C000000007FFFFFFF00000000000000000000000000000000 WIMG:0
76200.00ns INFO [00009526] WB Slave: WR @0000138C sel=F dat=7FFFFFFF
76200.00ns INFO [00009526] Mem Update: @0000138C FFFFFFFF->7FFFFFFF
76232.00ns INFO [00009530] T0 STORE 00001390 tag=00 len=4 be=F0000000 data=FFFFFFFF934100689361006C0000000000000000000000000000000000000000 WIMG:0
76264.00ns INFO [00009534] WB Slave: WR @00001390 sel=F dat=FFFFFFFF
76264.00ns INFO [00009534] Mem Update: @00001390 FFFFFFFF->FFFFFFFF
76272.00ns INFO [00009535] C0: CP 0:0012E0 00000000000012E0
76280.00ns INFO [00009536] C0: CP 0:0012E4 00000000000012E4
76296.00ns INFO [00009538] T0 STORE 00001394 tag=00 len=4 be=0F000000 data=00000000FFFFFFFF934100689361006C00000000000000000000000000000000 WIMG:0
76328.00ns INFO [00009542] WB Slave: WR @00001394 sel=F dat=FFFFFFFF
76328.00ns INFO [00009542] Mem Update: @00001394 FFFFFFFF->FFFFFFFF
76360.00ns INFO [00009546] T0 STORE 00001398 tag=00 len=4 be=00F00000 data=9361006C000000008C20BDE69341006800000000000000000000000000000000 WIMG:0
76392.00ns INFO [00009550] WB Slave: WR @00001398 sel=F dat=8C20BDE6
76392.00ns INFO [00009550] Mem Update: @00001398 FFFFFFFF->8C20BDE6
76400.00ns INFO [00009551] C0: CP 0:0012E8 00000000000012E8
76408.00ns INFO [00009552] C0: CP 0:0012EC 00000000000012EC
76424.00ns INFO [00009554] T0 STORE 0000139C tag=00 len=4 be=000F0000 data=934100689361006C00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
76456.00ns INFO [00009558] WB Slave: WR @0000139C sel=F dat=FFFFFFFF
76456.00ns INFO [00009558] Mem Update: @0000139C FFFFFFFF->FFFFFFFF
76488.00ns INFO [00009562] T0 STORE 000013A0 tag=00 len=4 be=F0000000 data=08AEBF68934100689361006C0000000100000000000000000000000000000000 WIMG:0
76520.00ns INFO [00009566] WB Slave: WR @000013A0 sel=F dat=08AEBF68
76520.00ns INFO [00009566] Mem Update: @000013A0 FFFFFFFF->08AEBF68
76528.00ns INFO [00009567] C0: CP 0:0012F0 00000000000012F0
76536.00ns INFO [00009568] C0: CP 0:0012F4 00000000000012F4
76552.00ns INFO [00009570] T0 STORE 000013A4 tag=00 len=4 be=0F000000 data=FFFFFFFF80000001934100689361006C00000000000000000000000000000000 WIMG:0
76584.00ns INFO [00009574] WB Slave: WR @000013A4 sel=F dat=80000001
76584.00ns INFO [00009574] Mem Update: @000013A4 FFFFFFFF->80000001
76616.00ns INFO [00009578] T0 STORE 000013A8 tag=00 len=4 be=00F00000 data=9361006C00000000FFFFFFFF9341006800000000000000000000000000000000 WIMG:0
76648.00ns INFO [00009582] WB Slave: WR @000013A8 sel=F dat=FFFFFFFF
76648.00ns INFO [00009582] Mem Update: @000013A8 FFFFFFFF->FFFFFFFF
76656.00ns INFO [00009583] C0: CP 0:0012F8 00000000000012F8
76664.00ns INFO [00009584] C0: CP 0:0012FC 00000000000012FC
76680.00ns INFO [00009586] T0 STORE 000013AC tag=00 len=4 be=000F0000 data=934100689361006C200000000000000000000000000000000000000000000000 WIMG:0
76712.00ns INFO [00009590] WB Slave: WR @000013AC sel=F dat=00000000
76712.00ns INFO [00009590] Mem Update: @000013AC FFFFFFFF->00000000
76744.00ns INFO [00009594] T0 STORE 000013B0 tag=00 len=4 be=F0000000 data=FFFFFFFF934100689361006C0000000000000000000000000000000000000000 WIMG:0
76776.00ns INFO [00009598] WB Slave: WR @000013B0 sel=F dat=FFFFFFFF
76776.00ns INFO [00009598] Mem Update: @000013B0 FFFFFFFF->FFFFFFFF
76792.00ns INFO [00009600] ...tick...
76808.00ns INFO [00009602] T0 STORE 000013B4 tag=00 len=4 be=0F000000 data=00000000FFFFFFFF934100689361006C00000000000000000000000000000000 WIMG:0
76840.00ns INFO [00009606] WB Slave: WR @000013B4 sel=F dat=FFFFFFFF
76840.00ns INFO [00009606] Mem Update: @000013B4 FFFFFFFF->FFFFFFFF
76872.00ns INFO [00009610] T0 STORE 000013B8 tag=00 len=4 be=00F00000 data=9361006C00000000328A0CED9341006800000000000000000000000000000000 WIMG:0
76904.00ns INFO [00009614] WB Slave: WR @000013B8 sel=F dat=328A0CED
76904.00ns INFO [00009614] Mem Update: @000013B8 FFFFFFFF->328A0CED
76936.00ns INFO [00009618] T0 STORE 000013BC tag=00 len=4 be=000F0000 data=934100689361006C00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
76968.00ns INFO [00009622] WB Slave: WR @000013BC sel=F dat=FFFFFFFF
76968.00ns INFO [00009622] Mem Update: @000013BC FFFFFFFF->FFFFFFFF
77000.00ns INFO [00009626] T0 STORE 000013C0 tag=00 len=4 be=F0000000 data=FFFFFFFF934100689361006C0000000000000000000000000000000000000000 WIMG:0
77032.00ns INFO [00009630] WB Slave: WR @000013C0 sel=F dat=FFFFFFFF
77032.00ns INFO [00009630] Mem Update: @000013C0 FFFFFFFF->FFFFFFFF
77064.00ns INFO [00009634] T0 STORE 000013C4 tag=00 len=4 be=0F000000 data=00000000AF224C19934100689361006C00000000000000000000000000000000 WIMG:0
77096.00ns INFO [00009638] WB Slave: WR @000013C4 sel=F dat=AF224C19
77096.00ns INFO [00009638] Mem Update: @000013C4 FFFFFFFF->AF224C19
77128.00ns INFO [00009642] T0 STORE 000013C8 tag=00 len=4 be=00F00000 data=9361006C00000000FFFFFFFF9341006800000000000000000000000000000000 WIMG:0
77160.00ns INFO [00009646] WB Slave: WR @000013C8 sel=F dat=FFFFFFFF
77160.00ns INFO [00009646] Mem Update: @000013C8 FFFFFFFF->FFFFFFFF
77192.00ns INFO [00009650] T0 STORE 000013CC tag=00 len=4 be=000F0000 data=934100689361006C00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
77224.00ns INFO [00009654] WB Slave: WR @000013CC sel=F dat=FFFFFFFF
77224.00ns INFO [00009654] Mem Update: @000013CC FFFFFFFF->FFFFFFFF
77256.00ns INFO [00009658] T0 STORE 000013D0 tag=00 len=4 be=F0000000 data=D624B27A934100689361006C0000000000000000000000000000000000000000 WIMG:0
77288.00ns INFO [00009662] WB Slave: WR @000013D0 sel=F dat=D624B27A
77288.00ns INFO [00009662] Mem Update: @000013D0 FFFFFFFF->D624B27A
77320.00ns INFO [00009666] T0 STORE 000013D4 tag=00 len=4 be=0F000000 data=00000000FFFFFFFF934100689361006C00000000000000000000000000000000 WIMG:0
77352.00ns INFO [00009670] WB Slave: WR @000013D4 sel=F dat=FFFFFFFF
77352.00ns INFO [00009670] Mem Update: @000013D4 FFFFFFFF->FFFFFFFF
77376.00ns INFO [00009673] T0 IFETCH 00001300 tag=09 len=6 WIMG:0
77392.00ns INFO [00009675] WB Slave: RD @00001300=93C10078
77408.00ns INFO [00009677] WB Slave: RD @00001304=93E1007C
77424.00ns INFO [00009679] WB Slave: RD @00001308=7C4FCAA6
77440.00ns INFO [00009681] WB Slave: RD @0000130C=90410004
77456.00ns INFO [00009683] WB Slave: RD @00001310=7C400026
77472.00ns INFO [00009685] WB Slave: RD @00001314=90410080
77488.00ns INFO [00009687] WB Slave: RD @00001318=7C4102A6
77504.00ns INFO [00009689] WB Slave: RD @0000131C=90410084
77520.00ns INFO [00009691] WB Slave: RD @00001320=7C4902A6
77536.00ns INFO [00009693] WB Slave: RD @00001324=90410088
77552.00ns INFO [00009695] WB Slave: RD @00001328=7C4802A6
77568.00ns INFO [00009697] WB Slave: RD @0000132C=9041008C
77584.00ns INFO [00009699] WB Slave: RD @00001330=7C4FCAA6
77592.00ns INFO [00009700] ...tick...
77600.00ns INFO [00009701] WB Slave: RD @00001334=90410090
77616.00ns INFO [00009703] WB Slave: RD @00001338=3C600000
77632.00ns INFO [00009705] WB Slave: RD @0000133C=60631060
77792.00ns INFO [00009725] T0 IFETCH 00001340 tag=08 len=6 WIMG:0
77808.00ns INFO [00009727] WB Slave: RD @00001340=8023009C
77824.00ns INFO [00009729] WB Slave: RD @00001344=3C600867
77840.00ns INFO [00009731] WB Slave: RD @00001348=60635309
77856.00ns INFO [00009733] WB Slave: RD @0000134C=48000E0F
77856.00ns INFO [00009733] C0: CP 0:001300 0000000000001300
77864.00ns INFO [00009734] C0: CP 0:001304 1:001308 0000000000001304
77872.00ns INFO [00009735] WB Slave: RD @00001350=60000000
77872.00ns INFO [00009735] C0: CP 0:00130C 1:001310 000000000000130C
77872.00ns INFO [00009735] C0: GPR Update: R02=FFFFFFFFCD75F313
77880.00ns INFO [00009736] C0: CP 0:000000 1:000000 0000000000001310
77888.00ns INFO [00009737] WB Slave: RD @00001354=60000000
77888.00ns INFO [00009737] C0: CP 0:000000 1:000000 0000000000001310
77888.00ns INFO [00009737] C0: GPR Update: R02=0000000090000000
77888.00ns INFO [00009737] C0: GPR Update: R32=000000000B000000
77896.00ns INFO [00009738] C0: CP 0:000000 1:000000 0000000000001310
77896.00ns INFO [00009738] C0: GPR Update: R02=000000009B000000
77896.00ns INFO [00009738] C0: GPR Update: R32=0000000000F00000
77904.00ns INFO [00009739] WB Slave: RD @00001358=60000000
77904.00ns INFO [00009739] C0: CP 0:000000 1:000000 0000000000001310
77904.00ns INFO [00009739] C0: GPR Update: R02=000000009BF00000
77904.00ns INFO [00009739] C0: GPR Update: R32=00000000000D0000
77912.00ns INFO [00009740] C0: GPR Update: R02=000000009BFD0000
77912.00ns INFO [00009740] C0: GPR Update: R32=0000000000003000
77920.00ns INFO [00009741] WB Slave: RD @0000135C=60000000
77920.00ns INFO [00009741] C0: CP 0:000000 1:000000 0000000000001310
77928.00ns INFO [00009742] C0: GPR Update: R02=000000009BFD3000
77928.00ns INFO [00009742] C0: GPR Update: R32=0000000000000600
77936.00ns INFO [00009743] WB Slave: RD @00001360=00000000
77944.00ns INFO [00009744] C0: CP 0:000000 0000000000001310
77952.00ns INFO [00009745] WB Slave: RD @00001364=FFFFFFFF
77952.00ns INFO [00009745] C0: CP 0:000000 0000000000001310
77952.00ns INFO [00009745] C0: GPR Update: R02=000000009BFD3600
77960.00ns INFO [00009746] C0: CP 0:000000 1:000000 0000000000001310
77960.00ns INFO [00009746] C0: GPR Update: R32=0000000000000020
77968.00ns INFO [00009747] WB Slave: RD @00001368=FFFFFFFF
77968.00ns INFO [00009747] C0: GPR Update: R02=000000009BFD3620
77968.00ns INFO [00009747] C0: GPR Update: R32=0000000000000008
77984.00ns INFO [00009749] WB Slave: RD @0000136C=00000000
77984.00ns INFO [00009749] C0: CP 0:000000 0000000000001310
77992.00ns INFO [00009750] C0: GPR Update: R02=000000009BFD3628
78000.00ns INFO [00009751] WB Slave: RD @00001370=FFFFFFFF
78008.00ns INFO [00009752] C0: CP 0:001314 1:001318 0000000000001314
78016.00ns INFO [00009753] WB Slave: RD @00001374=FFFFFFFF
78016.00ns INFO [00009753] C0: CP 0:00131C 1:001320 000000000000131C
78016.00ns INFO [00009753] C0: GPR Update: R02=000000008000006E
78024.00ns INFO [00009754] C0: CP 0:001324 1:001328 0000000000001324
78024.00ns INFO [00009754] C0: GPR Update: R02=00000000FFFFFFFF
78032.00ns INFO [00009755] WB Slave: RD @00001378=FFFFFFFF
78032.00ns INFO [00009755] C0: CP 0:00132C 1:001330 000000000000132C
78032.00ns INFO [00009755] C0: GPR Update: R02=00000000FFFFFFFF
78040.00ns INFO [00009756] C0: CP 0:001334 1:001338 0000000000001334
78040.00ns INFO [00009756] C0: GPR Update: R02=FFFFFFFFCD75F313
78048.00ns INFO [00009757] WB Slave: RD @0000137C=FFFFFFFF
78048.00ns INFO [00009757] C0: CP 0:00133C 000000000000133C
78048.00ns INFO [00009757] C0: GPR Update: R03=0000000000000000
78056.00ns INFO [00009758] C0: GPR Update: R03=0000000000001060
78144.00ns INFO [00009769] T0 STORE 000013D8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
78176.00ns INFO [00009773] WB Slave: WR @000013D8 sel=F dat=FFFFFFFF
78176.00ns INFO [00009773] Mem Update: @000013D8 FFFFFFFF->FFFFFFFF
78208.00ns INFO [00009777] T0 STORE 000013DC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
78232.00ns INFO [00009780] C0: CP 0:001340 1:001344 0000000000001340
78240.00ns INFO [00009781] WB Slave: WR @000013DC sel=F dat=FFFFFFFF
78240.00ns INFO [00009781] Mem Update: @000013DC FFFFFFFF->FFFFFFFF
78240.00ns INFO [00009781] C0: CP 0:001348 1:00134C 0000000000001348
78240.00ns INFO [00009781] C0: GPR Update: R01=000000000001FFB8
78240.00ns INFO [00009781] C0: GPR Update: R03=0000000008670000
78248.00ns INFO [00009782] C0: GPR Update: R03=0000000008675309
78248.00ns INFO [00009782] C0: LR Update:0000000000001350
78272.00ns INFO [00009785] T0 STORE 00001364 tag=00 len=4 be=0F000000 data=FFFFFFFFCD75F313FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
78304.00ns INFO [00009789] WB Slave: WR @00001364 sel=F dat=CD75F313
78304.00ns INFO [00009789] Mem Update: @00001364 FFFFFFFF->CD75F313
78336.00ns INFO [00009793] T0 STORE 000013E0 tag=00 len=4 be=F0000000 data=9BFD3628FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
78368.00ns INFO [00009797] WB Slave: WR @000013E0 sel=F dat=9BFD3628
78368.00ns INFO [00009797] Mem Update: @000013E0 FFFFFFFF->9BFD3628
78392.00ns INFO [00009800] ...tick...
78400.00ns INFO [00009801] T0 STORE 000013E4 tag=00 len=4 be=0F000000 data=000000008000006EFFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
78432.00ns INFO [00009805] WB Slave: WR @000013E4 sel=F dat=8000006E
78432.00ns INFO [00009805] Mem Update: @000013E4 FFFFFFFF->8000006E
78464.00ns INFO [00009809] T0 STORE 000013E8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
78496.00ns INFO [00009813] WB Slave: WR @000013E8 sel=F dat=FFFFFFFF
78496.00ns INFO [00009813] Mem Update: @000013E8 FFFFFFFF->FFFFFFFF
78528.00ns INFO [00009817] T0 STORE 000013EC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
78560.00ns INFO [00009821] WB Slave: WR @000013EC sel=F dat=FFFFFFFF
78560.00ns INFO [00009821] Mem Update: @000013EC FFFFFFFF->FFFFFFFF
78592.00ns INFO [00009825] T0 STORE 000013F0 tag=00 len=4 be=F0000000 data=CD75F313FFFFFFFFFFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
78624.00ns INFO [00009829] WB Slave: WR @000013F0 sel=F dat=CD75F313
78624.00ns INFO [00009829] Mem Update: @000013F0 FFFFFFFF->CD75F313
78648.00ns INFO [00009832] T0 IFETCH 00001380 tag=09 len=6 WIMG:0
78664.00ns INFO [00009834] WB Slave: RD @00001380=FFFFFFFF
78680.00ns INFO [00009836] WB Slave: RD @00001384=008A0C68
78696.00ns INFO [00009838] WB Slave: RD @00001388=FFFFFFFF
78712.00ns INFO [00009840] WB Slave: RD @0000138C=7FFFFFFF
78728.00ns INFO [00009842] WB Slave: RD @00001390=FFFFFFFF
78744.00ns INFO [00009844] WB Slave: RD @00001394=FFFFFFFF
78760.00ns INFO [00009846] WB Slave: RD @00001398=8C20BDE6
78776.00ns INFO [00009848] WB Slave: RD @0000139C=FFFFFFFF
78792.00ns INFO [00009850] WB Slave: RD @000013A0=08AEBF68
78808.00ns INFO [00009852] WB Slave: RD @000013A4=80000001
78824.00ns INFO [00009854] WB Slave: RD @000013A8=FFFFFFFF
78840.00ns INFO [00009856] WB Slave: RD @000013AC=00000000
78856.00ns INFO [00009858] WB Slave: RD @000013B0=FFFFFFFF
78872.00ns INFO [00009860] WB Slave: RD @000013B4=FFFFFFFF
78888.00ns INFO [00009862] WB Slave: RD @000013B8=328A0CED
78904.00ns INFO [00009864] WB Slave: RD @000013BC=FFFFFFFF
79064.00ns INFO [00009884] T0 IFETCH 00000E00 tag=08 len=6 WIMG:0
79080.00ns INFO [00009886] WB Slave: RD @00000E00=7C0803A6
79096.00ns INFO [00009888] WB Slave: RD @00000E04=38210040
79112.00ns INFO [00009890] WB Slave: RD @00000E08=4E800020
79128.00ns INFO [00009892] WB Slave: RD @00000E0C=9421FFE0
79144.00ns INFO [00009894] WB Slave: RD @00000E10=7C0802A6
79160.00ns INFO [00009896] WB Slave: RD @00000E14=90010024
79176.00ns INFO [00009898] WB Slave: RD @00000E18=90610018
79192.00ns INFO [00009900] ...tick...
79192.00ns INFO [00009900] WB Slave: RD @00000E1C=39200001
79208.00ns INFO [00009902] WB Slave: RD @00000E20=9121000C
79224.00ns INFO [00009904] WB Slave: RD @00000E24=81410018
79240.00ns INFO [00009906] WB Slave: RD @00000E28=3D200867
79256.00ns INFO [00009908] WB Slave: RD @00000E2C=61295309
79272.00ns INFO [00009910] WB Slave: RD @00000E30=7C0A4800
79288.00ns INFO [00009912] WB Slave: RD @00000E34=4182000C
79304.00ns INFO [00009914] WB Slave: RD @00000E38=3C608000
79320.00ns INFO [00009916] WB Slave: RD @00000E3C=4BFFF9B9
79480.00ns INFO [00009936] T0 IFETCH 00000E40 tag=09 len=6 WIMG:0
79496.00ns INFO [00009938] WB Slave: RD @00000E40=39200000
79496.00ns INFO [00009938] C0: CP 0:000E0C 0000000000000E0C
79504.00ns INFO [00009939] C0: GPR Update: R01=000000000001FF98
79512.00ns INFO [00009940] WB Slave: RD @00000E44=91210008
79520.00ns INFO [00009941] C0: CP 0:000E10 0000000000000E10
79528.00ns INFO [00009942] WB Slave: RD @00000E48=4800008C
79528.00ns INFO [00009942] C0: GPR Update: R00=0000000000001350
79544.00ns INFO [00009944] WB Slave: RD @00000E4C=81210008
79544.00ns INFO [00009944] C0: CP 0:000E14 0000000000000E14
79552.00ns INFO [00009945] C0: CP 0:000E18 1:000E1C 0000000000000E18
79560.00ns INFO [00009946] WB Slave: RD @00000E50=552A103A
79560.00ns INFO [00009946] C0: CP 0:000E20 0000000000000E20
79560.00ns INFO [00009946] C0: GPR Update: R09=0000000000000001
79576.00ns INFO [00009948] WB Slave: RD @00000E54=3D200000
79592.00ns INFO [00009950] WB Slave: RD @00000E58=39291360
79608.00ns INFO [00009952] WB Slave: RD @00000E5C=7D2A4A14
79624.00ns INFO [00009954] WB Slave: RD @00000E60=81290000
79640.00ns INFO [00009956] WB Slave: RD @00000E64=91210010
79656.00ns INFO [00009958] WB Slave: RD @00000E68=81210008
79672.00ns INFO [00009960] WB Slave: RD @00000E6C=552A103A
79688.00ns INFO [00009962] WB Slave: RD @00000E70=3D200000
79704.00ns INFO [00009964] WB Slave: RD @00000E74=39291400
79720.00ns INFO [00009966] WB Slave: RD @00000E78=7D2A4A14
79736.00ns INFO [00009968] WB Slave: RD @00000E7C=81290000
79832.00ns INFO [00009980] T0 STORE 0001FF98 tag=00 len=4 be=00F00000 data=39291400000000000001FFB83D20000000000000000000000000000000000000 WIMG:0
79864.00ns INFO [00009984] WB Slave: WR @0001FF98 sel=F dat=0001FFB8
79864.00ns INFO [00009984] Mem Update: @0001FF98 0001FFA8->0001FFB8
79864.00ns INFO [00009984] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00000D3C ...............................<
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
79896.00ns INFO [00009988] T0 STORE 0001FFBC tag=00 len=4 be=000F0000 data=3D20000039291400000000000000135000000000000000000000000000000000 WIMG:0
79928.00ns INFO [00009992] WB Slave: WR @0001FFBC sel=F dat=00001350
79928.00ns INFO [00009992] Mem Update: @0001FFBC 00000D3C->00001350
79928.00ns INFO [00009992] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 0000000A 00000000 0001FFF8 00001350 ...............................P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
79960.00ns INFO [00009996] T0 STORE 0001FFB0 tag=00 len=4 be=F0000000 data=086753093D200000392914000000000000000000000000000000000000000000 WIMG:0
79992.00ns INFO [00010000] ...tick...
79992.00ns INFO [00010000] WB Slave: WR @0001FFB0 sel=F dat=08675309
79992.00ns INFO [00010000] Mem Update: @0001FFB0 0000000A->08675309
79992.00ns INFO [00010000] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0A000000 00000000 0001FFB8 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
80024.00ns INFO [00010004] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000013D2000003929140000000000000000000000000000000000 WIMG:0
80056.00ns INFO [00010008] WB Slave: WR @0001FFA4 sel=F dat=00000001
80056.00ns INFO [00010008] Mem Update: @0001FFA4 XXXXXXXX->00000001
80056.00ns INFO [00010008] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0A000000 00000001 0001FFB8 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
80056.00ns INFO [00010008] C0: CP 0:000E24 1:000E28 0000000000000E24
80064.00ns INFO [00010009] C0: CP 0:000E2C 1:000E30 0000000000000E2C
80064.00ns INFO [00010009] C0: GPR Update: R09=0000000008670000
80064.00ns INFO [00010009] C0: GPR Update: R10=0000000008675309
80072.00ns INFO [00010010] C0: CP 0:000E34 1:000E40 0000000000000E34
80072.00ns INFO [00010010] C0: GPR Update: R09=0000000008675309
80072.00ns INFO [00010010] C0: CR Update: F0=3
80080.00ns INFO [00010011] T0 IFETCH 00000ED0 tag=08 len=6 WIMG:0
80080.00ns INFO [00010011] C0: CP 0:000E44 1:000E48 0000000000000E44
80080.00ns INFO [00010011] C0: GPR Update: R09=0000000000000000
80096.00ns INFO [00010013] WB Slave: RD @00000EC0=7D234B78
80112.00ns INFO [00010015] WB Slave: RD @00000EC4=4BFFF931
80128.00ns INFO [00010017] WB Slave: RD @00000EC8=81210008
80144.00ns INFO [00010019] WB Slave: RD @00000ECC=39290001
80160.00ns INFO [00010021] WB Slave: RD @00000ED0=91210008
80176.00ns INFO [00010023] WB Slave: RD @00000ED4=81210008
80192.00ns INFO [00010025] WB Slave: RD @00000ED8=2809001F
80208.00ns INFO [00010027] WB Slave: RD @00000EDC=4081FF70
80224.00ns INFO [00010029] WB Slave: RD @00000EE0=39200021
80240.00ns INFO [00010031] WB Slave: RD @00000EE4=91210008
80256.00ns INFO [00010033] WB Slave: RD @00000EE8=81210008
80272.00ns INFO [00010035] WB Slave: RD @00000EEC=552A103A
80288.00ns INFO [00010037] WB Slave: RD @00000EF0=3D200000
80304.00ns INFO [00010039] WB Slave: RD @00000EF4=39291360
80320.00ns INFO [00010041] WB Slave: RD @00000EF8=7D2A4A14
80336.00ns INFO [00010043] WB Slave: RD @00000EFC=81290000
80432.00ns INFO [00010055] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000003D200000392913600000000000000000000000000000000000000000 WIMG:0
80464.00ns INFO [00010059] WB Slave: WR @0001FFA0 sel=F dat=00000000
80464.00ns INFO [00010059] Mem Update: @0001FFA0 0A000000->00000000
80464.00ns INFO [00010059] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000000 00000001 0001FFB8 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
80488.00ns INFO [00010062] T0 IFETCH 00000F00 tag=09 len=6 WIMG:0
80504.00ns INFO [00010064] WB Slave: RD @00000F00=91210010
80520.00ns INFO [00010066] WB Slave: RD @00000F04=81210008
80528.00ns INFO [00010067] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
80536.00ns INFO [00010068] WB Slave: RD @00000F08=552A103A
80536.00ns INFO [00010068] C0: GPR Update: R09=0000000000000000
80536.00ns INFO [00010068] C0: CR Update: F0=9
80544.00ns INFO [00010069] C0: CP 0:000EDC 0000000000000EDC
80552.00ns INFO [00010070] WB Slave: RD @00000F0C=3D200000
80568.00ns INFO [00010072] WB Slave: RD @00000F10=39291400
80568.00ns INFO [00010072] C0: CP 0:000E4C 0000000000000E4C
80576.00ns INFO [00010073] C0: CP 0:000E50 1:000E54 0000000000000E50
80576.00ns INFO [00010073] C0: GPR Update: R09=0000000000000000
80584.00ns INFO [00010074] WB Slave: RD @00000F14=7D2A4A14
80584.00ns INFO [00010074] C0: CP 0:000E58 1:000E5C 0000000000000E58
80584.00ns INFO [00010074] C0: GPR Update: R09=0000000000000000
80584.00ns INFO [00010074] C0: GPR Update: R10=0000000000000000
80592.00ns INFO [00010075] C0: GPR Update: R09=0000000000001360
80600.00ns INFO [00010076] WB Slave: RD @00000F18=81290000
80616.00ns INFO [00010078] WB Slave: RD @00000F1C=55290644
80632.00ns INFO [00010080] WB Slave: RD @00000F20=91210014
80648.00ns INFO [00010082] WB Slave: RD @00000F24=8121000C
80664.00ns INFO [00010084] WB Slave: RD @00000F28=2C090000
80680.00ns INFO [00010086] WB Slave: RD @00000F2C=4182001C
80696.00ns INFO [00010088] WB Slave: RD @00000F30=81410010
80712.00ns INFO [00010090] WB Slave: RD @00000F34=81210014
80728.00ns INFO [00010092] WB Slave: RD @00000F38=7C0A4800
80744.00ns INFO [00010094] WB Slave: RD @00000F3C=4082000C
80792.00ns INFO [00010100] ...tick...
80904.00ns INFO [00010114] T0 LOAD 00001360 tag=00 len=4 WIMG:0
80920.00ns INFO [00010116] WB Slave: RD @00001340=8023009C
80936.00ns INFO [00010118] WB Slave: RD @00001344=3C600867
80952.00ns INFO [00010120] WB Slave: RD @00001348=60635309
80968.00ns INFO [00010122] WB Slave: RD @0000134C=48000E0F
80984.00ns INFO [00010124] WB Slave: RD @00001350=60000000
81000.00ns INFO [00010126] WB Slave: RD @00001354=60000000
81016.00ns INFO [00010128] WB Slave: RD @00001358=60000000
81032.00ns INFO [00010130] WB Slave: RD @0000135C=60000000
81048.00ns INFO [00010132] WB Slave: RD @00001360=00000000
81064.00ns INFO [00010134] WB Slave: RD @00001364=CD75F313
81080.00ns INFO [00010136] WB Slave: RD @00001368=FFFFFFFF
81096.00ns INFO [00010138] WB Slave: RD @0000136C=00000000
81112.00ns INFO [00010140] WB Slave: RD @00001370=FFFFFFFF
81128.00ns INFO [00010142] WB Slave: RD @00001374=FFFFFFFF
81144.00ns INFO [00010144] WB Slave: RD @00001378=FFFFFFFF
81160.00ns INFO [00010146] WB Slave: RD @0000137C=FFFFFFFF
81264.00ns INFO [00010159] C0: CP 0:000E60 0000000000000E60
81272.00ns INFO [00010160] C0: GPR Update: R09=0000000000000000
81296.00ns INFO [00010163] C0: CP 0:000E64 1:000E68 0000000000000E64
81304.00ns INFO [00010164] C0: CP 0:000E6C 1:000E70 0000000000000E6C
81304.00ns INFO [00010164] C0: GPR Update: R09=0000000000000000
81312.00ns INFO [00010165] C0: CP 0:000E74 1:000E78 0000000000000E74
81312.00ns INFO [00010165] C0: GPR Update: R09=0000000000000000
81312.00ns INFO [00010165] C0: GPR Update: R10=0000000000000000
81320.00ns INFO [00010166] T0 IFETCH 00000E80 tag=08 len=6 WIMG:0
81320.00ns INFO [00010166] C0: GPR Update: R09=0000000000001400
81336.00ns INFO [00010168] WB Slave: RD @00000E80=91210014
81352.00ns INFO [00010170] WB Slave: RD @00000E84=8121000C
81368.00ns INFO [00010172] WB Slave: RD @00000E88=2C090000
81384.00ns INFO [00010174] WB Slave: RD @00000E8C=4182001C
81400.00ns INFO [00010176] WB Slave: RD @00000E90=81410010
81416.00ns INFO [00010178] WB Slave: RD @00000E94=81210014
81432.00ns INFO [00010180] WB Slave: RD @00000E98=7C0A4800
81448.00ns INFO [00010182] WB Slave: RD @00000E9C=4082000C
81464.00ns INFO [00010184] WB Slave: RD @00000EA0=39200001
81480.00ns INFO [00010186] WB Slave: RD @00000EA4=48000008
81496.00ns INFO [00010188] WB Slave: RD @00000EA8=39200000
81512.00ns INFO [00010190] WB Slave: RD @00000EAC=9121000C
81528.00ns INFO [00010192] WB Slave: RD @00000EB0=8121000C
81544.00ns INFO [00010194] WB Slave: RD @00000EB4=2C090000
81560.00ns INFO [00010196] WB Slave: RD @00000EB8=40820010
81576.00ns INFO [00010198] WB Slave: RD @00000EBC=81210008
81592.00ns INFO [00010200] ...tick...
81672.00ns INFO [00010210] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=2C09000000000000000000008121000C00000000000000000000000000000000 WIMG:0
81704.00ns INFO [00010214] WB Slave: WR @0001FFA8 sel=F dat=00000000
81704.00ns INFO [00010214] Mem Update: @0001FFA8 0001FFB8->00000000
81704.00ns INFO [00010214] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000000 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
81728.00ns INFO [00010217] T0 LOAD 00001400 tag=01 len=4 WIMG:0
81744.00ns INFO [00010219] WB Slave: RD @00001400=00000000
81760.00ns INFO [00010221] WB Slave: RD @00001404=CD75F313
81776.00ns INFO [00010223] WB Slave: RD @00001408=FFFFFFFF
81792.00ns INFO [00010225] WB Slave: RD @0000140C=00000000
81808.00ns INFO [00010227] WB Slave: RD @00001410=FFFFFFFF
81824.00ns INFO [00010229] WB Slave: RD @00001414=FFFFFFFF
81840.00ns INFO [00010231] WB Slave: RD @00001418=FFFFFFFF
81856.00ns INFO [00010233] WB Slave: RD @0000141C=FFFFFFFF
81872.00ns INFO [00010235] WB Slave: RD @00001420=FFFFFFFF
81888.00ns INFO [00010237] WB Slave: RD @00001424=008A0C68
81904.00ns INFO [00010239] WB Slave: RD @00001428=FFFFFFFF
81920.00ns INFO [00010241] WB Slave: RD @0000142C=7FFFFFFF
81936.00ns INFO [00010243] WB Slave: RD @00001430=FFFFFFFF
81952.00ns INFO [00010245] WB Slave: RD @00001434=FFFFFFFF
81968.00ns INFO [00010247] WB Slave: RD @00001438=8C20BDE6
81984.00ns INFO [00010249] WB Slave: RD @0000143C=FFFFFFFF
82072.00ns INFO [00010260] C0: CP 0:000E7C 0000000000000E7C
82080.00ns INFO [00010261] C0: GPR Update: R09=0000000000000000
82104.00ns INFO [00010264] C0: CP 0:000E80 1:000E84 0000000000000E80
82112.00ns INFO [00010265] C0: CP 0:000E88 1:000E8C 0000000000000E88
82112.00ns INFO [00010265] C0: GPR Update: R09=0000000000000001
82120.00ns INFO [00010266] C0: CR Update: F0=5
82144.00ns INFO [00010269] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF000000000000000000000000000000000000000000000000 WIMG:0
82176.00ns INFO [00010273] WB Slave: WR @0001FFAC sel=F dat=00000000
82176.00ns INFO [00010273] Mem Update: @0001FFAC XXXXXXXX->00000000
82256.00ns INFO [00010283] C0: CP 0:000E90 0000000000000E90
82264.00ns INFO [00010284] C0: CP 0:000E94 0000000000000E94
82264.00ns INFO [00010284] C0: GPR Update: R10=0000000000000000
82272.00ns INFO [00010285] C0: CP 0:000E98 0000000000000E98
82272.00ns INFO [00010285] C0: GPR Update: R09=0000000000000000
82280.00ns INFO [00010286] C0: CP 0:000E9C 0000000000000E9C
82280.00ns INFO [00010286] C0: CR Update: F0=3
82392.00ns INFO [00010300] ...tick...
82400.00ns INFO [00010301] C0: CP 0:000EA0 1:000EA4 0000000000000EA0
82408.00ns INFO [00010302] C0: GPR Update: R09=0000000000000001
82464.00ns INFO [00010309] C0: CP 0:000EAC 0000000000000EAC
82504.00ns INFO [00010314] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
82536.00ns INFO [00010318] WB Slave: WR @0001FFA4 sel=F dat=00000001
82536.00ns INFO [00010318] Mem Update: @0001FFA4 00000001->00000001
82600.00ns INFO [00010326] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
82608.00ns INFO [00010327] C0: GPR Update: R09=0000000000000001
82608.00ns INFO [00010327] C0: CR Update: F0=5
82616.00ns INFO [00010328] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
82624.00ns INFO [00010329] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
82624.00ns INFO [00010329] C0: GPR Update: R09=0000000000000000
82632.00ns INFO [00010330] C0: GPR Update: R09=0000000000000001
82664.00ns INFO [00010334] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000001FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
82696.00ns INFO [00010338] WB Slave: WR @0001FFA0 sel=F dat=00000001
82696.00ns INFO [00010338] Mem Update: @0001FFA0 00000000->00000001
82696.00ns INFO [00010338] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000001 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
82760.00ns INFO [00010346] C0: CP 0:000ED4 0000000000000ED4
82768.00ns INFO [00010347] C0: CP 0:000ED8 0000000000000ED8
82768.00ns INFO [00010347] C0: GPR Update: R09=0000000000000001
82776.00ns INFO [00010348] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
82776.00ns INFO [00010348] C0: CR Update: F0=9
82784.00ns INFO [00010349] C0: CP 0:000E50 1:000E54 0000000000000E50
82784.00ns INFO [00010349] C0: GPR Update: R09=0000000000000001
82792.00ns INFO [00010350] C0: CP 0:000E58 1:000E5C 0000000000000E58
82792.00ns INFO [00010350] C0: GPR Update: R09=0000000000000000
82792.00ns INFO [00010350] C0: GPR Update: R10=0000000000000004
82800.00ns INFO [00010351] C0: GPR Update: R09=0000000000001364
82840.00ns INFO [00010356] C0: CP 0:000E60 0000000000000E60
82848.00ns INFO [00010357] C0: GPR Update: R09=00000000CD75F313
82856.00ns INFO [00010358] C0: CP 0:000E64 1:000E68 0000000000000E64
82864.00ns INFO [00010359] C0: CP 0:000E6C 1:000E70 0000000000000E6C
82864.00ns INFO [00010359] C0: GPR Update: R09=0000000000000001
82872.00ns INFO [00010360] C0: CP 0:000E74 1:000E78 0000000000000E74
82872.00ns INFO [00010360] C0: GPR Update: R09=0000000000000000
82872.00ns INFO [00010360] C0: GPR Update: R10=0000000000000004
82880.00ns INFO [00010361] C0: CP 0:000E7C 0000000000000E7C
82880.00ns INFO [00010361] C0: GPR Update: R09=0000000000001404
82888.00ns INFO [00010362] C0: CP 0:000E80 0000000000000E80
82888.00ns INFO [00010362] C0: GPR Update: R09=00000000CD75F313
82904.00ns INFO [00010364] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000CD75F313FFFFFFFF00000000000000000000000000000000 WIMG:0
82904.00ns INFO [00010364] C0: CP 0:000E84 0000000000000E84
82912.00ns INFO [00010365] C0: CP 0:000E88 0000000000000E88
82912.00ns INFO [00010365] C0: GPR Update: R09=0000000000000001
82920.00ns INFO [00010366] C0: CP 0:000E8C 0000000000000E8C
82920.00ns INFO [00010366] C0: CR Update: F0=5
82936.00ns INFO [00010368] WB Slave: WR @0001FFA8 sel=F dat=CD75F313
82936.00ns INFO [00010368] Mem Update: @0001FFA8 00000000->CD75F313
82936.00ns INFO [00010368] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000001 00000001 CD75F313 00000000 08675309 00000000 0001FFF8 00001350 .........u.......gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
82968.00ns INFO [00010372] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000CD75F31300000000000000000000000000000000 WIMG:0
83000.00ns INFO [00010376] WB Slave: WR @0001FFAC sel=F dat=CD75F313
83000.00ns INFO [00010376] Mem Update: @0001FFAC 00000000->CD75F313
83000.00ns INFO [00010376] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000001 00000001 CD75F313 CD75F313 08675309 00000000 0001FFF8 00001350 .........u...u...gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
83072.00ns INFO [00010385] C0: CP 0:000E90 0000000000000E90
83080.00ns INFO [00010386] C0: CP 0:000E94 0000000000000E94
83080.00ns INFO [00010386] C0: GPR Update: R10=00000000CD75F313
83088.00ns INFO [00010387] C0: CP 0:000E98 0000000000000E98
83088.00ns INFO [00010387] C0: GPR Update: R09=00000000CD75F313
83096.00ns INFO [00010388] C0: CP 0:000E9C 0000000000000E9C
83096.00ns INFO [00010388] C0: CR Update: F0=3
83192.00ns INFO [00010400] ...tick...
83216.00ns INFO [00010403] C0: CP 0:000EA0 1:000EA4 0000000000000EA0
83224.00ns INFO [00010404] C0: GPR Update: R09=0000000000000001
83264.00ns INFO [00010409] C0: CP 0:000EAC 0000000000000EAC
83304.00ns INFO [00010414] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
83336.00ns INFO [00010418] WB Slave: WR @0001FFA4 sel=F dat=00000001
83336.00ns INFO [00010418] Mem Update: @0001FFA4 00000001->00000001
83400.00ns INFO [00010426] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
83408.00ns INFO [00010427] C0: GPR Update: R09=0000000000000001
83408.00ns INFO [00010427] C0: CR Update: F0=5
83424.00ns INFO [00010429] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
83432.00ns INFO [00010430] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
83432.00ns INFO [00010430] C0: GPR Update: R09=0000000000000001
83440.00ns INFO [00010431] C0: GPR Update: R09=0000000000000002
83480.00ns INFO [00010436] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000002FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
83512.00ns INFO [00010440] WB Slave: WR @0001FFA0 sel=F dat=00000002
83512.00ns INFO [00010440] Mem Update: @0001FFA0 00000001->00000002
83512.00ns INFO [00010440] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000002 00000001 CD75F313 CD75F313 08675309 00000000 0001FFF8 00001350 .........u...u...gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
83576.00ns INFO [00010448] C0: CP 0:000ED4 0000000000000ED4
83584.00ns INFO [00010449] C0: CP 0:000ED8 0000000000000ED8
83584.00ns INFO [00010449] C0: GPR Update: R09=0000000000000002
83592.00ns INFO [00010450] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
83592.00ns INFO [00010450] C0: CR Update: F0=9
83600.00ns INFO [00010451] C0: CP 0:000E50 1:000E54 0000000000000E50
83600.00ns INFO [00010451] C0: GPR Update: R09=0000000000000002
83608.00ns INFO [00010452] C0: CP 0:000E58 1:000E5C 0000000000000E58
83608.00ns INFO [00010452] C0: GPR Update: R09=0000000000000000
83608.00ns INFO [00010452] C0: GPR Update: R10=0000000000000008
83616.00ns INFO [00010453] C0: GPR Update: R09=0000000000001368
83664.00ns INFO [00010459] C0: CP 0:000E60 0000000000000E60
83672.00ns INFO [00010460] C0: GPR Update: R09=00000000FFFFFFFF
83680.00ns INFO [00010461] C0: CP 0:000E64 1:000E68 0000000000000E64
83688.00ns INFO [00010462] C0: CP 0:000E6C 1:000E70 0000000000000E6C
83688.00ns INFO [00010462] C0: GPR Update: R09=0000000000000002
83696.00ns INFO [00010463] C0: CP 0:000E74 1:000E78 0000000000000E74
83696.00ns INFO [00010463] C0: GPR Update: R09=0000000000000000
83696.00ns INFO [00010463] C0: GPR Update: R10=0000000000000008
83704.00ns INFO [00010464] C0: CP 0:000E7C 1:000E80 0000000000000E7C
83704.00ns INFO [00010464] C0: GPR Update: R09=0000000000001408
83712.00ns INFO [00010465] C0: GPR Update: R09=00000000FFFFFFFF
83720.00ns INFO [00010466] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
83720.00ns INFO [00010466] C0: CP 0:000E84 0000000000000E84
83728.00ns INFO [00010467] C0: CP 0:000E88 0000000000000E88
83728.00ns INFO [00010467] C0: GPR Update: R09=0000000000000001
83736.00ns INFO [00010468] C0: CP 0:000E8C 0000000000000E8C
83736.00ns INFO [00010468] C0: CR Update: F0=5
83752.00ns INFO [00010470] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
83752.00ns INFO [00010470] Mem Update: @0001FFA8 CD75F313->FFFFFFFF
83752.00ns INFO [00010470] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000002 00000001 FFFFFFFF CD75F313 08675309 00000000 0001FFF8 00001350 .............u...gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
83784.00ns INFO [00010474] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
83816.00ns INFO [00010478] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
83816.00ns INFO [00010478] Mem Update: @0001FFAC CD75F313->FFFFFFFF
83816.00ns INFO [00010478] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000002 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
83888.00ns INFO [00010487] C0: CP 0:000E90 0000000000000E90
83896.00ns INFO [00010488] C0: CP 0:000E94 0000000000000E94
83896.00ns INFO [00010488] C0: GPR Update: R10=00000000FFFFFFFF
83904.00ns INFO [00010489] C0: CP 0:000E98 0000000000000E98
83904.00ns INFO [00010489] C0: GPR Update: R09=00000000FFFFFFFF
83912.00ns INFO [00010490] C0: CP 0:000E9C 0000000000000E9C
83912.00ns INFO [00010490] C0: CR Update: F0=3
83992.00ns INFO [00010500] ...tick...
84032.00ns INFO [00010505] C0: CP 0:000EA0 1:000EA4 0000000000000EA0
84040.00ns INFO [00010506] C0: GPR Update: R09=0000000000000001
84080.00ns INFO [00010511] C0: CP 0:000EAC 0000000000000EAC
84120.00ns INFO [00010516] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
84152.00ns INFO [00010520] WB Slave: WR @0001FFA4 sel=F dat=00000001
84152.00ns INFO [00010520] Mem Update: @0001FFA4 00000001->00000001
84216.00ns INFO [00010528] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
84224.00ns INFO [00010529] C0: GPR Update: R09=0000000000000001
84224.00ns INFO [00010529] C0: CR Update: F0=5
84240.00ns INFO [00010531] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
84248.00ns INFO [00010532] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
84248.00ns INFO [00010532] C0: GPR Update: R09=0000000000000002
84256.00ns INFO [00010533] C0: GPR Update: R09=0000000000000003
84296.00ns INFO [00010538] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000003FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
84328.00ns INFO [00010542] WB Slave: WR @0001FFA0 sel=F dat=00000003
84328.00ns INFO [00010542] Mem Update: @0001FFA0 00000002->00000003
84328.00ns INFO [00010542] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000003 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
84392.00ns INFO [00010550] C0: CP 0:000ED4 0000000000000ED4
84400.00ns INFO [00010551] C0: CP 0:000ED8 0000000000000ED8
84400.00ns INFO [00010551] C0: GPR Update: R09=0000000000000003
84408.00ns INFO [00010552] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
84408.00ns INFO [00010552] C0: CR Update: F0=9
84416.00ns INFO [00010553] C0: CP 0:000E50 1:000E54 0000000000000E50
84416.00ns INFO [00010553] C0: GPR Update: R09=0000000000000003
84424.00ns INFO [00010554] C0: CP 0:000E58 1:000E5C 0000000000000E58
84424.00ns INFO [00010554] C0: GPR Update: R09=0000000000000000
84424.00ns INFO [00010554] C0: GPR Update: R10=000000000000000C
84432.00ns INFO [00010555] C0: GPR Update: R09=000000000000136C
84480.00ns INFO [00010561] C0: CP 0:000E60 0000000000000E60
84488.00ns INFO [00010562] C0: GPR Update: R09=0000000000000000
84496.00ns INFO [00010563] C0: CP 0:000E64 1:000E68 0000000000000E64
84504.00ns INFO [00010564] C0: CP 0:000E6C 1:000E70 0000000000000E6C
84504.00ns INFO [00010564] C0: GPR Update: R09=0000000000000003
84512.00ns INFO [00010565] C0: CP 0:000E74 1:000E78 0000000000000E74
84512.00ns INFO [00010565] C0: GPR Update: R09=0000000000000000
84512.00ns INFO [00010565] C0: GPR Update: R10=000000000000000C
84520.00ns INFO [00010566] C0: CP 0:000E7C 1:000E80 0000000000000E7C
84520.00ns INFO [00010566] C0: GPR Update: R09=000000000000140C
84528.00ns INFO [00010567] C0: GPR Update: R09=0000000000000000
84536.00ns INFO [00010568] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
84536.00ns INFO [00010568] C0: CP 0:000E84 0000000000000E84
84544.00ns INFO [00010569] C0: CP 0:000E88 0000000000000E88
84544.00ns INFO [00010569] C0: GPR Update: R09=0000000000000001
84552.00ns INFO [00010570] C0: CP 0:000E8C 0000000000000E8C
84552.00ns INFO [00010570] C0: CR Update: F0=5
84568.00ns INFO [00010572] WB Slave: WR @0001FFA8 sel=F dat=00000000
84568.00ns INFO [00010572] Mem Update: @0001FFA8 FFFFFFFF->00000000
84568.00ns INFO [00010572] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000003 00000001 00000000 FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
84600.00ns INFO [00010576] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF000000000000000000000000000000000000000000000000 WIMG:0
84632.00ns INFO [00010580] WB Slave: WR @0001FFAC sel=F dat=00000000
84632.00ns INFO [00010580] Mem Update: @0001FFAC FFFFFFFF->00000000
84632.00ns INFO [00010580] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000003 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
84632.00ns INFO [00010580] C0: CP 0:000E90 0000000000000E90
84640.00ns INFO [00010581] C0: GPR Update: R10=0000000000000000
84704.00ns INFO [00010589] C0: CP 0:000E94 0000000000000E94
84712.00ns INFO [00010590] C0: CP 0:000E98 0000000000000E98
84712.00ns INFO [00010590] C0: GPR Update: R09=0000000000000000
84720.00ns INFO [00010591] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
84720.00ns INFO [00010591] C0: CR Update: F0=3
84728.00ns INFO [00010592] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
84728.00ns INFO [00010592] C0: GPR Update: R09=0000000000000001
84776.00ns INFO [00010598] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
84792.00ns INFO [00010600] ...tick...
84808.00ns INFO [00010602] WB Slave: WR @0001FFA4 sel=F dat=00000001
84808.00ns INFO [00010602] Mem Update: @0001FFA4 00000001->00000001
84872.00ns INFO [00010610] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
84880.00ns INFO [00010611] C0: GPR Update: R09=0000000000000001
84880.00ns INFO [00010611] C0: CR Update: F0=5
84896.00ns INFO [00010613] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
84904.00ns INFO [00010614] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
84904.00ns INFO [00010614] C0: GPR Update: R09=0000000000000003
84912.00ns INFO [00010615] C0: GPR Update: R09=0000000000000004
84952.00ns INFO [00010620] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000004FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
84984.00ns INFO [00010624] WB Slave: WR @0001FFA0 sel=F dat=00000004
84984.00ns INFO [00010624] Mem Update: @0001FFA0 00000003->00000004
84984.00ns INFO [00010624] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000004 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
85048.00ns INFO [00010632] C0: CP 0:000ED4 0000000000000ED4
85056.00ns INFO [00010633] C0: CP 0:000ED8 0000000000000ED8
85056.00ns INFO [00010633] C0: GPR Update: R09=0000000000000004
85064.00ns INFO [00010634] C0: CR Update: F0=9
85072.00ns INFO [00010635] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
85080.00ns INFO [00010636] C0: CP 0:000E50 1:000E54 0000000000000E50
85080.00ns INFO [00010636] C0: GPR Update: R09=0000000000000004
85088.00ns INFO [00010637] C0: CP 0:000E58 1:000E5C 0000000000000E58
85088.00ns INFO [00010637] C0: GPR Update: R09=0000000000000000
85088.00ns INFO [00010637] C0: GPR Update: R10=0000000000000010
85096.00ns INFO [00010638] C0: GPR Update: R09=0000000000001370
85128.00ns INFO [00010642] C0: CP 0:000E60 0000000000000E60
85136.00ns INFO [00010643] C0: GPR Update: R09=00000000FFFFFFFF
85144.00ns INFO [00010644] C0: CP 0:000E64 1:000E68 0000000000000E64
85152.00ns INFO [00010645] C0: CP 0:000E6C 1:000E70 0000000000000E6C
85152.00ns INFO [00010645] C0: GPR Update: R09=0000000000000004
85160.00ns INFO [00010646] C0: CP 0:000E74 1:000E78 0000000000000E74
85160.00ns INFO [00010646] C0: GPR Update: R09=0000000000000000
85160.00ns INFO [00010646] C0: GPR Update: R10=0000000000000010
85168.00ns INFO [00010647] C0: GPR Update: R09=0000000000001410
85176.00ns INFO [00010648] C0: CP 0:000E7C 0000000000000E7C
85184.00ns INFO [00010649] C0: GPR Update: R09=00000000FFFFFFFF
85192.00ns INFO [00010650] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
85192.00ns INFO [00010650] C0: CP 0:000E80 0000000000000E80
85200.00ns INFO [00010651] C0: CP 0:000E84 0000000000000E84
85208.00ns INFO [00010652] C0: CP 0:000E88 0000000000000E88
85208.00ns INFO [00010652] C0: GPR Update: R09=0000000000000001
85216.00ns INFO [00010653] C0: CP 0:000E8C 0000000000000E8C
85216.00ns INFO [00010653] C0: CR Update: F0=5
85224.00ns INFO [00010654] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
85224.00ns INFO [00010654] Mem Update: @0001FFA8 00000000->FFFFFFFF
85224.00ns INFO [00010654] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000004 00000001 FFFFFFFF 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
85256.00ns INFO [00010658] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
85288.00ns INFO [00010662] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
85288.00ns INFO [00010662] Mem Update: @0001FFAC 00000000->FFFFFFFF
85288.00ns INFO [00010662] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000004 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
85288.00ns INFO [00010662] C0: CP 0:000E90 0000000000000E90
85296.00ns INFO [00010663] C0: GPR Update: R10=00000000FFFFFFFF
85352.00ns INFO [00010670] C0: CP 0:000E94 0000000000000E94
85360.00ns INFO [00010671] C0: CP 0:000E98 0000000000000E98
85360.00ns INFO [00010671] C0: GPR Update: R09=00000000FFFFFFFF
85368.00ns INFO [00010672] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
85368.00ns INFO [00010672] C0: CR Update: F0=3
85376.00ns INFO [00010673] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
85376.00ns INFO [00010673] C0: GPR Update: R09=0000000000000001
85416.00ns INFO [00010678] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
85448.00ns INFO [00010682] WB Slave: WR @0001FFA4 sel=F dat=00000001
85448.00ns INFO [00010682] Mem Update: @0001FFA4 00000001->00000001
85512.00ns INFO [00010690] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
85520.00ns INFO [00010691] C0: GPR Update: R09=0000000000000001
85520.00ns INFO [00010691] C0: CR Update: F0=5
85528.00ns INFO [00010692] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
85536.00ns INFO [00010693] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
85536.00ns INFO [00010693] C0: GPR Update: R09=0000000000000004
85544.00ns INFO [00010694] C0: GPR Update: R09=0000000000000005
85576.00ns INFO [00010698] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000005FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
85592.00ns INFO [00010700] ...tick...
85608.00ns INFO [00010702] WB Slave: WR @0001FFA0 sel=F dat=00000005
85608.00ns INFO [00010702] Mem Update: @0001FFA0 00000004->00000005
85608.00ns INFO [00010702] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000005 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
85672.00ns INFO [00010710] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
85680.00ns INFO [00010711] C0: GPR Update: R09=0000000000000005
85680.00ns INFO [00010711] C0: CR Update: F0=9
85688.00ns INFO [00010712] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
85696.00ns INFO [00010713] C0: CP 0:000E50 1:000E54 0000000000000E50
85696.00ns INFO [00010713] C0: GPR Update: R09=0000000000000005
85704.00ns INFO [00010714] C0: CP 0:000E58 1:000E5C 0000000000000E58
85704.00ns INFO [00010714] C0: GPR Update: R09=0000000000000000
85704.00ns INFO [00010714] C0: GPR Update: R10=0000000000000014
85712.00ns INFO [00010715] C0: GPR Update: R09=0000000000001374
85752.00ns INFO [00010720] C0: CP 0:000E60 0000000000000E60
85760.00ns INFO [00010721] C0: GPR Update: R09=00000000FFFFFFFF
85768.00ns INFO [00010722] C0: CP 0:000E64 1:000E68 0000000000000E64
85776.00ns INFO [00010723] C0: CP 0:000E6C 1:000E70 0000000000000E6C
85776.00ns INFO [00010723] C0: GPR Update: R09=0000000000000005
85784.00ns INFO [00010724] C0: CP 0:000E74 1:000E78 0000000000000E74
85784.00ns INFO [00010724] C0: GPR Update: R09=0000000000000000
85784.00ns INFO [00010724] C0: GPR Update: R10=0000000000000014
85792.00ns INFO [00010725] C0: CP 0:000E7C 0000000000000E7C
85792.00ns INFO [00010725] C0: GPR Update: R09=0000000000001414
85800.00ns INFO [00010726] C0: CP 0:000E80 0000000000000E80
85800.00ns INFO [00010726] C0: GPR Update: R09=00000000FFFFFFFF
85808.00ns INFO [00010727] C0: CP 0:000E84 0000000000000E84
85816.00ns INFO [00010728] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
85816.00ns INFO [00010728] C0: CP 0:000E88 0000000000000E88
85816.00ns INFO [00010728] C0: GPR Update: R09=0000000000000001
85824.00ns INFO [00010729] C0: CP 0:000E8C 0000000000000E8C
85824.00ns INFO [00010729] C0: CR Update: F0=5
85848.00ns INFO [00010732] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
85848.00ns INFO [00010732] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
85896.00ns INFO [00010738] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
85912.00ns INFO [00010740] C0: CP 0:000E90 0000000000000E90
85920.00ns INFO [00010741] C0: GPR Update: R10=00000000FFFFFFFF
85928.00ns INFO [00010742] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
85928.00ns INFO [00010742] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
85992.00ns INFO [00010750] C0: CP 0:000E94 1:000E98 0000000000000E94
86000.00ns INFO [00010751] C0: GPR Update: R09=00000000FFFFFFFF
86000.00ns INFO [00010751] C0: CR Update: F0=3
86008.00ns INFO [00010752] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
86016.00ns INFO [00010753] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
86016.00ns INFO [00010753] C0: GPR Update: R09=0000000000000001
86056.00ns INFO [00010758] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
86088.00ns INFO [00010762] WB Slave: WR @0001FFA4 sel=F dat=00000001
86088.00ns INFO [00010762] Mem Update: @0001FFA4 00000001->00000001
86152.00ns INFO [00010770] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
86160.00ns INFO [00010771] C0: GPR Update: R09=0000000000000001
86160.00ns INFO [00010771] C0: CR Update: F0=5
86168.00ns INFO [00010772] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
86176.00ns INFO [00010773] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
86176.00ns INFO [00010773] C0: GPR Update: R09=0000000000000005
86184.00ns INFO [00010774] C0: GPR Update: R09=0000000000000006
86232.00ns INFO [00010780] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000006FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
86264.00ns INFO [00010784] WB Slave: WR @0001FFA0 sel=F dat=00000006
86264.00ns INFO [00010784] Mem Update: @0001FFA0 00000005->00000006
86264.00ns INFO [00010784] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000006 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
86328.00ns INFO [00010792] C0: CP 0:000ED4 0000000000000ED4
86336.00ns INFO [00010793] C0: CP 0:000ED8 0000000000000ED8
86336.00ns INFO [00010793] C0: GPR Update: R09=0000000000000006
86344.00ns INFO [00010794] C0: CR Update: F0=9
86352.00ns INFO [00010795] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
86360.00ns INFO [00010796] C0: CP 0:000E50 1:000E54 0000000000000E50
86360.00ns INFO [00010796] C0: GPR Update: R09=0000000000000006
86368.00ns INFO [00010797] C0: CP 0:000E58 1:000E5C 0000000000000E58
86368.00ns INFO [00010797] C0: GPR Update: R09=0000000000000000
86368.00ns INFO [00010797] C0: GPR Update: R10=0000000000000018
86376.00ns INFO [00010798] C0: GPR Update: R09=0000000000001378
86392.00ns INFO [00010800] ...tick...
86408.00ns INFO [00010802] C0: CP 0:000E60 0000000000000E60
86416.00ns INFO [00010803] C0: GPR Update: R09=00000000FFFFFFFF
86424.00ns INFO [00010804] C0: CP 0:000E64 1:000E68 0000000000000E64
86432.00ns INFO [00010805] C0: CP 0:000E6C 1:000E70 0000000000000E6C
86432.00ns INFO [00010805] C0: GPR Update: R09=0000000000000006
86440.00ns INFO [00010806] C0: CP 0:000E74 1:000E78 0000000000000E74
86440.00ns INFO [00010806] C0: GPR Update: R09=0000000000000000
86440.00ns INFO [00010806] C0: GPR Update: R10=0000000000000018
86448.00ns INFO [00010807] C0: GPR Update: R09=0000000000001418
86456.00ns INFO [00010808] C0: CP 0:000E7C 0000000000000E7C
86464.00ns INFO [00010809] C0: GPR Update: R09=00000000FFFFFFFF
86472.00ns INFO [00010810] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
86472.00ns INFO [00010810] C0: CP 0:000E80 0000000000000E80
86480.00ns INFO [00010811] C0: CP 0:000E84 0000000000000E84
86488.00ns INFO [00010812] C0: CP 0:000E88 0000000000000E88
86488.00ns INFO [00010812] C0: GPR Update: R09=0000000000000001
86496.00ns INFO [00010813] C0: CP 0:000E8C 0000000000000E8C
86496.00ns INFO [00010813] C0: CR Update: F0=5
86504.00ns INFO [00010814] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
86504.00ns INFO [00010814] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
86536.00ns INFO [00010818] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
86568.00ns INFO [00010822] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
86568.00ns INFO [00010822] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
86568.00ns INFO [00010822] C0: CP 0:000E90 0000000000000E90
86576.00ns INFO [00010823] C0: GPR Update: R10=00000000FFFFFFFF
86632.00ns INFO [00010830] C0: CP 0:000E94 0000000000000E94
86640.00ns INFO [00010831] C0: CP 0:000E98 0000000000000E98
86640.00ns INFO [00010831] C0: GPR Update: R09=00000000FFFFFFFF
86648.00ns INFO [00010832] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
86648.00ns INFO [00010832] C0: CR Update: F0=3
86656.00ns INFO [00010833] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
86656.00ns INFO [00010833] C0: GPR Update: R09=0000000000000001
86696.00ns INFO [00010838] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
86728.00ns INFO [00010842] WB Slave: WR @0001FFA4 sel=F dat=00000001
86728.00ns INFO [00010842] Mem Update: @0001FFA4 00000001->00000001
86792.00ns INFO [00010850] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
86800.00ns INFO [00010851] C0: GPR Update: R09=0000000000000001
86800.00ns INFO [00010851] C0: CR Update: F0=5
86808.00ns INFO [00010852] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
86816.00ns INFO [00010853] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
86816.00ns INFO [00010853] C0: GPR Update: R09=0000000000000006
86824.00ns INFO [00010854] C0: GPR Update: R09=0000000000000007
86856.00ns INFO [00010858] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000007FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
86888.00ns INFO [00010862] WB Slave: WR @0001FFA0 sel=F dat=00000007
86888.00ns INFO [00010862] Mem Update: @0001FFA0 00000006->00000007
86888.00ns INFO [00010862] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000007 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
86952.00ns INFO [00010870] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
86960.00ns INFO [00010871] C0: GPR Update: R09=0000000000000007
86960.00ns INFO [00010871] C0: CR Update: F0=9
86968.00ns INFO [00010872] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
86976.00ns INFO [00010873] C0: CP 0:000E50 1:000E54 0000000000000E50
86976.00ns INFO [00010873] C0: GPR Update: R09=0000000000000007
86984.00ns INFO [00010874] C0: CP 0:000E58 1:000E5C 0000000000000E58
86984.00ns INFO [00010874] C0: GPR Update: R09=0000000000000000
86984.00ns INFO [00010874] C0: GPR Update: R10=000000000000001C
86992.00ns INFO [00010875] C0: GPR Update: R09=000000000000137C
87032.00ns INFO [00010880] C0: CP 0:000E60 0000000000000E60
87040.00ns INFO [00010881] C0: GPR Update: R09=00000000FFFFFFFF
87048.00ns INFO [00010882] C0: CP 0:000E64 1:000E68 0000000000000E64
87056.00ns INFO [00010883] C0: CP 0:000E6C 1:000E70 0000000000000E6C
87056.00ns INFO [00010883] C0: GPR Update: R09=0000000000000007
87064.00ns INFO [00010884] C0: CP 0:000E74 1:000E78 0000000000000E74
87064.00ns INFO [00010884] C0: GPR Update: R09=0000000000000000
87064.00ns INFO [00010884] C0: GPR Update: R10=000000000000001C
87072.00ns INFO [00010885] C0: CP 0:000E7C 0000000000000E7C
87072.00ns INFO [00010885] C0: GPR Update: R09=000000000000141C
87080.00ns INFO [00010886] C0: CP 0:000E80 0000000000000E80
87080.00ns INFO [00010886] C0: GPR Update: R09=00000000FFFFFFFF
87088.00ns INFO [00010887] C0: CP 0:000E84 0000000000000E84
87096.00ns INFO [00010888] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
87096.00ns INFO [00010888] C0: CP 0:000E88 0000000000000E88
87096.00ns INFO [00010888] C0: GPR Update: R09=0000000000000001
87104.00ns INFO [00010889] C0: CP 0:000E8C 0000000000000E8C
87104.00ns INFO [00010889] C0: CR Update: F0=5
87128.00ns INFO [00010892] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
87128.00ns INFO [00010892] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
87176.00ns INFO [00010898] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
87192.00ns INFO [00010900] ...tick...
87192.00ns INFO [00010900] C0: CP 0:000E90 0000000000000E90
87200.00ns INFO [00010901] C0: GPR Update: R10=00000000FFFFFFFF
87208.00ns INFO [00010902] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
87208.00ns INFO [00010902] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
87272.00ns INFO [00010910] C0: CP 0:000E94 1:000E98 0000000000000E94
87280.00ns INFO [00010911] C0: GPR Update: R09=00000000FFFFFFFF
87280.00ns INFO [00010911] C0: CR Update: F0=3
87288.00ns INFO [00010912] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
87296.00ns INFO [00010913] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
87296.00ns INFO [00010913] C0: GPR Update: R09=0000000000000001
87336.00ns INFO [00010918] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
87368.00ns INFO [00010922] WB Slave: WR @0001FFA4 sel=F dat=00000001
87368.00ns INFO [00010922] Mem Update: @0001FFA4 00000001->00000001
87432.00ns INFO [00010930] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
87440.00ns INFO [00010931] C0: GPR Update: R09=0000000000000001
87440.00ns INFO [00010931] C0: CR Update: F0=5
87448.00ns INFO [00010932] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
87456.00ns INFO [00010933] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
87456.00ns INFO [00010933] C0: GPR Update: R09=0000000000000007
87464.00ns INFO [00010934] C0: GPR Update: R09=0000000000000008
87512.00ns INFO [00010940] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000008FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
87544.00ns INFO [00010944] WB Slave: WR @0001FFA0 sel=F dat=00000008
87544.00ns INFO [00010944] Mem Update: @0001FFA0 00000007->00000008
87544.00ns INFO [00010944] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000008 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
87608.00ns INFO [00010952] C0: CP 0:000ED4 0000000000000ED4
87616.00ns INFO [00010953] C0: CP 0:000ED8 0000000000000ED8
87616.00ns INFO [00010953] C0: GPR Update: R09=0000000000000008
87624.00ns INFO [00010954] C0: CR Update: F0=9
87632.00ns INFO [00010955] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
87640.00ns INFO [00010956] C0: CP 0:000E50 1:000E54 0000000000000E50
87640.00ns INFO [00010956] C0: GPR Update: R09=0000000000000008
87648.00ns INFO [00010957] T0 LOAD 00001380 tag=00 len=4 WIMG:0
87648.00ns INFO [00010957] C0: CP 0:000E58 1:000E5C 0000000000000E58
87648.00ns INFO [00010957] C0: GPR Update: R09=0000000000000000
87648.00ns INFO [00010957] C0: GPR Update: R10=0000000000000020
87656.00ns INFO [00010958] C0: GPR Update: R09=0000000000001380
87664.00ns INFO [00010959] WB Slave: RD @00001380=FFFFFFFF
87680.00ns INFO [00010961] WB Slave: RD @00001384=008A0C68
87696.00ns INFO [00010963] WB Slave: RD @00001388=FFFFFFFF
87712.00ns INFO [00010965] WB Slave: RD @0000138C=7FFFFFFF
87728.00ns INFO [00010967] WB Slave: RD @00001390=FFFFFFFF
87744.00ns INFO [00010969] WB Slave: RD @00001394=FFFFFFFF
87760.00ns INFO [00010971] WB Slave: RD @00001398=8C20BDE6
87776.00ns INFO [00010973] WB Slave: RD @0000139C=FFFFFFFF
87792.00ns INFO [00010975] WB Slave: RD @000013A0=08AEBF68
87808.00ns INFO [00010977] WB Slave: RD @000013A4=80000001
87824.00ns INFO [00010979] WB Slave: RD @000013A8=FFFFFFFF
87840.00ns INFO [00010981] WB Slave: RD @000013AC=00000000
87856.00ns INFO [00010983] WB Slave: RD @000013B0=FFFFFFFF
87872.00ns INFO [00010985] WB Slave: RD @000013B4=FFFFFFFF
87888.00ns INFO [00010987] WB Slave: RD @000013B8=328A0CED
87904.00ns INFO [00010989] WB Slave: RD @000013BC=FFFFFFFF
87992.00ns INFO [00011000] ...tick...
87992.00ns INFO [00011000] C0: CP 0:000E60 0000000000000E60
88000.00ns INFO [00011001] C0: GPR Update: R09=00000000FFFFFFFF
88024.00ns INFO [00011004] C0: CP 0:000E64 1:000E68 0000000000000E64
88032.00ns INFO [00011005] C0: CP 0:000E6C 1:000E70 0000000000000E6C
88032.00ns INFO [00011005] C0: GPR Update: R09=0000000000000008
88040.00ns INFO [00011006] C0: CP 0:000E74 1:000E78 0000000000000E74
88040.00ns INFO [00011006] C0: GPR Update: R09=0000000000000000
88040.00ns INFO [00011006] C0: GPR Update: R10=0000000000000020
88048.00ns INFO [00011007] C0: CP 0:000E7C 1:000E80 0000000000000E7C
88048.00ns INFO [00011007] C0: GPR Update: R09=0000000000001420
88056.00ns INFO [00011008] C0: CP 0:000E84 1:000E88 0000000000000E84
88056.00ns INFO [00011008] C0: GPR Update: R09=00000000FFFFFFFF
88064.00ns INFO [00011009] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
88064.00ns INFO [00011009] C0: CP 0:000E8C 0000000000000E8C
88064.00ns INFO [00011009] C0: GPR Update: R09=0000000000000001
88064.00ns INFO [00011009] C0: CR Update: F0=5
88096.00ns INFO [00011013] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
88096.00ns INFO [00011013] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
88136.00ns INFO [00011018] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
88168.00ns INFO [00011022] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
88168.00ns INFO [00011022] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
88168.00ns INFO [00011022] C0: CP 0:000E90 0000000000000E90
88176.00ns INFO [00011023] C0: GPR Update: R10=00000000FFFFFFFF
88232.00ns INFO [00011030] C0: CP 0:000E94 0000000000000E94
88240.00ns INFO [00011031] C0: CP 0:000E98 0000000000000E98
88240.00ns INFO [00011031] C0: GPR Update: R09=00000000FFFFFFFF
88248.00ns INFO [00011032] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
88248.00ns INFO [00011032] C0: CR Update: F0=3
88256.00ns INFO [00011033] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
88256.00ns INFO [00011033] C0: GPR Update: R09=0000000000000001
88296.00ns INFO [00011038] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
88328.00ns INFO [00011042] WB Slave: WR @0001FFA4 sel=F dat=00000001
88328.00ns INFO [00011042] Mem Update: @0001FFA4 00000001->00000001
88392.00ns INFO [00011050] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
88400.00ns INFO [00011051] C0: GPR Update: R09=0000000000000001
88400.00ns INFO [00011051] C0: CR Update: F0=5
88408.00ns INFO [00011052] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
88416.00ns INFO [00011053] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
88416.00ns INFO [00011053] C0: GPR Update: R09=0000000000000008
88424.00ns INFO [00011054] C0: GPR Update: R09=0000000000000009
88456.00ns INFO [00011058] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000009FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
88488.00ns INFO [00011062] WB Slave: WR @0001FFA0 sel=F dat=00000009
88488.00ns INFO [00011062] Mem Update: @0001FFA0 00000008->00000009
88488.00ns INFO [00011062] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000009 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
88552.00ns INFO [00011070] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
88560.00ns INFO [00011071] C0: GPR Update: R09=0000000000000009
88560.00ns INFO [00011071] C0: CR Update: F0=9
88568.00ns INFO [00011072] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
88576.00ns INFO [00011073] C0: CP 0:000E50 1:000E54 0000000000000E50
88576.00ns INFO [00011073] C0: GPR Update: R09=0000000000000009
88584.00ns INFO [00011074] C0: CP 0:000E58 1:000E5C 0000000000000E58
88584.00ns INFO [00011074] C0: GPR Update: R09=0000000000000000
88584.00ns INFO [00011074] C0: GPR Update: R10=0000000000000024
88592.00ns INFO [00011075] C0: GPR Update: R09=0000000000001384
88632.00ns INFO [00011080] C0: CP 0:000E60 0000000000000E60
88640.00ns INFO [00011081] C0: GPR Update: R09=00000000008A0C68
88648.00ns INFO [00011082] C0: CP 0:000E64 1:000E68 0000000000000E64
88656.00ns INFO [00011083] C0: CP 0:000E6C 1:000E70 0000000000000E6C
88656.00ns INFO [00011083] C0: GPR Update: R09=0000000000000009
88664.00ns INFO [00011084] C0: CP 0:000E74 1:000E78 0000000000000E74
88664.00ns INFO [00011084] C0: GPR Update: R09=0000000000000000
88664.00ns INFO [00011084] C0: GPR Update: R10=0000000000000024
88672.00ns INFO [00011085] C0: CP 0:000E7C 0000000000000E7C
88672.00ns INFO [00011085] C0: GPR Update: R09=0000000000001424
88680.00ns INFO [00011086] C0: CP 0:000E80 0000000000000E80
88680.00ns INFO [00011086] C0: GPR Update: R09=00000000008A0C68
88688.00ns INFO [00011087] C0: CP 0:000E84 0000000000000E84
88696.00ns INFO [00011088] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000008A0C68FFFFFFFF00000000000000000000000000000000 WIMG:0
88696.00ns INFO [00011088] C0: CP 0:000E88 0000000000000E88
88696.00ns INFO [00011088] C0: GPR Update: R09=0000000000000001
88704.00ns INFO [00011089] C0: CP 0:000E8C 0000000000000E8C
88704.00ns INFO [00011089] C0: CR Update: F0=5
88728.00ns INFO [00011092] WB Slave: WR @0001FFA8 sel=F dat=008A0C68
88728.00ns INFO [00011092] Mem Update: @0001FFA8 FFFFFFFF->008A0C68
88728.00ns INFO [00011092] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000009 00000001 008A0C68 FFFFFFFF 08675309 00000000 0001FFF8 00001350 ...........h.....gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
88776.00ns INFO [00011098] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000008A0C6800000000000000000000000000000000 WIMG:0
88792.00ns INFO [00011100] ...tick...
88792.00ns INFO [00011100] C0: CP 0:000E90 0000000000000E90
88800.00ns INFO [00011101] C0: GPR Update: R10=00000000008A0C68
88808.00ns INFO [00011102] WB Slave: WR @0001FFAC sel=F dat=008A0C68
88808.00ns INFO [00011102] Mem Update: @0001FFAC FFFFFFFF->008A0C68
88808.00ns INFO [00011102] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000009 00000001 008A0C68 008A0C68 08675309 00000000 0001FFF8 00001350 ...........h...h.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
88872.00ns INFO [00011110] C0: CP 0:000E94 1:000E98 0000000000000E94
88880.00ns INFO [00011111] C0: GPR Update: R09=00000000008A0C68
88880.00ns INFO [00011111] C0: CR Update: F0=3
88888.00ns INFO [00011112] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
88896.00ns INFO [00011113] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
88896.00ns INFO [00011113] C0: GPR Update: R09=0000000000000001
88936.00ns INFO [00011118] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
88968.00ns INFO [00011122] WB Slave: WR @0001FFA4 sel=F dat=00000001
88968.00ns INFO [00011122] Mem Update: @0001FFA4 00000001->00000001
89032.00ns INFO [00011130] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
89040.00ns INFO [00011131] C0: GPR Update: R09=0000000000000001
89040.00ns INFO [00011131] C0: CR Update: F0=5
89048.00ns INFO [00011132] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
89056.00ns INFO [00011133] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
89056.00ns INFO [00011133] C0: GPR Update: R09=0000000000000009
89064.00ns INFO [00011134] C0: GPR Update: R09=000000000000000A
89112.00ns INFO [00011140] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000AFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
89144.00ns INFO [00011144] WB Slave: WR @0001FFA0 sel=F dat=0000000A
89144.00ns INFO [00011144] Mem Update: @0001FFA0 00000009->0000000A
89144.00ns INFO [00011144] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000A 00000001 008A0C68 008A0C68 08675309 00000000 0001FFF8 00001350 ...........h...h.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
89208.00ns INFO [00011152] C0: CP 0:000ED4 0000000000000ED4
89216.00ns INFO [00011153] C0: CP 0:000ED8 0000000000000ED8
89216.00ns INFO [00011153] C0: GPR Update: R09=000000000000000A
89224.00ns INFO [00011154] C0: CR Update: F0=9
89232.00ns INFO [00011155] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
89240.00ns INFO [00011156] C0: CP 0:000E50 1:000E54 0000000000000E50
89240.00ns INFO [00011156] C0: GPR Update: R09=000000000000000A
89248.00ns INFO [00011157] C0: CP 0:000E58 1:000E5C 0000000000000E58
89248.00ns INFO [00011157] C0: GPR Update: R09=0000000000000000
89248.00ns INFO [00011157] C0: GPR Update: R10=0000000000000028
89256.00ns INFO [00011158] C0: GPR Update: R09=0000000000001388
89288.00ns INFO [00011162] C0: CP 0:000E60 0000000000000E60
89296.00ns INFO [00011163] C0: GPR Update: R09=00000000FFFFFFFF
89304.00ns INFO [00011164] C0: CP 0:000E64 1:000E68 0000000000000E64
89312.00ns INFO [00011165] C0: CP 0:000E6C 1:000E70 0000000000000E6C
89312.00ns INFO [00011165] C0: GPR Update: R09=000000000000000A
89320.00ns INFO [00011166] C0: CP 0:000E74 1:000E78 0000000000000E74
89320.00ns INFO [00011166] C0: GPR Update: R09=0000000000000000
89320.00ns INFO [00011166] C0: GPR Update: R10=0000000000000028
89328.00ns INFO [00011167] C0: GPR Update: R09=0000000000001428
89336.00ns INFO [00011168] C0: CP 0:000E7C 0000000000000E7C
89344.00ns INFO [00011169] C0: GPR Update: R09=00000000FFFFFFFF
89352.00ns INFO [00011170] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
89352.00ns INFO [00011170] C0: CP 0:000E80 0000000000000E80
89360.00ns INFO [00011171] C0: CP 0:000E84 0000000000000E84
89368.00ns INFO [00011172] C0: CP 0:000E88 0000000000000E88
89368.00ns INFO [00011172] C0: GPR Update: R09=0000000000000001
89376.00ns INFO [00011173] C0: CP 0:000E8C 0000000000000E8C
89376.00ns INFO [00011173] C0: CR Update: F0=5
89384.00ns INFO [00011174] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
89384.00ns INFO [00011174] Mem Update: @0001FFA8 008A0C68->FFFFFFFF
89384.00ns INFO [00011174] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000A 00000001 FFFFFFFF 008A0C68 08675309 00000000 0001FFF8 00001350 ...............h.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
89416.00ns INFO [00011178] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
89448.00ns INFO [00011182] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
89448.00ns INFO [00011182] Mem Update: @0001FFAC 008A0C68->FFFFFFFF
89448.00ns INFO [00011182] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000A 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
89448.00ns INFO [00011182] C0: CP 0:000E90 0000000000000E90
89456.00ns INFO [00011183] C0: GPR Update: R10=00000000FFFFFFFF
89512.00ns INFO [00011190] C0: CP 0:000E94 0000000000000E94
89520.00ns INFO [00011191] C0: CP 0:000E98 0000000000000E98
89520.00ns INFO [00011191] C0: GPR Update: R09=00000000FFFFFFFF
89528.00ns INFO [00011192] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
89528.00ns INFO [00011192] C0: CR Update: F0=3
89536.00ns INFO [00011193] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
89536.00ns INFO [00011193] C0: GPR Update: R09=0000000000000001
89576.00ns INFO [00011198] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
89592.00ns INFO [00011200] ...tick...
89608.00ns INFO [00011202] WB Slave: WR @0001FFA4 sel=F dat=00000001
89608.00ns INFO [00011202] Mem Update: @0001FFA4 00000001->00000001
89672.00ns INFO [00011210] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
89680.00ns INFO [00011211] C0: GPR Update: R09=0000000000000001
89680.00ns INFO [00011211] C0: CR Update: F0=5
89688.00ns INFO [00011212] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
89696.00ns INFO [00011213] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
89696.00ns INFO [00011213] C0: GPR Update: R09=000000000000000A
89704.00ns INFO [00011214] C0: GPR Update: R09=000000000000000B
89736.00ns INFO [00011218] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000BFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
89768.00ns INFO [00011222] WB Slave: WR @0001FFA0 sel=F dat=0000000B
89768.00ns INFO [00011222] Mem Update: @0001FFA0 0000000A->0000000B
89768.00ns INFO [00011222] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000B 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
89832.00ns INFO [00011230] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
89840.00ns INFO [00011231] C0: GPR Update: R09=000000000000000B
89840.00ns INFO [00011231] C0: CR Update: F0=9
89848.00ns INFO [00011232] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
89856.00ns INFO [00011233] C0: CP 0:000E50 1:000E54 0000000000000E50
89856.00ns INFO [00011233] C0: GPR Update: R09=000000000000000B
89864.00ns INFO [00011234] C0: CP 0:000E58 1:000E5C 0000000000000E58
89864.00ns INFO [00011234] C0: GPR Update: R09=0000000000000000
89864.00ns INFO [00011234] C0: GPR Update: R10=000000000000002C
89872.00ns INFO [00011235] C0: GPR Update: R09=000000000000138C
89912.00ns INFO [00011240] C0: CP 0:000E60 0000000000000E60
89920.00ns INFO [00011241] C0: GPR Update: R09=000000007FFFFFFF
89928.00ns INFO [00011242] C0: CP 0:000E64 1:000E68 0000000000000E64
89936.00ns INFO [00011243] C0: CP 0:000E6C 1:000E70 0000000000000E6C
89936.00ns INFO [00011243] C0: GPR Update: R09=000000000000000B
89944.00ns INFO [00011244] C0: CP 0:000E74 1:000E78 0000000000000E74
89944.00ns INFO [00011244] C0: GPR Update: R09=0000000000000000
89944.00ns INFO [00011244] C0: GPR Update: R10=000000000000002C
89952.00ns INFO [00011245] C0: CP 0:000E7C 0000000000000E7C
89952.00ns INFO [00011245] C0: GPR Update: R09=000000000000142C
89960.00ns INFO [00011246] C0: CP 0:000E80 0000000000000E80
89960.00ns INFO [00011246] C0: GPR Update: R09=000000007FFFFFFF
89968.00ns INFO [00011247] C0: CP 0:000E84 0000000000000E84
89976.00ns INFO [00011248] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF000000007FFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
89976.00ns INFO [00011248] C0: CP 0:000E88 0000000000000E88
89976.00ns INFO [00011248] C0: GPR Update: R09=0000000000000001
89984.00ns INFO [00011249] C0: CP 0:000E8C 0000000000000E8C
89984.00ns INFO [00011249] C0: CR Update: F0=5
90008.00ns INFO [00011252] WB Slave: WR @0001FFA8 sel=F dat=7FFFFFFF
90008.00ns INFO [00011252] Mem Update: @0001FFA8 FFFFFFFF->7FFFFFFF
90008.00ns INFO [00011252] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000B 00000001 7FFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
90056.00ns INFO [00011258] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF000000007FFFFFFF00000000000000000000000000000000 WIMG:0
90072.00ns INFO [00011260] C0: CP 0:000E90 0000000000000E90
90080.00ns INFO [00011261] C0: GPR Update: R10=000000007FFFFFFF
90088.00ns INFO [00011262] WB Slave: WR @0001FFAC sel=F dat=7FFFFFFF
90088.00ns INFO [00011262] Mem Update: @0001FFAC FFFFFFFF->7FFFFFFF
90088.00ns INFO [00011262] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000B 00000001 7FFFFFFF 7FFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
90152.00ns INFO [00011270] C0: CP 0:000E94 1:000E98 0000000000000E94
90160.00ns INFO [00011271] C0: GPR Update: R09=000000007FFFFFFF
90160.00ns INFO [00011271] C0: CR Update: F0=3
90168.00ns INFO [00011272] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
90176.00ns INFO [00011273] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
90176.00ns INFO [00011273] C0: GPR Update: R09=0000000000000001
90216.00ns INFO [00011278] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
90248.00ns INFO [00011282] WB Slave: WR @0001FFA4 sel=F dat=00000001
90248.00ns INFO [00011282] Mem Update: @0001FFA4 00000001->00000001
90312.00ns INFO [00011290] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
90320.00ns INFO [00011291] C0: GPR Update: R09=0000000000000001
90320.00ns INFO [00011291] C0: CR Update: F0=5
90328.00ns INFO [00011292] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
90336.00ns INFO [00011293] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
90336.00ns INFO [00011293] C0: GPR Update: R09=000000000000000B
90344.00ns INFO [00011294] C0: GPR Update: R09=000000000000000C
90392.00ns INFO [00011300] ...tick...
90392.00ns INFO [00011300] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000CFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
90424.00ns INFO [00011304] WB Slave: WR @0001FFA0 sel=F dat=0000000C
90424.00ns INFO [00011304] Mem Update: @0001FFA0 0000000B->0000000C
90424.00ns INFO [00011304] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000C 00000001 7FFFFFFF 7FFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
90488.00ns INFO [00011312] C0: CP 0:000ED4 0000000000000ED4
90496.00ns INFO [00011313] C0: CP 0:000ED8 0000000000000ED8
90496.00ns INFO [00011313] C0: GPR Update: R09=000000000000000C
90504.00ns INFO [00011314] C0: CR Update: F0=9
90512.00ns INFO [00011315] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
90520.00ns INFO [00011316] C0: CP 0:000E50 1:000E54 0000000000000E50
90520.00ns INFO [00011316] C0: GPR Update: R09=000000000000000C
90528.00ns INFO [00011317] C0: CP 0:000E58 1:000E5C 0000000000000E58
90528.00ns INFO [00011317] C0: GPR Update: R09=0000000000000000
90528.00ns INFO [00011317] C0: GPR Update: R10=0000000000000030
90536.00ns INFO [00011318] C0: GPR Update: R09=0000000000001390
90568.00ns INFO [00011322] C0: CP 0:000E60 0000000000000E60
90576.00ns INFO [00011323] C0: GPR Update: R09=00000000FFFFFFFF
90584.00ns INFO [00011324] C0: CP 0:000E64 1:000E68 0000000000000E64
90592.00ns INFO [00011325] C0: CP 0:000E6C 1:000E70 0000000000000E6C
90592.00ns INFO [00011325] C0: GPR Update: R09=000000000000000C
90600.00ns INFO [00011326] C0: CP 0:000E74 1:000E78 0000000000000E74
90600.00ns INFO [00011326] C0: GPR Update: R09=0000000000000000
90600.00ns INFO [00011326] C0: GPR Update: R10=0000000000000030
90608.00ns INFO [00011327] C0: GPR Update: R09=0000000000001430
90616.00ns INFO [00011328] C0: CP 0:000E7C 0000000000000E7C
90624.00ns INFO [00011329] C0: GPR Update: R09=00000000FFFFFFFF
90632.00ns INFO [00011330] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
90632.00ns INFO [00011330] C0: CP 0:000E80 0000000000000E80
90640.00ns INFO [00011331] C0: CP 0:000E84 0000000000000E84
90648.00ns INFO [00011332] C0: CP 0:000E88 0000000000000E88
90648.00ns INFO [00011332] C0: GPR Update: R09=0000000000000001
90656.00ns INFO [00011333] C0: CP 0:000E8C 0000000000000E8C
90656.00ns INFO [00011333] C0: CR Update: F0=5
90664.00ns INFO [00011334] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
90664.00ns INFO [00011334] Mem Update: @0001FFA8 7FFFFFFF->FFFFFFFF
90664.00ns INFO [00011334] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000C 00000001 FFFFFFFF 7FFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
90696.00ns INFO [00011338] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
90728.00ns INFO [00011342] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
90728.00ns INFO [00011342] Mem Update: @0001FFAC 7FFFFFFF->FFFFFFFF
90728.00ns INFO [00011342] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000C 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
90728.00ns INFO [00011342] C0: CP 0:000E90 0000000000000E90
90736.00ns INFO [00011343] C0: GPR Update: R10=00000000FFFFFFFF
90792.00ns INFO [00011350] C0: CP 0:000E94 0000000000000E94
90800.00ns INFO [00011351] C0: CP 0:000E98 0000000000000E98
90800.00ns INFO [00011351] C0: GPR Update: R09=00000000FFFFFFFF
90808.00ns INFO [00011352] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
90808.00ns INFO [00011352] C0: CR Update: F0=3
90816.00ns INFO [00011353] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
90816.00ns INFO [00011353] C0: GPR Update: R09=0000000000000001
90856.00ns INFO [00011358] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
90888.00ns INFO [00011362] WB Slave: WR @0001FFA4 sel=F dat=00000001
90888.00ns INFO [00011362] Mem Update: @0001FFA4 00000001->00000001
90952.00ns INFO [00011370] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
90960.00ns INFO [00011371] C0: GPR Update: R09=0000000000000001
90960.00ns INFO [00011371] C0: CR Update: F0=5
90968.00ns INFO [00011372] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
90976.00ns INFO [00011373] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
90976.00ns INFO [00011373] C0: GPR Update: R09=000000000000000C
90984.00ns INFO [00011374] C0: GPR Update: R09=000000000000000D
91016.00ns INFO [00011378] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000DFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
91048.00ns INFO [00011382] WB Slave: WR @0001FFA0 sel=F dat=0000000D
91048.00ns INFO [00011382] Mem Update: @0001FFA0 0000000C->0000000D
91048.00ns INFO [00011382] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000D 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
91112.00ns INFO [00011390] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
91120.00ns INFO [00011391] C0: GPR Update: R09=000000000000000D
91120.00ns INFO [00011391] C0: CR Update: F0=9
91128.00ns INFO [00011392] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
91136.00ns INFO [00011393] C0: CP 0:000E50 1:000E54 0000000000000E50
91136.00ns INFO [00011393] C0: GPR Update: R09=000000000000000D
91144.00ns INFO [00011394] C0: CP 0:000E58 1:000E5C 0000000000000E58
91144.00ns INFO [00011394] C0: GPR Update: R09=0000000000000000
91144.00ns INFO [00011394] C0: GPR Update: R10=0000000000000034
91152.00ns INFO [00011395] C0: GPR Update: R09=0000000000001394
91192.00ns INFO [00011400] ...tick...
91192.00ns INFO [00011400] C0: CP 0:000E60 0000000000000E60
91200.00ns INFO [00011401] C0: GPR Update: R09=00000000FFFFFFFF
91208.00ns INFO [00011402] C0: CP 0:000E64 1:000E68 0000000000000E64
91216.00ns INFO [00011403] C0: CP 0:000E6C 1:000E70 0000000000000E6C
91216.00ns INFO [00011403] C0: GPR Update: R09=000000000000000D
91224.00ns INFO [00011404] C0: CP 0:000E74 1:000E78 0000000000000E74
91224.00ns INFO [00011404] C0: GPR Update: R09=0000000000000000
91224.00ns INFO [00011404] C0: GPR Update: R10=0000000000000034
91232.00ns INFO [00011405] C0: CP 0:000E7C 0000000000000E7C
91232.00ns INFO [00011405] C0: GPR Update: R09=0000000000001434
91240.00ns INFO [00011406] C0: CP 0:000E80 0000000000000E80
91240.00ns INFO [00011406] C0: GPR Update: R09=00000000FFFFFFFF
91248.00ns INFO [00011407] C0: CP 0:000E84 0000000000000E84
91256.00ns INFO [00011408] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
91256.00ns INFO [00011408] C0: CP 0:000E88 0000000000000E88
91256.00ns INFO [00011408] C0: GPR Update: R09=0000000000000001
91264.00ns INFO [00011409] C0: CP 0:000E8C 0000000000000E8C
91264.00ns INFO [00011409] C0: CR Update: F0=5
91288.00ns INFO [00011412] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
91288.00ns INFO [00011412] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
91336.00ns INFO [00011418] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
91352.00ns INFO [00011420] C0: CP 0:000E90 0000000000000E90
91360.00ns INFO [00011421] C0: GPR Update: R10=00000000FFFFFFFF
91368.00ns INFO [00011422] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
91368.00ns INFO [00011422] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
91432.00ns INFO [00011430] C0: CP 0:000E94 1:000E98 0000000000000E94
91440.00ns INFO [00011431] C0: GPR Update: R09=00000000FFFFFFFF
91440.00ns INFO [00011431] C0: CR Update: F0=3
91448.00ns INFO [00011432] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
91456.00ns INFO [00011433] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
91456.00ns INFO [00011433] C0: GPR Update: R09=0000000000000001
91496.00ns INFO [00011438] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
91528.00ns INFO [00011442] WB Slave: WR @0001FFA4 sel=F dat=00000001
91528.00ns INFO [00011442] Mem Update: @0001FFA4 00000001->00000001
91592.00ns INFO [00011450] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
91600.00ns INFO [00011451] C0: GPR Update: R09=0000000000000001
91600.00ns INFO [00011451] C0: CR Update: F0=5
91608.00ns INFO [00011452] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
91616.00ns INFO [00011453] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
91616.00ns INFO [00011453] C0: GPR Update: R09=000000000000000D
91624.00ns INFO [00011454] C0: GPR Update: R09=000000000000000E
91672.00ns INFO [00011460] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000EFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
91704.00ns INFO [00011464] WB Slave: WR @0001FFA0 sel=F dat=0000000E
91704.00ns INFO [00011464] Mem Update: @0001FFA0 0000000D->0000000E
91704.00ns INFO [00011464] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000E 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
91768.00ns INFO [00011472] C0: CP 0:000ED4 0000000000000ED4
91776.00ns INFO [00011473] C0: CP 0:000ED8 0000000000000ED8
91776.00ns INFO [00011473] C0: GPR Update: R09=000000000000000E
91784.00ns INFO [00011474] C0: CR Update: F0=9
91792.00ns INFO [00011475] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
91800.00ns INFO [00011476] C0: CP 0:000E50 1:000E54 0000000000000E50
91800.00ns INFO [00011476] C0: GPR Update: R09=000000000000000E
91808.00ns INFO [00011477] C0: CP 0:000E58 1:000E5C 0000000000000E58
91808.00ns INFO [00011477] C0: GPR Update: R09=0000000000000000
91808.00ns INFO [00011477] C0: GPR Update: R10=0000000000000038
91816.00ns INFO [00011478] C0: GPR Update: R09=0000000000001398
91848.00ns INFO [00011482] C0: CP 0:000E60 0000000000000E60
91856.00ns INFO [00011483] C0: GPR Update: R09=000000008C20BDE6
91864.00ns INFO [00011484] C0: CP 0:000E64 1:000E68 0000000000000E64
91872.00ns INFO [00011485] C0: CP 0:000E6C 1:000E70 0000000000000E6C
91872.00ns INFO [00011485] C0: GPR Update: R09=000000000000000E
91880.00ns INFO [00011486] C0: CP 0:000E74 1:000E78 0000000000000E74
91880.00ns INFO [00011486] C0: GPR Update: R09=0000000000000000
91880.00ns INFO [00011486] C0: GPR Update: R10=0000000000000038
91888.00ns INFO [00011487] C0: GPR Update: R09=0000000000001438
91896.00ns INFO [00011488] C0: CP 0:000E7C 0000000000000E7C
91904.00ns INFO [00011489] C0: GPR Update: R09=000000008C20BDE6
91912.00ns INFO [00011490] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF000000008C20BDE6FFFFFFFF00000000000000000000000000000000 WIMG:0
91912.00ns INFO [00011490] C0: CP 0:000E80 0000000000000E80
91920.00ns INFO [00011491] C0: CP 0:000E84 0000000000000E84
91928.00ns INFO [00011492] C0: CP 0:000E88 0000000000000E88
91928.00ns INFO [00011492] C0: GPR Update: R09=0000000000000001
91936.00ns INFO [00011493] C0: CP 0:000E8C 0000000000000E8C
91936.00ns INFO [00011493] C0: CR Update: F0=5
91944.00ns INFO [00011494] WB Slave: WR @0001FFA8 sel=F dat=8C20BDE6
91944.00ns INFO [00011494] Mem Update: @0001FFA8 FFFFFFFF->8C20BDE6
91944.00ns INFO [00011494] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000E 00000001 8C20BDE6 FFFFFFFF 08675309 00000000 0001FFF8 00001350 ......... .......gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
91976.00ns INFO [00011498] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF000000008C20BDE600000000000000000000000000000000 WIMG:0
91992.00ns INFO [00011500] ...tick...
92008.00ns INFO [00011502] WB Slave: WR @0001FFAC sel=F dat=8C20BDE6
92008.00ns INFO [00011502] Mem Update: @0001FFAC FFFFFFFF->8C20BDE6
92008.00ns INFO [00011502] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000E 00000001 8C20BDE6 8C20BDE6 08675309 00000000 0001FFF8 00001350 ......... ... ...gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
92008.00ns INFO [00011502] C0: CP 0:000E90 0000000000000E90
92016.00ns INFO [00011503] C0: GPR Update: R10=000000008C20BDE6
92072.00ns INFO [00011510] C0: CP 0:000E94 0000000000000E94
92080.00ns INFO [00011511] C0: CP 0:000E98 0000000000000E98
92080.00ns INFO [00011511] C0: GPR Update: R09=000000008C20BDE6
92088.00ns INFO [00011512] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
92088.00ns INFO [00011512] C0: CR Update: F0=3
92096.00ns INFO [00011513] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
92096.00ns INFO [00011513] C0: GPR Update: R09=0000000000000001
92136.00ns INFO [00011518] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
92168.00ns INFO [00011522] WB Slave: WR @0001FFA4 sel=F dat=00000001
92168.00ns INFO [00011522] Mem Update: @0001FFA4 00000001->00000001
92232.00ns INFO [00011530] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
92240.00ns INFO [00011531] C0: GPR Update: R09=0000000000000001
92240.00ns INFO [00011531] C0: CR Update: F0=5
92248.00ns INFO [00011532] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
92256.00ns INFO [00011533] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
92256.00ns INFO [00011533] C0: GPR Update: R09=000000000000000E
92264.00ns INFO [00011534] C0: GPR Update: R09=000000000000000F
92296.00ns INFO [00011538] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000000FFFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
92328.00ns INFO [00011542] WB Slave: WR @0001FFA0 sel=F dat=0000000F
92328.00ns INFO [00011542] Mem Update: @0001FFA0 0000000E->0000000F
92328.00ns INFO [00011542] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000F 00000001 8C20BDE6 8C20BDE6 08675309 00000000 0001FFF8 00001350 ......... ... ...gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
92392.00ns INFO [00011550] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
92400.00ns INFO [00011551] C0: GPR Update: R09=000000000000000F
92400.00ns INFO [00011551] C0: CR Update: F0=9
92408.00ns INFO [00011552] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
92416.00ns INFO [00011553] C0: CP 0:000E50 1:000E54 0000000000000E50
92416.00ns INFO [00011553] C0: GPR Update: R09=000000000000000F
92424.00ns INFO [00011554] C0: CP 0:000E58 1:000E5C 0000000000000E58
92424.00ns INFO [00011554] C0: GPR Update: R09=0000000000000000
92424.00ns INFO [00011554] C0: GPR Update: R10=000000000000003C
92432.00ns INFO [00011555] C0: GPR Update: R09=000000000000139C
92472.00ns INFO [00011560] C0: CP 0:000E60 0000000000000E60
92480.00ns INFO [00011561] C0: GPR Update: R09=00000000FFFFFFFF
92488.00ns INFO [00011562] C0: CP 0:000E64 1:000E68 0000000000000E64
92496.00ns INFO [00011563] C0: CP 0:000E6C 1:000E70 0000000000000E6C
92496.00ns INFO [00011563] C0: GPR Update: R09=000000000000000F
92504.00ns INFO [00011564] C0: CP 0:000E74 1:000E78 0000000000000E74
92504.00ns INFO [00011564] C0: GPR Update: R09=0000000000000000
92504.00ns INFO [00011564] C0: GPR Update: R10=000000000000003C
92512.00ns INFO [00011565] C0: CP 0:000E7C 0000000000000E7C
92512.00ns INFO [00011565] C0: GPR Update: R09=000000000000143C
92520.00ns INFO [00011566] C0: CP 0:000E80 0000000000000E80
92520.00ns INFO [00011566] C0: GPR Update: R09=00000000FFFFFFFF
92528.00ns INFO [00011567] C0: CP 0:000E84 0000000000000E84
92536.00ns INFO [00011568] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
92536.00ns INFO [00011568] C0: CP 0:000E88 0000000000000E88
92536.00ns INFO [00011568] C0: GPR Update: R09=0000000000000001
92544.00ns INFO [00011569] C0: CP 0:000E8C 0000000000000E8C
92544.00ns INFO [00011569] C0: CR Update: F0=5
92568.00ns INFO [00011572] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
92568.00ns INFO [00011572] Mem Update: @0001FFA8 8C20BDE6->FFFFFFFF
92568.00ns INFO [00011572] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000F 00000001 FFFFFFFF 8C20BDE6 08675309 00000000 0001FFF8 00001350 ............. ...gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
92616.00ns INFO [00011578] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=FFFFFFFFFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
92632.00ns INFO [00011580] C0: CP 0:000E90 0000000000000E90
92640.00ns INFO [00011581] C0: GPR Update: R10=00000000FFFFFFFF
92648.00ns INFO [00011582] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
92648.00ns INFO [00011582] Mem Update: @0001FFAC 8C20BDE6->FFFFFFFF
92648.00ns INFO [00011582] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000000F 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
92712.00ns INFO [00011590] C0: CP 0:000E94 1:000E98 0000000000000E94
92720.00ns INFO [00011591] C0: GPR Update: R09=00000000FFFFFFFF
92720.00ns INFO [00011591] C0: CR Update: F0=3
92728.00ns INFO [00011592] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
92736.00ns INFO [00011593] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
92736.00ns INFO [00011593] C0: GPR Update: R09=0000000000000001
92776.00ns INFO [00011598] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001FFFFFFFFFFFFFFFF00000000000000000000000000000000 WIMG:0
92792.00ns INFO [00011600] ...tick...
92808.00ns INFO [00011602] WB Slave: WR @0001FFA4 sel=F dat=00000001
92808.00ns INFO [00011602] Mem Update: @0001FFA4 00000001->00000001
92872.00ns INFO [00011610] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
92880.00ns INFO [00011611] C0: GPR Update: R09=0000000000000001
92880.00ns INFO [00011611] C0: CR Update: F0=5
92888.00ns INFO [00011612] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
92896.00ns INFO [00011613] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
92896.00ns INFO [00011613] C0: GPR Update: R09=000000000000000F
92904.00ns INFO [00011614] C0: GPR Update: R09=0000000000000010
92952.00ns INFO [00011620] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000010FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
92984.00ns INFO [00011624] WB Slave: WR @0001FFA0 sel=F dat=00000010
92984.00ns INFO [00011624] Mem Update: @0001FFA0 0000000F->00000010
92984.00ns INFO [00011624] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000010 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
93048.00ns INFO [00011632] C0: CP 0:000ED4 0000000000000ED4
93056.00ns INFO [00011633] C0: CP 0:000ED8 0000000000000ED8
93056.00ns INFO [00011633] C0: GPR Update: R09=0000000000000010
93064.00ns INFO [00011634] C0: CR Update: F0=9
93072.00ns INFO [00011635] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
93080.00ns INFO [00011636] C0: CP 0:000E50 1:000E54 0000000000000E50
93080.00ns INFO [00011636] C0: GPR Update: R09=0000000000000010
93088.00ns INFO [00011637] C0: CP 0:000E58 1:000E5C 0000000000000E58
93088.00ns INFO [00011637] C0: GPR Update: R09=0000000000000000
93088.00ns INFO [00011637] C0: GPR Update: R10=0000000000000040
93096.00ns INFO [00011638] C0: GPR Update: R09=00000000000013A0
93128.00ns INFO [00011642] C0: CP 0:000E60 0000000000000E60
93136.00ns INFO [00011643] T0 LOAD 00001440 tag=00 len=4 WIMG:0
93136.00ns INFO [00011643] C0: GPR Update: R09=0000000008AEBF68
93144.00ns INFO [00011644] C0: CP 0:000E64 1:000E68 0000000000000E64
93152.00ns INFO [00011645] WB Slave: RD @00001440=08AEBF68
93152.00ns INFO [00011645] C0: CP 0:000E6C 1:000E70 0000000000000E6C
93152.00ns INFO [00011645] C0: GPR Update: R09=0000000000000010
93160.00ns INFO [00011646] C0: CP 0:000E74 1:000E78 0000000000000E74
93160.00ns INFO [00011646] C0: GPR Update: R09=0000000000000000
93160.00ns INFO [00011646] C0: GPR Update: R10=0000000000000040
93168.00ns INFO [00011647] WB Slave: RD @00001444=80000001
93168.00ns INFO [00011647] C0: GPR Update: R09=0000000000001440
93184.00ns INFO [00011649] WB Slave: RD @00001448=FFFFFFFF
93200.00ns INFO [00011651] WB Slave: RD @0000144C=00000000
93216.00ns INFO [00011653] WB Slave: RD @00001450=FFFFFFFF
93232.00ns INFO [00011655] WB Slave: RD @00001454=FFFFFFFF
93248.00ns INFO [00011657] WB Slave: RD @00001458=328A0CED
93264.00ns INFO [00011659] WB Slave: RD @0000145C=FFFFFFFF
93280.00ns INFO [00011661] WB Slave: RD @00001460=FFFFFFFF
93296.00ns INFO [00011663] WB Slave: RD @00001464=AF224C19
93312.00ns INFO [00011665] WB Slave: RD @00001468=FFFFFFFF
93328.00ns INFO [00011667] WB Slave: RD @0000146C=FFFFFFFF
93344.00ns INFO [00011669] WB Slave: RD @00001470=D624B27A
93360.00ns INFO [00011671] WB Slave: RD @00001474=FFFFFFFF
93376.00ns INFO [00011673] WB Slave: RD @00001478=FFFFFFFF
93392.00ns INFO [00011675] WB Slave: RD @0000147C=FFFFFFFF
93480.00ns INFO [00011686] C0: CP 0:000E7C 0000000000000E7C
93488.00ns INFO [00011687] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000008AEBF68D624B27A00000000000000000000000000000000 WIMG:0
93488.00ns INFO [00011687] C0: GPR Update: R09=0000000008AEBF68
93512.00ns INFO [00011690] C0: CP 0:000E80 1:000E84 0000000000000E80
93520.00ns INFO [00011691] WB Slave: WR @0001FFA8 sel=F dat=08AEBF68
93520.00ns INFO [00011691] Mem Update: @0001FFA8 FFFFFFFF->08AEBF68
93520.00ns INFO [00011691] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000010 00000001 08AEBF68 FFFFFFFF 08675309 00000000 0001FFF8 00001350 ...........h.....gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
93520.00ns INFO [00011691] C0: CP 0:000E88 1:000E8C 0000000000000E88
93520.00ns INFO [00011691] C0: GPR Update: R09=0000000000000001
93528.00ns INFO [00011692] C0: CR Update: F0=5
93552.00ns INFO [00011695] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF0000000008AEBF6800000000000000000000000000000000 WIMG:0
93584.00ns INFO [00011699] WB Slave: WR @0001FFAC sel=F dat=08AEBF68
93584.00ns INFO [00011699] Mem Update: @0001FFAC FFFFFFFF->08AEBF68
93584.00ns INFO [00011699] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000010 00000001 08AEBF68 08AEBF68 08675309 00000000 0001FFF8 00001350 ...........h...h.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
93584.00ns INFO [00011699] C0: CP 0:000E90 0000000000000E90
93592.00ns INFO [00011700] ...tick...
93592.00ns INFO [00011700] C0: GPR Update: R10=0000000008AEBF68
93656.00ns INFO [00011708] C0: CP 0:000E94 0000000000000E94
93664.00ns INFO [00011709] C0: CP 0:000E98 0000000000000E98
93664.00ns INFO [00011709] C0: GPR Update: R09=0000000008AEBF68
93672.00ns INFO [00011710] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
93672.00ns INFO [00011710] C0: CR Update: F0=3
93680.00ns INFO [00011711] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
93680.00ns INFO [00011711] C0: GPR Update: R09=0000000000000001
93728.00ns INFO [00011717] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
93760.00ns INFO [00011721] WB Slave: WR @0001FFA4 sel=F dat=00000001
93760.00ns INFO [00011721] Mem Update: @0001FFA4 00000001->00000001
93824.00ns INFO [00011729] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
93832.00ns INFO [00011730] C0: GPR Update: R09=0000000000000001
93832.00ns INFO [00011730] C0: CR Update: F0=5
93840.00ns INFO [00011731] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
93848.00ns INFO [00011732] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
93848.00ns INFO [00011732] C0: GPR Update: R09=0000000000000010
93856.00ns INFO [00011733] C0: GPR Update: R09=0000000000000011
93888.00ns INFO [00011737] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000011D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
93920.00ns INFO [00011741] WB Slave: WR @0001FFA0 sel=F dat=00000011
93920.00ns INFO [00011741] Mem Update: @0001FFA0 00000010->00000011
93920.00ns INFO [00011741] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000011 00000001 08AEBF68 08AEBF68 08675309 00000000 0001FFF8 00001350 ...........h...h.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
93984.00ns INFO [00011749] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
93992.00ns INFO [00011750] C0: GPR Update: R09=0000000000000011
93992.00ns INFO [00011750] C0: CR Update: F0=9
94000.00ns INFO [00011751] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
94008.00ns INFO [00011752] C0: CP 0:000E50 1:000E54 0000000000000E50
94008.00ns INFO [00011752] C0: GPR Update: R09=0000000000000011
94016.00ns INFO [00011753] C0: CP 0:000E58 1:000E5C 0000000000000E58
94016.00ns INFO [00011753] C0: GPR Update: R09=0000000000000000
94016.00ns INFO [00011753] C0: GPR Update: R10=0000000000000044
94024.00ns INFO [00011754] C0: GPR Update: R09=00000000000013A4
94064.00ns INFO [00011759] C0: CP 0:000E60 0000000000000E60
94072.00ns INFO [00011760] C0: GPR Update: R09=0000000080000001
94080.00ns INFO [00011761] C0: CP 0:000E64 1:000E68 0000000000000E64
94088.00ns INFO [00011762] C0: CP 0:000E6C 1:000E70 0000000000000E6C
94088.00ns INFO [00011762] C0: GPR Update: R09=0000000000000011
94096.00ns INFO [00011763] C0: CP 0:000E74 1:000E78 0000000000000E74
94096.00ns INFO [00011763] C0: GPR Update: R09=0000000000000000
94096.00ns INFO [00011763] C0: GPR Update: R10=0000000000000044
94104.00ns INFO [00011764] C0: CP 0:000E7C 0000000000000E7C
94104.00ns INFO [00011764] C0: GPR Update: R09=0000000000001444
94112.00ns INFO [00011765] C0: CP 0:000E80 0000000000000E80
94112.00ns INFO [00011765] C0: GPR Update: R09=0000000080000001
94120.00ns INFO [00011766] C0: CP 0:000E84 0000000000000E84
94128.00ns INFO [00011767] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000080000001D624B27A00000000000000000000000000000000 WIMG:0
94128.00ns INFO [00011767] C0: CP 0:000E88 0000000000000E88
94128.00ns INFO [00011767] C0: GPR Update: R09=0000000000000001
94136.00ns INFO [00011768] C0: CP 0:000E8C 0000000000000E8C
94136.00ns INFO [00011768] C0: CR Update: F0=5
94160.00ns INFO [00011771] WB Slave: WR @0001FFA8 sel=F dat=80000001
94160.00ns INFO [00011771] Mem Update: @0001FFA8 08AEBF68->80000001
94160.00ns INFO [00011771] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000011 00000001 80000001 08AEBF68 08675309 00000000 0001FFF8 00001350 ...............h.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
94208.00ns INFO [00011777] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF000000008000000100000000000000000000000000000000 WIMG:0
94224.00ns INFO [00011779] C0: CP 0:000E90 0000000000000E90
94232.00ns INFO [00011780] C0: GPR Update: R10=0000000080000001
94240.00ns INFO [00011781] WB Slave: WR @0001FFAC sel=F dat=80000001
94240.00ns INFO [00011781] Mem Update: @0001FFAC 08AEBF68->80000001
94240.00ns INFO [00011781] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000011 00000001 80000001 80000001 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
94304.00ns INFO [00011789] C0: CP 0:000E94 1:000E98 0000000000000E94
94312.00ns INFO [00011790] C0: GPR Update: R09=0000000080000001
94312.00ns INFO [00011790] C0: CR Update: F0=3
94320.00ns INFO [00011791] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
94328.00ns INFO [00011792] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
94328.00ns INFO [00011792] C0: GPR Update: R09=0000000000000001
94368.00ns INFO [00011797] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
94392.00ns INFO [00011800] ...tick...
94400.00ns INFO [00011801] WB Slave: WR @0001FFA4 sel=F dat=00000001
94400.00ns INFO [00011801] Mem Update: @0001FFA4 00000001->00000001
94464.00ns INFO [00011809] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
94472.00ns INFO [00011810] C0: GPR Update: R09=0000000000000001
94472.00ns INFO [00011810] C0: CR Update: F0=5
94480.00ns INFO [00011811] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
94488.00ns INFO [00011812] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
94488.00ns INFO [00011812] C0: GPR Update: R09=0000000000000011
94496.00ns INFO [00011813] C0: GPR Update: R09=0000000000000012
94544.00ns INFO [00011819] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000012D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
94576.00ns INFO [00011823] WB Slave: WR @0001FFA0 sel=F dat=00000012
94576.00ns INFO [00011823] Mem Update: @0001FFA0 00000011->00000012
94576.00ns INFO [00011823] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000012 00000001 80000001 80000001 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
94640.00ns INFO [00011831] C0: CP 0:000ED4 0000000000000ED4
94648.00ns INFO [00011832] C0: CP 0:000ED8 0000000000000ED8
94648.00ns INFO [00011832] C0: GPR Update: R09=0000000000000012
94656.00ns INFO [00011833] C0: CR Update: F0=9
94664.00ns INFO [00011834] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
94672.00ns INFO [00011835] C0: CP 0:000E50 1:000E54 0000000000000E50
94672.00ns INFO [00011835] C0: GPR Update: R09=0000000000000012
94680.00ns INFO [00011836] C0: CP 0:000E58 1:000E5C 0000000000000E58
94680.00ns INFO [00011836] C0: GPR Update: R09=0000000000000000
94680.00ns INFO [00011836] C0: GPR Update: R10=0000000000000048
94688.00ns INFO [00011837] C0: GPR Update: R09=00000000000013A8
94720.00ns INFO [00011841] C0: CP 0:000E60 0000000000000E60
94728.00ns INFO [00011842] C0: GPR Update: R09=00000000FFFFFFFF
94736.00ns INFO [00011843] C0: CP 0:000E64 1:000E68 0000000000000E64
94744.00ns INFO [00011844] C0: CP 0:000E6C 1:000E70 0000000000000E6C
94744.00ns INFO [00011844] C0: GPR Update: R09=0000000000000012
94752.00ns INFO [00011845] C0: CP 0:000E74 1:000E78 0000000000000E74
94752.00ns INFO [00011845] C0: GPR Update: R09=0000000000000000
94752.00ns INFO [00011845] C0: GPR Update: R10=0000000000000048
94760.00ns INFO [00011846] C0: GPR Update: R09=0000000000001448
94768.00ns INFO [00011847] C0: CP 0:000E7C 0000000000000E7C
94776.00ns INFO [00011848] C0: GPR Update: R09=00000000FFFFFFFF
94784.00ns INFO [00011849] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFD624B27A00000000000000000000000000000000 WIMG:0
94784.00ns INFO [00011849] C0: CP 0:000E80 0000000000000E80
94792.00ns INFO [00011850] C0: CP 0:000E84 0000000000000E84
94800.00ns INFO [00011851] C0: CP 0:000E88 0000000000000E88
94800.00ns INFO [00011851] C0: GPR Update: R09=0000000000000001
94808.00ns INFO [00011852] C0: CP 0:000E8C 0000000000000E8C
94808.00ns INFO [00011852] C0: CR Update: F0=5
94816.00ns INFO [00011853] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
94816.00ns INFO [00011853] Mem Update: @0001FFA8 80000001->FFFFFFFF
94816.00ns INFO [00011853] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000012 00000001 FFFFFFFF 80000001 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
94848.00ns INFO [00011857] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
94880.00ns INFO [00011861] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
94880.00ns INFO [00011861] Mem Update: @0001FFAC 80000001->FFFFFFFF
94880.00ns INFO [00011861] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000012 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
94880.00ns INFO [00011861] C0: CP 0:000E90 0000000000000E90
94888.00ns INFO [00011862] C0: GPR Update: R10=00000000FFFFFFFF
94944.00ns INFO [00011869] C0: CP 0:000E94 0000000000000E94
94952.00ns INFO [00011870] C0: CP 0:000E98 0000000000000E98
94952.00ns INFO [00011870] C0: GPR Update: R09=00000000FFFFFFFF
94960.00ns INFO [00011871] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
94960.00ns INFO [00011871] C0: CR Update: F0=3
94968.00ns INFO [00011872] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
94968.00ns INFO [00011872] C0: GPR Update: R09=0000000000000001
95008.00ns INFO [00011877] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
95040.00ns INFO [00011881] WB Slave: WR @0001FFA4 sel=F dat=00000001
95040.00ns INFO [00011881] Mem Update: @0001FFA4 00000001->00000001
95104.00ns INFO [00011889] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
95112.00ns INFO [00011890] C0: GPR Update: R09=0000000000000001
95112.00ns INFO [00011890] C0: CR Update: F0=5
95120.00ns INFO [00011891] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
95128.00ns INFO [00011892] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
95128.00ns INFO [00011892] C0: GPR Update: R09=0000000000000012
95136.00ns INFO [00011893] C0: GPR Update: R09=0000000000000013
95168.00ns INFO [00011897] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000013D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
95192.00ns INFO [00011900] ...tick...
95200.00ns INFO [00011901] WB Slave: WR @0001FFA0 sel=F dat=00000013
95200.00ns INFO [00011901] Mem Update: @0001FFA0 00000012->00000013
95200.00ns INFO [00011901] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000013 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
95264.00ns INFO [00011909] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
95272.00ns INFO [00011910] C0: GPR Update: R09=0000000000000013
95272.00ns INFO [00011910] C0: CR Update: F0=9
95280.00ns INFO [00011911] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
95288.00ns INFO [00011912] C0: CP 0:000E50 1:000E54 0000000000000E50
95288.00ns INFO [00011912] C0: GPR Update: R09=0000000000000013
95296.00ns INFO [00011913] C0: CP 0:000E58 1:000E5C 0000000000000E58
95296.00ns INFO [00011913] C0: GPR Update: R09=0000000000000000
95296.00ns INFO [00011913] C0: GPR Update: R10=000000000000004C
95304.00ns INFO [00011914] C0: GPR Update: R09=00000000000013AC
95344.00ns INFO [00011919] C0: CP 0:000E60 0000000000000E60
95352.00ns INFO [00011920] C0: GPR Update: R09=0000000000000000
95360.00ns INFO [00011921] C0: CP 0:000E64 1:000E68 0000000000000E64
95368.00ns INFO [00011922] C0: CP 0:000E6C 1:000E70 0000000000000E6C
95368.00ns INFO [00011922] C0: GPR Update: R09=0000000000000013
95376.00ns INFO [00011923] C0: CP 0:000E74 1:000E78 0000000000000E74
95376.00ns INFO [00011923] C0: GPR Update: R09=0000000000000000
95376.00ns INFO [00011923] C0: GPR Update: R10=000000000000004C
95384.00ns INFO [00011924] C0: CP 0:000E7C 0000000000000E7C
95384.00ns INFO [00011924] C0: GPR Update: R09=000000000000144C
95392.00ns INFO [00011925] C0: CP 0:000E80 0000000000000E80
95392.00ns INFO [00011925] C0: GPR Update: R09=0000000000000000
95400.00ns INFO [00011926] C0: CP 0:000E84 0000000000000E84
95408.00ns INFO [00011927] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF0000000000000000D624B27A00000000000000000000000000000000 WIMG:0
95408.00ns INFO [00011927] C0: CP 0:000E88 0000000000000E88
95408.00ns INFO [00011927] C0: GPR Update: R09=0000000000000001
95416.00ns INFO [00011928] C0: CP 0:000E8C 0000000000000E8C
95416.00ns INFO [00011928] C0: CR Update: F0=5
95440.00ns INFO [00011931] WB Slave: WR @0001FFA8 sel=F dat=00000000
95440.00ns INFO [00011931] Mem Update: @0001FFA8 FFFFFFFF->00000000
95440.00ns INFO [00011931] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000013 00000001 00000000 FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
95488.00ns INFO [00011937] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF000000000000000000000000000000000000000000000000 WIMG:0
95504.00ns INFO [00011939] C0: CP 0:000E90 0000000000000E90
95512.00ns INFO [00011940] C0: GPR Update: R10=0000000000000000
95520.00ns INFO [00011941] WB Slave: WR @0001FFAC sel=F dat=00000000
95520.00ns INFO [00011941] Mem Update: @0001FFAC FFFFFFFF->00000000
95520.00ns INFO [00011941] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000013 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
95584.00ns INFO [00011949] C0: CP 0:000E94 1:000E98 0000000000000E94
95592.00ns INFO [00011950] C0: GPR Update: R09=0000000000000000
95592.00ns INFO [00011950] C0: CR Update: F0=3
95600.00ns INFO [00011951] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
95608.00ns INFO [00011952] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
95608.00ns INFO [00011952] C0: GPR Update: R09=0000000000000001
95648.00ns INFO [00011957] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
95680.00ns INFO [00011961] WB Slave: WR @0001FFA4 sel=F dat=00000001
95680.00ns INFO [00011961] Mem Update: @0001FFA4 00000001->00000001
95744.00ns INFO [00011969] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
95752.00ns INFO [00011970] C0: GPR Update: R09=0000000000000001
95752.00ns INFO [00011970] C0: CR Update: F0=5
95760.00ns INFO [00011971] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
95768.00ns INFO [00011972] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
95768.00ns INFO [00011972] C0: GPR Update: R09=0000000000000013
95776.00ns INFO [00011973] C0: GPR Update: R09=0000000000000014
95824.00ns INFO [00011979] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000014D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
95856.00ns INFO [00011983] WB Slave: WR @0001FFA0 sel=F dat=00000014
95856.00ns INFO [00011983] Mem Update: @0001FFA0 00000013->00000014
95856.00ns INFO [00011983] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000014 00000001 00000000 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
95920.00ns INFO [00011991] C0: CP 0:000ED4 0000000000000ED4
95928.00ns INFO [00011992] C0: CP 0:000ED8 0000000000000ED8
95928.00ns INFO [00011992] C0: GPR Update: R09=0000000000000014
95936.00ns INFO [00011993] C0: CR Update: F0=9
95944.00ns INFO [00011994] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
95952.00ns INFO [00011995] C0: CP 0:000E50 1:000E54 0000000000000E50
95952.00ns INFO [00011995] C0: GPR Update: R09=0000000000000014
95960.00ns INFO [00011996] C0: CP 0:000E58 1:000E5C 0000000000000E58
95960.00ns INFO [00011996] C0: GPR Update: R09=0000000000000000
95960.00ns INFO [00011996] C0: GPR Update: R10=0000000000000050
95968.00ns INFO [00011997] C0: GPR Update: R09=00000000000013B0
95992.00ns INFO [00012000] ...tick...
96000.00ns INFO [00012001] C0: CP 0:000E60 0000000000000E60
96008.00ns INFO [00012002] C0: GPR Update: R09=00000000FFFFFFFF
96016.00ns INFO [00012003] C0: CP 0:000E64 1:000E68 0000000000000E64
96024.00ns INFO [00012004] C0: CP 0:000E6C 1:000E70 0000000000000E6C
96024.00ns INFO [00012004] C0: GPR Update: R09=0000000000000014
96032.00ns INFO [00012005] C0: CP 0:000E74 1:000E78 0000000000000E74
96032.00ns INFO [00012005] C0: GPR Update: R09=0000000000000000
96032.00ns INFO [00012005] C0: GPR Update: R10=0000000000000050
96040.00ns INFO [00012006] C0: GPR Update: R09=0000000000001450
96048.00ns INFO [00012007] C0: CP 0:000E7C 0000000000000E7C
96056.00ns INFO [00012008] C0: GPR Update: R09=00000000FFFFFFFF
96064.00ns INFO [00012009] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFD624B27A00000000000000000000000000000000 WIMG:0
96064.00ns INFO [00012009] C0: CP 0:000E80 0000000000000E80
96072.00ns INFO [00012010] C0: CP 0:000E84 0000000000000E84
96080.00ns INFO [00012011] C0: CP 0:000E88 0000000000000E88
96080.00ns INFO [00012011] C0: GPR Update: R09=0000000000000001
96088.00ns INFO [00012012] C0: CP 0:000E8C 0000000000000E8C
96088.00ns INFO [00012012] C0: CR Update: F0=5
96096.00ns INFO [00012013] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
96096.00ns INFO [00012013] Mem Update: @0001FFA8 00000000->FFFFFFFF
96096.00ns INFO [00012013] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000014 00000001 FFFFFFFF 00000000 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
96128.00ns INFO [00012017] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
96160.00ns INFO [00012021] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
96160.00ns INFO [00012021] Mem Update: @0001FFAC 00000000->FFFFFFFF
96160.00ns INFO [00012021] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000014 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
96160.00ns INFO [00012021] C0: CP 0:000E90 0000000000000E90
96168.00ns INFO [00012022] C0: GPR Update: R10=00000000FFFFFFFF
96224.00ns INFO [00012029] C0: CP 0:000E94 0000000000000E94
96232.00ns INFO [00012030] C0: CP 0:000E98 0000000000000E98
96232.00ns INFO [00012030] C0: GPR Update: R09=00000000FFFFFFFF
96240.00ns INFO [00012031] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
96240.00ns INFO [00012031] C0: CR Update: F0=3
96248.00ns INFO [00012032] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
96248.00ns INFO [00012032] C0: GPR Update: R09=0000000000000001
96288.00ns INFO [00012037] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
96320.00ns INFO [00012041] WB Slave: WR @0001FFA4 sel=F dat=00000001
96320.00ns INFO [00012041] Mem Update: @0001FFA4 00000001->00000001
96384.00ns INFO [00012049] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
96392.00ns INFO [00012050] C0: GPR Update: R09=0000000000000001
96392.00ns INFO [00012050] C0: CR Update: F0=5
96400.00ns INFO [00012051] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
96408.00ns INFO [00012052] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
96408.00ns INFO [00012052] C0: GPR Update: R09=0000000000000014
96416.00ns INFO [00012053] C0: GPR Update: R09=0000000000000015
96448.00ns INFO [00012057] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000015D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
96480.00ns INFO [00012061] WB Slave: WR @0001FFA0 sel=F dat=00000015
96480.00ns INFO [00012061] Mem Update: @0001FFA0 00000014->00000015
96480.00ns INFO [00012061] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000015 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
96544.00ns INFO [00012069] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
96552.00ns INFO [00012070] C0: GPR Update: R09=0000000000000015
96552.00ns INFO [00012070] C0: CR Update: F0=9
96560.00ns INFO [00012071] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
96568.00ns INFO [00012072] C0: CP 0:000E50 1:000E54 0000000000000E50
96568.00ns INFO [00012072] C0: GPR Update: R09=0000000000000015
96576.00ns INFO [00012073] C0: CP 0:000E58 1:000E5C 0000000000000E58
96576.00ns INFO [00012073] C0: GPR Update: R09=0000000000000000
96576.00ns INFO [00012073] C0: GPR Update: R10=0000000000000054
96584.00ns INFO [00012074] C0: GPR Update: R09=00000000000013B4
96624.00ns INFO [00012079] C0: CP 0:000E60 0000000000000E60
96632.00ns INFO [00012080] C0: GPR Update: R09=00000000FFFFFFFF
96640.00ns INFO [00012081] C0: CP 0:000E64 1:000E68 0000000000000E64
96648.00ns INFO [00012082] C0: CP 0:000E6C 1:000E70 0000000000000E6C
96648.00ns INFO [00012082] C0: GPR Update: R09=0000000000000015
96656.00ns INFO [00012083] C0: CP 0:000E74 1:000E78 0000000000000E74
96656.00ns INFO [00012083] C0: GPR Update: R09=0000000000000000
96656.00ns INFO [00012083] C0: GPR Update: R10=0000000000000054
96664.00ns INFO [00012084] C0: CP 0:000E7C 0000000000000E7C
96664.00ns INFO [00012084] C0: GPR Update: R09=0000000000001454
96672.00ns INFO [00012085] C0: CP 0:000E80 0000000000000E80
96672.00ns INFO [00012085] C0: GPR Update: R09=00000000FFFFFFFF
96680.00ns INFO [00012086] C0: CP 0:000E84 0000000000000E84
96688.00ns INFO [00012087] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFD624B27A00000000000000000000000000000000 WIMG:0
96688.00ns INFO [00012087] C0: CP 0:000E88 0000000000000E88
96688.00ns INFO [00012087] C0: GPR Update: R09=0000000000000001
96696.00ns INFO [00012088] C0: CP 0:000E8C 0000000000000E8C
96696.00ns INFO [00012088] C0: CR Update: F0=5
96720.00ns INFO [00012091] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
96720.00ns INFO [00012091] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
96768.00ns INFO [00012097] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
96784.00ns INFO [00012099] C0: CP 0:000E90 0000000000000E90
96792.00ns INFO [00012100] ...tick...
96792.00ns INFO [00012100] C0: GPR Update: R10=00000000FFFFFFFF
96800.00ns INFO [00012101] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
96800.00ns INFO [00012101] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
96864.00ns INFO [00012109] C0: CP 0:000E94 1:000E98 0000000000000E94
96872.00ns INFO [00012110] C0: GPR Update: R09=00000000FFFFFFFF
96872.00ns INFO [00012110] C0: CR Update: F0=3
96880.00ns INFO [00012111] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
96888.00ns INFO [00012112] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
96888.00ns INFO [00012112] C0: GPR Update: R09=0000000000000001
96928.00ns INFO [00012117] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
96960.00ns INFO [00012121] WB Slave: WR @0001FFA4 sel=F dat=00000001
96960.00ns INFO [00012121] Mem Update: @0001FFA4 00000001->00000001
97024.00ns INFO [00012129] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
97032.00ns INFO [00012130] C0: GPR Update: R09=0000000000000001
97032.00ns INFO [00012130] C0: CR Update: F0=5
97040.00ns INFO [00012131] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
97048.00ns INFO [00012132] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
97048.00ns INFO [00012132] C0: GPR Update: R09=0000000000000015
97056.00ns INFO [00012133] C0: GPR Update: R09=0000000000000016
97104.00ns INFO [00012139] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000016D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
97136.00ns INFO [00012143] WB Slave: WR @0001FFA0 sel=F dat=00000016
97136.00ns INFO [00012143] Mem Update: @0001FFA0 00000015->00000016
97136.00ns INFO [00012143] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000016 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
97200.00ns INFO [00012151] C0: CP 0:000ED4 0000000000000ED4
97208.00ns INFO [00012152] C0: CP 0:000ED8 0000000000000ED8
97208.00ns INFO [00012152] C0: GPR Update: R09=0000000000000016
97216.00ns INFO [00012153] C0: CR Update: F0=9
97224.00ns INFO [00012154] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
97232.00ns INFO [00012155] C0: CP 0:000E50 1:000E54 0000000000000E50
97232.00ns INFO [00012155] C0: GPR Update: R09=0000000000000016
97240.00ns INFO [00012156] C0: CP 0:000E58 1:000E5C 0000000000000E58
97240.00ns INFO [00012156] C0: GPR Update: R09=0000000000000000
97240.00ns INFO [00012156] C0: GPR Update: R10=0000000000000058
97248.00ns INFO [00012157] C0: GPR Update: R09=00000000000013B8
97280.00ns INFO [00012161] C0: CP 0:000E60 0000000000000E60
97288.00ns INFO [00012162] C0: GPR Update: R09=00000000328A0CED
97296.00ns INFO [00012163] C0: CP 0:000E64 1:000E68 0000000000000E64
97304.00ns INFO [00012164] C0: CP 0:000E6C 1:000E70 0000000000000E6C
97304.00ns INFO [00012164] C0: GPR Update: R09=0000000000000016
97312.00ns INFO [00012165] C0: CP 0:000E74 1:000E78 0000000000000E74
97312.00ns INFO [00012165] C0: GPR Update: R09=0000000000000000
97312.00ns INFO [00012165] C0: GPR Update: R10=0000000000000058
97320.00ns INFO [00012166] C0: GPR Update: R09=0000000000001458
97328.00ns INFO [00012167] C0: CP 0:000E7C 0000000000000E7C
97336.00ns INFO [00012168] C0: GPR Update: R09=00000000328A0CED
97344.00ns INFO [00012169] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000328A0CEDD624B27A00000000000000000000000000000000 WIMG:0
97344.00ns INFO [00012169] C0: CP 0:000E80 0000000000000E80
97352.00ns INFO [00012170] C0: CP 0:000E84 0000000000000E84
97360.00ns INFO [00012171] C0: CP 0:000E88 0000000000000E88
97360.00ns INFO [00012171] C0: GPR Update: R09=0000000000000001
97368.00ns INFO [00012172] C0: CP 0:000E8C 0000000000000E8C
97368.00ns INFO [00012172] C0: CR Update: F0=5
97376.00ns INFO [00012173] WB Slave: WR @0001FFA8 sel=F dat=328A0CED
97376.00ns INFO [00012173] Mem Update: @0001FFA8 FFFFFFFF->328A0CED
97376.00ns INFO [00012173] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000016 00000001 328A0CED FFFFFFFF 08675309 00000000 0001FFF8 00001350 ........2........gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
97408.00ns INFO [00012177] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000328A0CED00000000000000000000000000000000 WIMG:0
97440.00ns INFO [00012181] WB Slave: WR @0001FFAC sel=F dat=328A0CED
97440.00ns INFO [00012181] Mem Update: @0001FFAC FFFFFFFF->328A0CED
97440.00ns INFO [00012181] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000016 00000001 328A0CED 328A0CED 08675309 00000000 0001FFF8 00001350 ........2...2....gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
97440.00ns INFO [00012181] C0: CP 0:000E90 0000000000000E90
97448.00ns INFO [00012182] C0: GPR Update: R10=00000000328A0CED
97504.00ns INFO [00012189] C0: CP 0:000E94 0000000000000E94
97512.00ns INFO [00012190] C0: CP 0:000E98 0000000000000E98
97512.00ns INFO [00012190] C0: GPR Update: R09=00000000328A0CED
97520.00ns INFO [00012191] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
97520.00ns INFO [00012191] C0: CR Update: F0=3
97528.00ns INFO [00012192] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
97528.00ns INFO [00012192] C0: GPR Update: R09=0000000000000001
97568.00ns INFO [00012197] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
97592.00ns INFO [00012200] ...tick...
97600.00ns INFO [00012201] WB Slave: WR @0001FFA4 sel=F dat=00000001
97600.00ns INFO [00012201] Mem Update: @0001FFA4 00000001->00000001
97664.00ns INFO [00012209] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
97672.00ns INFO [00012210] C0: GPR Update: R09=0000000000000001
97672.00ns INFO [00012210] C0: CR Update: F0=5
97680.00ns INFO [00012211] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
97688.00ns INFO [00012212] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
97688.00ns INFO [00012212] C0: GPR Update: R09=0000000000000016
97696.00ns INFO [00012213] C0: GPR Update: R09=0000000000000017
97728.00ns INFO [00012217] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000017D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
97760.00ns INFO [00012221] WB Slave: WR @0001FFA0 sel=F dat=00000017
97760.00ns INFO [00012221] Mem Update: @0001FFA0 00000016->00000017
97760.00ns INFO [00012221] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000017 00000001 328A0CED 328A0CED 08675309 00000000 0001FFF8 00001350 ........2...2....gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
97824.00ns INFO [00012229] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
97832.00ns INFO [00012230] C0: GPR Update: R09=0000000000000017
97832.00ns INFO [00012230] C0: CR Update: F0=9
97840.00ns INFO [00012231] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
97848.00ns INFO [00012232] C0: CP 0:000E50 1:000E54 0000000000000E50
97848.00ns INFO [00012232] C0: GPR Update: R09=0000000000000017
97856.00ns INFO [00012233] C0: CP 0:000E58 1:000E5C 0000000000000E58
97856.00ns INFO [00012233] C0: GPR Update: R09=0000000000000000
97856.00ns INFO [00012233] C0: GPR Update: R10=000000000000005C
97864.00ns INFO [00012234] C0: GPR Update: R09=00000000000013BC
97904.00ns INFO [00012239] C0: CP 0:000E60 0000000000000E60
97912.00ns INFO [00012240] C0: GPR Update: R09=00000000FFFFFFFF
97920.00ns INFO [00012241] C0: CP 0:000E64 1:000E68 0000000000000E64
97928.00ns INFO [00012242] C0: CP 0:000E6C 1:000E70 0000000000000E6C
97928.00ns INFO [00012242] C0: GPR Update: R09=0000000000000017
97936.00ns INFO [00012243] C0: CP 0:000E74 1:000E78 0000000000000E74
97936.00ns INFO [00012243] C0: GPR Update: R09=0000000000000000
97936.00ns INFO [00012243] C0: GPR Update: R10=000000000000005C
97944.00ns INFO [00012244] C0: CP 0:000E7C 0000000000000E7C
97944.00ns INFO [00012244] C0: GPR Update: R09=000000000000145C
97952.00ns INFO [00012245] C0: CP 0:000E80 0000000000000E80
97952.00ns INFO [00012245] C0: GPR Update: R09=00000000FFFFFFFF
97960.00ns INFO [00012246] C0: CP 0:000E84 0000000000000E84
97968.00ns INFO [00012247] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=FFFFFFFF00000000FFFFFFFFD624B27A00000000000000000000000000000000 WIMG:0
97968.00ns INFO [00012247] C0: CP 0:000E88 0000000000000E88
97968.00ns INFO [00012247] C0: GPR Update: R09=0000000000000001
97976.00ns INFO [00012248] C0: CP 0:000E8C 0000000000000E8C
97976.00ns INFO [00012248] C0: CR Update: F0=5
98000.00ns INFO [00012251] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
98000.00ns INFO [00012251] Mem Update: @0001FFA8 328A0CED->FFFFFFFF
98000.00ns INFO [00012251] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000017 00000001 FFFFFFFF 328A0CED 08675309 00000000 0001FFF8 00001350 ............2....gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
98048.00ns INFO [00012257] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=D624B27AFFFFFFFF00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
98064.00ns INFO [00012259] C0: CP 0:000E90 0000000000000E90
98072.00ns INFO [00012260] C0: GPR Update: R10=00000000FFFFFFFF
98080.00ns INFO [00012261] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
98080.00ns INFO [00012261] Mem Update: @0001FFAC 328A0CED->FFFFFFFF
98080.00ns INFO [00012261] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000017 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
98144.00ns INFO [00012269] C0: CP 0:000E94 1:000E98 0000000000000E94
98152.00ns INFO [00012270] C0: GPR Update: R09=00000000FFFFFFFF
98152.00ns INFO [00012270] C0: CR Update: F0=3
98160.00ns INFO [00012271] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
98168.00ns INFO [00012272] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
98168.00ns INFO [00012272] C0: GPR Update: R09=0000000000000001
98208.00ns INFO [00012277] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001D624B27AFFFFFFFF00000000000000000000000000000000 WIMG:0
98240.00ns INFO [00012281] WB Slave: WR @0001FFA4 sel=F dat=00000001
98240.00ns INFO [00012281] Mem Update: @0001FFA4 00000001->00000001
98304.00ns INFO [00012289] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
98312.00ns INFO [00012290] C0: GPR Update: R09=0000000000000001
98312.00ns INFO [00012290] C0: CR Update: F0=5
98320.00ns INFO [00012291] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
98328.00ns INFO [00012292] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
98328.00ns INFO [00012292] C0: GPR Update: R09=0000000000000017
98336.00ns INFO [00012293] C0: GPR Update: R09=0000000000000018
98384.00ns INFO [00012299] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000018D624B27AFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
98392.00ns INFO [00012300] ...tick...
98416.00ns INFO [00012303] WB Slave: WR @0001FFA0 sel=F dat=00000018
98416.00ns INFO [00012303] Mem Update: @0001FFA0 00000017->00000018
98416.00ns INFO [00012303] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000018 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
98480.00ns INFO [00012311] C0: CP 0:000ED4 0000000000000ED4
98488.00ns INFO [00012312] C0: CP 0:000ED8 0000000000000ED8
98488.00ns INFO [00012312] C0: GPR Update: R09=0000000000000018
98496.00ns INFO [00012313] C0: CR Update: F0=9
98504.00ns INFO [00012314] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
98512.00ns INFO [00012315] C0: CP 0:000E50 1:000E54 0000000000000E50
98512.00ns INFO [00012315] C0: GPR Update: R09=0000000000000018
98520.00ns INFO [00012316] T0 LOAD 000013C0 tag=00 len=4 WIMG:0
98520.00ns INFO [00012316] C0: CP 0:000E58 1:000E5C 0000000000000E58
98520.00ns INFO [00012316] C0: GPR Update: R09=0000000000000000
98520.00ns INFO [00012316] C0: GPR Update: R10=0000000000000060
98528.00ns INFO [00012317] C0: GPR Update: R09=00000000000013C0
98536.00ns INFO [00012318] WB Slave: RD @000013C0=FFFFFFFF
98552.00ns INFO [00012320] WB Slave: RD @000013C4=AF224C19
98568.00ns INFO [00012322] WB Slave: RD @000013C8=FFFFFFFF
98584.00ns INFO [00012324] WB Slave: RD @000013CC=FFFFFFFF
98600.00ns INFO [00012326] WB Slave: RD @000013D0=D624B27A
98616.00ns INFO [00012328] WB Slave: RD @000013D4=FFFFFFFF
98632.00ns INFO [00012330] WB Slave: RD @000013D8=FFFFFFFF
98648.00ns INFO [00012332] WB Slave: RD @000013DC=FFFFFFFF
98664.00ns INFO [00012334] WB Slave: RD @000013E0=9BFD3628
98680.00ns INFO [00012336] WB Slave: RD @000013E4=8000006E
98696.00ns INFO [00012338] WB Slave: RD @000013E8=FFFFFFFF
98712.00ns INFO [00012340] WB Slave: RD @000013EC=FFFFFFFF
98728.00ns INFO [00012342] WB Slave: RD @000013F0=CD75F313
98744.00ns INFO [00012344] WB Slave: RD @000013F4=60000000
98760.00ns INFO [00012346] WB Slave: RD @000013F8=60000000
98776.00ns INFO [00012348] WB Slave: RD @000013FC=60000000
98864.00ns INFO [00012359] C0: CP 0:000E60 0000000000000E60
98872.00ns INFO [00012360] C0: GPR Update: R09=00000000FFFFFFFF
98896.00ns INFO [00012363] C0: CP 0:000E64 1:000E68 0000000000000E64
98904.00ns INFO [00012364] C0: CP 0:000E6C 1:000E70 0000000000000E6C
98904.00ns INFO [00012364] C0: GPR Update: R09=0000000000000018
98912.00ns INFO [00012365] C0: CP 0:000E74 1:000E78 0000000000000E74
98912.00ns INFO [00012365] C0: GPR Update: R09=0000000000000000
98912.00ns INFO [00012365] C0: GPR Update: R10=0000000000000060
98920.00ns INFO [00012366] C0: CP 0:000E7C 1:000E80 0000000000000E7C
98920.00ns INFO [00012366] C0: GPR Update: R09=0000000000001460
98928.00ns INFO [00012367] C0: CP 0:000E84 1:000E88 0000000000000E84
98928.00ns INFO [00012367] C0: GPR Update: R09=00000000FFFFFFFF
98936.00ns INFO [00012368] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
98936.00ns INFO [00012368] C0: CP 0:000E8C 0000000000000E8C
98936.00ns INFO [00012368] C0: GPR Update: R09=0000000000000001
98936.00ns INFO [00012368] C0: CR Update: F0=5
98968.00ns INFO [00012372] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
98968.00ns INFO [00012372] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
99008.00ns INFO [00012377] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
99040.00ns INFO [00012381] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
99040.00ns INFO [00012381] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
99040.00ns INFO [00012381] C0: CP 0:000E90 0000000000000E90
99048.00ns INFO [00012382] C0: GPR Update: R10=00000000FFFFFFFF
99104.00ns INFO [00012389] C0: CP 0:000E94 0000000000000E94
99112.00ns INFO [00012390] C0: CP 0:000E98 0000000000000E98
99112.00ns INFO [00012390] C0: GPR Update: R09=00000000FFFFFFFF
99120.00ns INFO [00012391] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
99120.00ns INFO [00012391] C0: CR Update: F0=3
99128.00ns INFO [00012392] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
99128.00ns INFO [00012392] C0: GPR Update: R09=0000000000000001
99168.00ns INFO [00012397] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
99192.00ns INFO [00012400] ...tick...
99200.00ns INFO [00012401] WB Slave: WR @0001FFA4 sel=F dat=00000001
99200.00ns INFO [00012401] Mem Update: @0001FFA4 00000001->00000001
99264.00ns INFO [00012409] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
99272.00ns INFO [00012410] C0: GPR Update: R09=0000000000000001
99272.00ns INFO [00012410] C0: CR Update: F0=5
99280.00ns INFO [00012411] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
99288.00ns INFO [00012412] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
99288.00ns INFO [00012412] C0: GPR Update: R09=0000000000000018
99296.00ns INFO [00012413] C0: GPR Update: R09=0000000000000019
99328.00ns INFO [00012417] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000019CD75F313600000000000000000000000000000000000000000000000 WIMG:0
99360.00ns INFO [00012421] WB Slave: WR @0001FFA0 sel=F dat=00000019
99360.00ns INFO [00012421] Mem Update: @0001FFA0 00000018->00000019
99360.00ns INFO [00012421] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000019 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
99424.00ns INFO [00012429] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
99432.00ns INFO [00012430] C0: GPR Update: R09=0000000000000019
99432.00ns INFO [00012430] C0: CR Update: F0=9
99440.00ns INFO [00012431] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
99448.00ns INFO [00012432] C0: CP 0:000E50 1:000E54 0000000000000E50
99448.00ns INFO [00012432] C0: GPR Update: R09=0000000000000019
99456.00ns INFO [00012433] C0: CP 0:000E58 1:000E5C 0000000000000E58
99456.00ns INFO [00012433] C0: GPR Update: R09=0000000000000000
99456.00ns INFO [00012433] C0: GPR Update: R10=0000000000000064
99464.00ns INFO [00012434] C0: GPR Update: R09=00000000000013C4
99504.00ns INFO [00012439] C0: CP 0:000E60 0000000000000E60
99512.00ns INFO [00012440] C0: GPR Update: R09=00000000AF224C19
99520.00ns INFO [00012441] C0: CP 0:000E64 1:000E68 0000000000000E64
99528.00ns INFO [00012442] C0: CP 0:000E6C 1:000E70 0000000000000E6C
99528.00ns INFO [00012442] C0: GPR Update: R09=0000000000000019
99536.00ns INFO [00012443] C0: CP 0:000E74 1:000E78 0000000000000E74
99536.00ns INFO [00012443] C0: GPR Update: R09=0000000000000000
99536.00ns INFO [00012443] C0: GPR Update: R10=0000000000000064
99544.00ns INFO [00012444] C0: CP 0:000E7C 0000000000000E7C
99544.00ns INFO [00012444] C0: GPR Update: R09=0000000000001464
99552.00ns INFO [00012445] C0: CP 0:000E80 0000000000000E80
99552.00ns INFO [00012445] C0: GPR Update: R09=00000000AF224C19
99560.00ns INFO [00012446] C0: CP 0:000E84 0000000000000E84
99568.00ns INFO [00012447] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000AF224C19CD75F31300000000000000000000000000000000 WIMG:0
99568.00ns INFO [00012447] C0: CP 0:000E88 0000000000000E88
99568.00ns INFO [00012447] C0: GPR Update: R09=0000000000000001
99576.00ns INFO [00012448] C0: CP 0:000E8C 0000000000000E8C
99576.00ns INFO [00012448] C0: CR Update: F0=5
99600.00ns INFO [00012451] WB Slave: WR @0001FFA8 sel=F dat=AF224C19
99600.00ns INFO [00012451] Mem Update: @0001FFA8 FFFFFFFF->AF224C19
99600.00ns INFO [00012451] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000019 00000001 AF224C19 FFFFFFFF 08675309 00000000 0001FFF8 00001350 ........."L......gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
99648.00ns INFO [00012457] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000AF224C1900000000000000000000000000000000 WIMG:0
99664.00ns INFO [00012459] C0: CP 0:000E90 0000000000000E90
99672.00ns INFO [00012460] C0: GPR Update: R10=00000000AF224C19
99680.00ns INFO [00012461] WB Slave: WR @0001FFAC sel=F dat=AF224C19
99680.00ns INFO [00012461] Mem Update: @0001FFAC FFFFFFFF->AF224C19
99680.00ns INFO [00012461] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000019 00000001 AF224C19 AF224C19 08675309 00000000 0001FFF8 00001350 ........."L.."L..gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
99744.00ns INFO [00012469] C0: CP 0:000E94 1:000E98 0000000000000E94
99752.00ns INFO [00012470] C0: GPR Update: R09=00000000AF224C19
99752.00ns INFO [00012470] C0: CR Update: F0=3
99760.00ns INFO [00012471] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
99768.00ns INFO [00012472] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
99768.00ns INFO [00012472] C0: GPR Update: R09=0000000000000001
99808.00ns INFO [00012477] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
99840.00ns INFO [00012481] WB Slave: WR @0001FFA4 sel=F dat=00000001
99840.00ns INFO [00012481] Mem Update: @0001FFA4 00000001->00000001
99904.00ns INFO [00012489] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
99912.00ns INFO [00012490] C0: GPR Update: R09=0000000000000001
99912.00ns INFO [00012490] C0: CR Update: F0=5
99920.00ns INFO [00012491] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
99928.00ns INFO [00012492] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
99928.00ns INFO [00012492] C0: GPR Update: R09=0000000000000019
99936.00ns INFO [00012493] C0: GPR Update: R09=000000000000001A
99984.00ns INFO [00012499] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001ACD75F313600000000000000000000000000000000000000000000000 WIMG:0
99992.00ns INFO [00012500] ...tick...
100016.00ns INFO [00012503] WB Slave: WR @0001FFA0 sel=F dat=0000001A
100016.00ns INFO [00012503] Mem Update: @0001FFA0 00000019->0000001A
100016.00ns INFO [00012503] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001A 00000001 AF224C19 AF224C19 08675309 00000000 0001FFF8 00001350 ........."L.."L..gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
100080.00ns INFO [00012511] C0: CP 0:000ED4 0000000000000ED4
100088.00ns INFO [00012512] C0: CP 0:000ED8 0000000000000ED8
100088.00ns INFO [00012512] C0: GPR Update: R09=000000000000001A
100096.00ns INFO [00012513] C0: CR Update: F0=9
100104.00ns INFO [00012514] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
100112.00ns INFO [00012515] C0: CP 0:000E50 1:000E54 0000000000000E50
100112.00ns INFO [00012515] C0: GPR Update: R09=000000000000001A
100120.00ns INFO [00012516] C0: CP 0:000E58 1:000E5C 0000000000000E58
100120.00ns INFO [00012516] C0: GPR Update: R09=0000000000000000
100120.00ns INFO [00012516] C0: GPR Update: R10=0000000000000068
100128.00ns INFO [00012517] C0: GPR Update: R09=00000000000013C8
100160.00ns INFO [00012521] C0: CP 0:000E60 0000000000000E60
100168.00ns INFO [00012522] C0: GPR Update: R09=00000000FFFFFFFF
100176.00ns INFO [00012523] C0: CP 0:000E64 1:000E68 0000000000000E64
100184.00ns INFO [00012524] C0: CP 0:000E6C 1:000E70 0000000000000E6C
100184.00ns INFO [00012524] C0: GPR Update: R09=000000000000001A
100192.00ns INFO [00012525] C0: CP 0:000E74 1:000E78 0000000000000E74
100192.00ns INFO [00012525] C0: GPR Update: R09=0000000000000000
100192.00ns INFO [00012525] C0: GPR Update: R10=0000000000000068
100200.00ns INFO [00012526] C0: GPR Update: R09=0000000000001468
100208.00ns INFO [00012527] C0: CP 0:000E7C 0000000000000E7C
100216.00ns INFO [00012528] C0: GPR Update: R09=00000000FFFFFFFF
100224.00ns INFO [00012529] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
100224.00ns INFO [00012529] C0: CP 0:000E80 0000000000000E80
100232.00ns INFO [00012530] C0: CP 0:000E84 0000000000000E84
100240.00ns INFO [00012531] C0: CP 0:000E88 0000000000000E88
100240.00ns INFO [00012531] C0: GPR Update: R09=0000000000000001
100248.00ns INFO [00012532] C0: CP 0:000E8C 0000000000000E8C
100248.00ns INFO [00012532] C0: CR Update: F0=5
100256.00ns INFO [00012533] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
100256.00ns INFO [00012533] Mem Update: @0001FFA8 AF224C19->FFFFFFFF
100256.00ns INFO [00012533] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001A 00000001 FFFFFFFF AF224C19 08675309 00000000 0001FFF8 00001350 ............."L..gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
100288.00ns INFO [00012537] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
100320.00ns INFO [00012541] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
100320.00ns INFO [00012541] Mem Update: @0001FFAC AF224C19->FFFFFFFF
100320.00ns INFO [00012541] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001A 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
100320.00ns INFO [00012541] C0: CP 0:000E90 0000000000000E90
100328.00ns INFO [00012542] C0: GPR Update: R10=00000000FFFFFFFF
100384.00ns INFO [00012549] C0: CP 0:000E94 0000000000000E94
100392.00ns INFO [00012550] C0: CP 0:000E98 0000000000000E98
100392.00ns INFO [00012550] C0: GPR Update: R09=00000000FFFFFFFF
100400.00ns INFO [00012551] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
100400.00ns INFO [00012551] C0: CR Update: F0=3
100408.00ns INFO [00012552] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
100408.00ns INFO [00012552] C0: GPR Update: R09=0000000000000001
100448.00ns INFO [00012557] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
100480.00ns INFO [00012561] WB Slave: WR @0001FFA4 sel=F dat=00000001
100480.00ns INFO [00012561] Mem Update: @0001FFA4 00000001->00000001
100544.00ns INFO [00012569] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
100552.00ns INFO [00012570] C0: GPR Update: R09=0000000000000001
100552.00ns INFO [00012570] C0: CR Update: F0=5
100560.00ns INFO [00012571] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
100568.00ns INFO [00012572] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
100568.00ns INFO [00012572] C0: GPR Update: R09=000000000000001A
100576.00ns INFO [00012573] C0: GPR Update: R09=000000000000001B
100608.00ns INFO [00012577] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001BCD75F313600000000000000000000000000000000000000000000000 WIMG:0
100640.00ns INFO [00012581] WB Slave: WR @0001FFA0 sel=F dat=0000001B
100640.00ns INFO [00012581] Mem Update: @0001FFA0 0000001A->0000001B
100640.00ns INFO [00012581] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001B 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
100704.00ns INFO [00012589] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
100712.00ns INFO [00012590] C0: GPR Update: R09=000000000000001B
100712.00ns INFO [00012590] C0: CR Update: F0=9
100720.00ns INFO [00012591] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
100728.00ns INFO [00012592] C0: CP 0:000E50 1:000E54 0000000000000E50
100728.00ns INFO [00012592] C0: GPR Update: R09=000000000000001B
100736.00ns INFO [00012593] C0: CP 0:000E58 1:000E5C 0000000000000E58
100736.00ns INFO [00012593] C0: GPR Update: R09=0000000000000000
100736.00ns INFO [00012593] C0: GPR Update: R10=000000000000006C
100744.00ns INFO [00012594] C0: GPR Update: R09=00000000000013CC
100784.00ns INFO [00012599] C0: CP 0:000E60 0000000000000E60
100792.00ns INFO [00012600] ...tick...
100792.00ns INFO [00012600] C0: GPR Update: R09=00000000FFFFFFFF
100800.00ns INFO [00012601] C0: CP 0:000E64 1:000E68 0000000000000E64
100808.00ns INFO [00012602] C0: CP 0:000E6C 1:000E70 0000000000000E6C
100808.00ns INFO [00012602] C0: GPR Update: R09=000000000000001B
100816.00ns INFO [00012603] C0: CP 0:000E74 1:000E78 0000000000000E74
100816.00ns INFO [00012603] C0: GPR Update: R09=0000000000000000
100816.00ns INFO [00012603] C0: GPR Update: R10=000000000000006C
100824.00ns INFO [00012604] C0: CP 0:000E7C 0000000000000E7C
100824.00ns INFO [00012604] C0: GPR Update: R09=000000000000146C
100832.00ns INFO [00012605] C0: CP 0:000E80 0000000000000E80
100832.00ns INFO [00012605] C0: GPR Update: R09=00000000FFFFFFFF
100840.00ns INFO [00012606] C0: CP 0:000E84 0000000000000E84
100848.00ns INFO [00012607] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
100848.00ns INFO [00012607] C0: CP 0:000E88 0000000000000E88
100848.00ns INFO [00012607] C0: GPR Update: R09=0000000000000001
100856.00ns INFO [00012608] C0: CP 0:000E8C 0000000000000E8C
100856.00ns INFO [00012608] C0: CR Update: F0=5
100880.00ns INFO [00012611] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
100880.00ns INFO [00012611] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
100928.00ns INFO [00012617] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
100944.00ns INFO [00012619] C0: CP 0:000E90 0000000000000E90
100952.00ns INFO [00012620] C0: GPR Update: R10=00000000FFFFFFFF
100960.00ns INFO [00012621] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
100960.00ns INFO [00012621] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
101024.00ns INFO [00012629] C0: CP 0:000E94 1:000E98 0000000000000E94
101032.00ns INFO [00012630] C0: GPR Update: R09=00000000FFFFFFFF
101032.00ns INFO [00012630] C0: CR Update: F0=3
101040.00ns INFO [00012631] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
101048.00ns INFO [00012632] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
101048.00ns INFO [00012632] C0: GPR Update: R09=0000000000000001
101088.00ns INFO [00012637] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
101120.00ns INFO [00012641] WB Slave: WR @0001FFA4 sel=F dat=00000001
101120.00ns INFO [00012641] Mem Update: @0001FFA4 00000001->00000001
101184.00ns INFO [00012649] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
101192.00ns INFO [00012650] C0: GPR Update: R09=0000000000000001
101192.00ns INFO [00012650] C0: CR Update: F0=5
101200.00ns INFO [00012651] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
101208.00ns INFO [00012652] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
101208.00ns INFO [00012652] C0: GPR Update: R09=000000000000001B
101216.00ns INFO [00012653] C0: GPR Update: R09=000000000000001C
101264.00ns INFO [00012659] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001CCD75F313600000000000000000000000000000000000000000000000 WIMG:0
101296.00ns INFO [00012663] WB Slave: WR @0001FFA0 sel=F dat=0000001C
101296.00ns INFO [00012663] Mem Update: @0001FFA0 0000001B->0000001C
101296.00ns INFO [00012663] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001C 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
101360.00ns INFO [00012671] C0: CP 0:000ED4 0000000000000ED4
101368.00ns INFO [00012672] C0: CP 0:000ED8 0000000000000ED8
101368.00ns INFO [00012672] C0: GPR Update: R09=000000000000001C
101376.00ns INFO [00012673] C0: CR Update: F0=9
101384.00ns INFO [00012674] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
101392.00ns INFO [00012675] C0: CP 0:000E50 1:000E54 0000000000000E50
101392.00ns INFO [00012675] C0: GPR Update: R09=000000000000001C
101400.00ns INFO [00012676] C0: CP 0:000E58 1:000E5C 0000000000000E58
101400.00ns INFO [00012676] C0: GPR Update: R09=0000000000000000
101400.00ns INFO [00012676] C0: GPR Update: R10=0000000000000070
101408.00ns INFO [00012677] C0: GPR Update: R09=00000000000013D0
101440.00ns INFO [00012681] C0: CP 0:000E60 0000000000000E60
101448.00ns INFO [00012682] C0: GPR Update: R09=00000000D624B27A
101456.00ns INFO [00012683] C0: CP 0:000E64 1:000E68 0000000000000E64
101464.00ns INFO [00012684] C0: CP 0:000E6C 1:000E70 0000000000000E6C
101464.00ns INFO [00012684] C0: GPR Update: R09=000000000000001C
101472.00ns INFO [00012685] C0: CP 0:000E74 1:000E78 0000000000000E74
101472.00ns INFO [00012685] C0: GPR Update: R09=0000000000000000
101472.00ns INFO [00012685] C0: GPR Update: R10=0000000000000070
101480.00ns INFO [00012686] C0: GPR Update: R09=0000000000001470
101488.00ns INFO [00012687] C0: CP 0:000E7C 0000000000000E7C
101496.00ns INFO [00012688] C0: GPR Update: R09=00000000D624B27A
101504.00ns INFO [00012689] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000D624B27ACD75F31300000000000000000000000000000000 WIMG:0
101504.00ns INFO [00012689] C0: CP 0:000E80 0000000000000E80
101512.00ns INFO [00012690] C0: CP 0:000E84 0000000000000E84
101520.00ns INFO [00012691] C0: CP 0:000E88 0000000000000E88
101520.00ns INFO [00012691] C0: GPR Update: R09=0000000000000001
101528.00ns INFO [00012692] C0: CP 0:000E8C 0000000000000E8C
101528.00ns INFO [00012692] C0: CR Update: F0=5
101536.00ns INFO [00012693] WB Slave: WR @0001FFA8 sel=F dat=D624B27A
101536.00ns INFO [00012693] Mem Update: @0001FFA8 FFFFFFFF->D624B27A
101536.00ns INFO [00012693] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001C 00000001 D624B27A FFFFFFFF 08675309 00000000 0001FFF8 00001350 .........$.z.....gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
101568.00ns INFO [00012697] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000D624B27A00000000000000000000000000000000 WIMG:0
101592.00ns INFO [00012700] ...tick...
101600.00ns INFO [00012701] WB Slave: WR @0001FFAC sel=F dat=D624B27A
101600.00ns INFO [00012701] Mem Update: @0001FFAC FFFFFFFF->D624B27A
101600.00ns INFO [00012701] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001C 00000001 D624B27A D624B27A 08675309 00000000 0001FFF8 00001350 .........$.z.$.z.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
101600.00ns INFO [00012701] C0: CP 0:000E90 0000000000000E90
101608.00ns INFO [00012702] C0: GPR Update: R10=00000000D624B27A
101664.00ns INFO [00012709] C0: CP 0:000E94 0000000000000E94
101672.00ns INFO [00012710] C0: CP 0:000E98 0000000000000E98
101672.00ns INFO [00012710] C0: GPR Update: R09=00000000D624B27A
101680.00ns INFO [00012711] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
101680.00ns INFO [00012711] C0: CR Update: F0=3
101688.00ns INFO [00012712] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
101688.00ns INFO [00012712] C0: GPR Update: R09=0000000000000001
101728.00ns INFO [00012717] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
101760.00ns INFO [00012721] WB Slave: WR @0001FFA4 sel=F dat=00000001
101760.00ns INFO [00012721] Mem Update: @0001FFA4 00000001->00000001
101824.00ns INFO [00012729] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
101832.00ns INFO [00012730] C0: GPR Update: R09=0000000000000001
101832.00ns INFO [00012730] C0: CR Update: F0=5
101840.00ns INFO [00012731] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
101848.00ns INFO [00012732] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
101848.00ns INFO [00012732] C0: GPR Update: R09=000000000000001C
101856.00ns INFO [00012733] C0: GPR Update: R09=000000000000001D
101888.00ns INFO [00012737] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001DCD75F313600000000000000000000000000000000000000000000000 WIMG:0
101920.00ns INFO [00012741] WB Slave: WR @0001FFA0 sel=F dat=0000001D
101920.00ns INFO [00012741] Mem Update: @0001FFA0 0000001C->0000001D
101920.00ns INFO [00012741] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001D 00000001 D624B27A D624B27A 08675309 00000000 0001FFF8 00001350 .........$.z.$.z.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
101984.00ns INFO [00012749] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
101992.00ns INFO [00012750] C0: GPR Update: R09=000000000000001D
101992.00ns INFO [00012750] C0: CR Update: F0=9
102000.00ns INFO [00012751] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
102008.00ns INFO [00012752] C0: CP 0:000E50 1:000E54 0000000000000E50
102008.00ns INFO [00012752] C0: GPR Update: R09=000000000000001D
102016.00ns INFO [00012753] C0: CP 0:000E58 1:000E5C 0000000000000E58
102016.00ns INFO [00012753] C0: GPR Update: R09=0000000000000000
102016.00ns INFO [00012753] C0: GPR Update: R10=0000000000000074
102024.00ns INFO [00012754] C0: GPR Update: R09=00000000000013D4
102064.00ns INFO [00012759] C0: CP 0:000E60 0000000000000E60
102072.00ns INFO [00012760] C0: GPR Update: R09=00000000FFFFFFFF
102080.00ns INFO [00012761] C0: CP 0:000E64 1:000E68 0000000000000E64
102088.00ns INFO [00012762] C0: CP 0:000E6C 1:000E70 0000000000000E6C
102088.00ns INFO [00012762] C0: GPR Update: R09=000000000000001D
102096.00ns INFO [00012763] C0: CP 0:000E74 1:000E78 0000000000000E74
102096.00ns INFO [00012763] C0: GPR Update: R09=0000000000000000
102096.00ns INFO [00012763] C0: GPR Update: R10=0000000000000074
102104.00ns INFO [00012764] C0: CP 0:000E7C 0000000000000E7C
102104.00ns INFO [00012764] C0: GPR Update: R09=0000000000001474
102112.00ns INFO [00012765] C0: CP 0:000E80 0000000000000E80
102112.00ns INFO [00012765] C0: GPR Update: R09=00000000FFFFFFFF
102120.00ns INFO [00012766] C0: CP 0:000E84 0000000000000E84
102128.00ns INFO [00012767] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
102128.00ns INFO [00012767] C0: CP 0:000E88 0000000000000E88
102128.00ns INFO [00012767] C0: GPR Update: R09=0000000000000001
102136.00ns INFO [00012768] C0: CP 0:000E8C 0000000000000E8C
102136.00ns INFO [00012768] C0: CR Update: F0=5
102160.00ns INFO [00012771] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
102160.00ns INFO [00012771] Mem Update: @0001FFA8 D624B27A->FFFFFFFF
102160.00ns INFO [00012771] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001D 00000001 FFFFFFFF D624B27A 08675309 00000000 0001FFF8 00001350 .............$.z.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
102208.00ns INFO [00012777] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
102224.00ns INFO [00012779] C0: CP 0:000E90 0000000000000E90
102232.00ns INFO [00012780] C0: GPR Update: R10=00000000FFFFFFFF
102240.00ns INFO [00012781] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
102240.00ns INFO [00012781] Mem Update: @0001FFAC D624B27A->FFFFFFFF
102240.00ns INFO [00012781] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001D 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
102304.00ns INFO [00012789] C0: CP 0:000E94 1:000E98 0000000000000E94
102312.00ns INFO [00012790] C0: GPR Update: R09=00000000FFFFFFFF
102312.00ns INFO [00012790] C0: CR Update: F0=3
102320.00ns INFO [00012791] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
102328.00ns INFO [00012792] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
102328.00ns INFO [00012792] C0: GPR Update: R09=0000000000000001
102368.00ns INFO [00012797] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
102392.00ns INFO [00012800] ...tick...
102400.00ns INFO [00012801] WB Slave: WR @0001FFA4 sel=F dat=00000001
102400.00ns INFO [00012801] Mem Update: @0001FFA4 00000001->00000001
102464.00ns INFO [00012809] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
102472.00ns INFO [00012810] C0: GPR Update: R09=0000000000000001
102472.00ns INFO [00012810] C0: CR Update: F0=5
102480.00ns INFO [00012811] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
102488.00ns INFO [00012812] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
102488.00ns INFO [00012812] C0: GPR Update: R09=000000000000001D
102496.00ns INFO [00012813] C0: GPR Update: R09=000000000000001E
102544.00ns INFO [00012819] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001ECD75F313600000000000000000000000000000000000000000000000 WIMG:0
102576.00ns INFO [00012823] WB Slave: WR @0001FFA0 sel=F dat=0000001E
102576.00ns INFO [00012823] Mem Update: @0001FFA0 0000001D->0000001E
102576.00ns INFO [00012823] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001E 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
102640.00ns INFO [00012831] C0: CP 0:000ED4 0000000000000ED4
102648.00ns INFO [00012832] C0: CP 0:000ED8 0000000000000ED8
102648.00ns INFO [00012832] C0: GPR Update: R09=000000000000001E
102656.00ns INFO [00012833] C0: CR Update: F0=9
102664.00ns INFO [00012834] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
102672.00ns INFO [00012835] C0: CP 0:000E50 1:000E54 0000000000000E50
102672.00ns INFO [00012835] C0: GPR Update: R09=000000000000001E
102680.00ns INFO [00012836] C0: CP 0:000E58 1:000E5C 0000000000000E58
102680.00ns INFO [00012836] C0: GPR Update: R09=0000000000000000
102680.00ns INFO [00012836] C0: GPR Update: R10=0000000000000078
102688.00ns INFO [00012837] C0: GPR Update: R09=00000000000013D8
102720.00ns INFO [00012841] C0: CP 0:000E60 0000000000000E60
102728.00ns INFO [00012842] C0: GPR Update: R09=00000000FFFFFFFF
102736.00ns INFO [00012843] C0: CP 0:000E64 1:000E68 0000000000000E64
102744.00ns INFO [00012844] C0: CP 0:000E6C 1:000E70 0000000000000E6C
102744.00ns INFO [00012844] C0: GPR Update: R09=000000000000001E
102752.00ns INFO [00012845] C0: CP 0:000E74 1:000E78 0000000000000E74
102752.00ns INFO [00012845] C0: GPR Update: R09=0000000000000000
102752.00ns INFO [00012845] C0: GPR Update: R10=0000000000000078
102760.00ns INFO [00012846] C0: GPR Update: R09=0000000000001478
102768.00ns INFO [00012847] C0: CP 0:000E7C 0000000000000E7C
102776.00ns INFO [00012848] C0: GPR Update: R09=00000000FFFFFFFF
102784.00ns INFO [00012849] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
102784.00ns INFO [00012849] C0: CP 0:000E80 0000000000000E80
102792.00ns INFO [00012850] C0: CP 0:000E84 0000000000000E84
102800.00ns INFO [00012851] C0: CP 0:000E88 0000000000000E88
102800.00ns INFO [00012851] C0: GPR Update: R09=0000000000000001
102808.00ns INFO [00012852] C0: CP 0:000E8C 0000000000000E8C
102808.00ns INFO [00012852] C0: CR Update: F0=5
102816.00ns INFO [00012853] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
102816.00ns INFO [00012853] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
102848.00ns INFO [00012857] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
102880.00ns INFO [00012861] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
102880.00ns INFO [00012861] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
102880.00ns INFO [00012861] C0: CP 0:000E90 0000000000000E90
102888.00ns INFO [00012862] C0: GPR Update: R10=00000000FFFFFFFF
102944.00ns INFO [00012869] C0: CP 0:000E94 0000000000000E94
102952.00ns INFO [00012870] C0: CP 0:000E98 0000000000000E98
102952.00ns INFO [00012870] C0: GPR Update: R09=00000000FFFFFFFF
102960.00ns INFO [00012871] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
102960.00ns INFO [00012871] C0: CR Update: F0=3
102968.00ns INFO [00012872] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
102968.00ns INFO [00012872] C0: GPR Update: R09=0000000000000001
103008.00ns INFO [00012877] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
103040.00ns INFO [00012881] WB Slave: WR @0001FFA4 sel=F dat=00000001
103040.00ns INFO [00012881] Mem Update: @0001FFA4 00000001->00000001
103104.00ns INFO [00012889] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
103112.00ns INFO [00012890] C0: GPR Update: R09=0000000000000001
103112.00ns INFO [00012890] C0: CR Update: F0=5
103120.00ns INFO [00012891] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
103128.00ns INFO [00012892] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
103128.00ns INFO [00012892] C0: GPR Update: R09=000000000000001E
103136.00ns INFO [00012893] C0: GPR Update: R09=000000000000001F
103168.00ns INFO [00012897] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=0000001FCD75F313600000000000000000000000000000000000000000000000 WIMG:0
103192.00ns INFO [00012900] ...tick...
103200.00ns INFO [00012901] WB Slave: WR @0001FFA0 sel=F dat=0000001F
103200.00ns INFO [00012901] Mem Update: @0001FFA0 0000001E->0000001F
103200.00ns INFO [00012901] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 0000001F 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 .................gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
103264.00ns INFO [00012909] C0: CP 0:000ED4 1:000ED8 0000000000000ED4
103272.00ns INFO [00012910] C0: GPR Update: R09=000000000000001F
103272.00ns INFO [00012910] C0: CR Update: F0=3
103280.00ns INFO [00012911] C0: CP 0:000EDC 1:000E4C 0000000000000EDC
103288.00ns INFO [00012912] C0: CP 0:000E50 1:000E54 0000000000000E50
103288.00ns INFO [00012912] C0: GPR Update: R09=000000000000001F
103296.00ns INFO [00012913] C0: CP 0:000E58 1:000E5C 0000000000000E58
103296.00ns INFO [00012913] C0: GPR Update: R09=0000000000000000
103296.00ns INFO [00012913] C0: GPR Update: R10=000000000000007C
103304.00ns INFO [00012914] C0: GPR Update: R09=00000000000013DC
103344.00ns INFO [00012919] C0: CP 0:000E60 0000000000000E60
103352.00ns INFO [00012920] C0: GPR Update: R09=00000000FFFFFFFF
103360.00ns INFO [00012921] C0: CP 0:000E64 1:000E68 0000000000000E64
103368.00ns INFO [00012922] C0: CP 0:000E6C 1:000E70 0000000000000E6C
103368.00ns INFO [00012922] C0: GPR Update: R09=000000000000001F
103376.00ns INFO [00012923] C0: CP 0:000E74 1:000E78 0000000000000E74
103376.00ns INFO [00012923] C0: GPR Update: R09=0000000000000000
103376.00ns INFO [00012923] C0: GPR Update: R10=000000000000007C
103384.00ns INFO [00012924] C0: CP 0:000E7C 0000000000000E7C
103384.00ns INFO [00012924] C0: GPR Update: R09=000000000000147C
103392.00ns INFO [00012925] C0: CP 0:000E80 0000000000000E80
103392.00ns INFO [00012925] C0: GPR Update: R09=00000000FFFFFFFF
103400.00ns INFO [00012926] C0: CP 0:000E84 0000000000000E84
103408.00ns INFO [00012927] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=6000000000000000FFFFFFFFCD75F31300000000000000000000000000000000 WIMG:0
103408.00ns INFO [00012927] C0: CP 0:000E88 0000000000000E88
103408.00ns INFO [00012927] C0: GPR Update: R09=0000000000000001
103416.00ns INFO [00012928] C0: CP 0:000E8C 0000000000000E8C
103416.00ns INFO [00012928] C0: CR Update: F0=5
103440.00ns INFO [00012931] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
103440.00ns INFO [00012931] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
103488.00ns INFO [00012937] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=CD75F3136000000000000000FFFFFFFF00000000000000000000000000000000 WIMG:0
103504.00ns INFO [00012939] C0: CP 0:000E90 0000000000000E90
103512.00ns INFO [00012940] C0: GPR Update: R10=00000000FFFFFFFF
103520.00ns INFO [00012941] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
103520.00ns INFO [00012941] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
103584.00ns INFO [00012949] C0: CP 0:000E94 1:000E98 0000000000000E94
103592.00ns INFO [00012950] C0: GPR Update: R09=00000000FFFFFFFF
103592.00ns INFO [00012950] C0: CR Update: F0=3
103600.00ns INFO [00012951] C0: CP 0:000E9C 1:000EA0 0000000000000E9C
103608.00ns INFO [00012952] C0: CP 0:000EA4 1:000EAC 0000000000000EA4
103608.00ns INFO [00012952] C0: GPR Update: R09=0000000000000001
103648.00ns INFO [00012957] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=0000000000000001CD75F3136000000000000000000000000000000000000000 WIMG:0
103680.00ns INFO [00012961] WB Slave: WR @0001FFA4 sel=F dat=00000001
103680.00ns INFO [00012961] Mem Update: @0001FFA4 00000001->00000001
103744.00ns INFO [00012969] C0: CP 0:000EB0 1:000EB4 0000000000000EB0
103752.00ns INFO [00012970] C0: GPR Update: R09=0000000000000001
103752.00ns INFO [00012970] C0: CR Update: F0=5
103760.00ns INFO [00012971] C0: CP 0:000EB8 1:000EC8 0000000000000EB8
103768.00ns INFO [00012972] C0: CP 0:000ECC 1:000ED0 0000000000000ECC
103768.00ns INFO [00012972] C0: GPR Update: R09=000000000000001F
103776.00ns INFO [00012973] C0: GPR Update: R09=0000000000000020
103824.00ns INFO [00012979] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000020CD75F313600000000000000000000000000000000000000000000000 WIMG:0
103856.00ns INFO [00012983] WB Slave: WR @0001FFA0 sel=F dat=00000020
103856.00ns INFO [00012983] Mem Update: @0001FFA0 0000001F->00000020
103856.00ns INFO [00012983] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000020 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 ... .............gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
103920.00ns INFO [00012991] C0: CP 0:000ED4 0000000000000ED4
103928.00ns INFO [00012992] C0: CP 0:000ED8 0000000000000ED8
103928.00ns INFO [00012992] C0: GPR Update: R09=0000000000000020
103936.00ns INFO [00012993] C0: CR Update: F0=5
103944.00ns INFO [00012994] C0: CP 0:000EDC 0000000000000EDC
103992.00ns INFO [00013000] ...tick...
104064.00ns INFO [00013009] C0: CP 0:000EE0 0000000000000EE0
104072.00ns INFO [00013010] T0 IFETCH 00000F40 tag=08 len=6 WIMG:0
104072.00ns INFO [00013010] C0: GPR Update: R09=0000000000000021
104088.00ns INFO [00013012] WB Slave: RD @00000F40=39200001
104088.00ns INFO [00013012] C0: CP 0:000EE4 0000000000000EE4
104104.00ns INFO [00013014] WB Slave: RD @00000F44=48000008
104120.00ns INFO [00013016] WB Slave: RD @00000F48=39200000
104136.00ns INFO [00013018] WB Slave: RD @00000F4C=9121000C
104152.00ns INFO [00013020] WB Slave: RD @00000F50=8121000C
104168.00ns INFO [00013022] WB Slave: RD @00000F54=2C090000
104184.00ns INFO [00013024] WB Slave: RD @00000F58=40820010
104200.00ns INFO [00013026] WB Slave: RD @00000F5C=81210008
104216.00ns INFO [00013028] WB Slave: RD @00000F60=7D234B78
104232.00ns INFO [00013030] WB Slave: RD @00000F64=4BFFF891
104248.00ns INFO [00013032] WB Slave: RD @00000F68=39200022
104264.00ns INFO [00013034] WB Slave: RD @00000F6C=91210008
104280.00ns INFO [00013036] WB Slave: RD @00000F70=4800008C
104296.00ns INFO [00013038] WB Slave: RD @00000F74=81210008
104312.00ns INFO [00013040] WB Slave: RD @00000F78=552A103A
104328.00ns INFO [00013042] WB Slave: RD @00000F7C=3D200000
104424.00ns INFO [00013054] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000214800008C812100080000000000000000000000000000000000000000 WIMG:0
104456.00ns INFO [00013058] WB Slave: WR @0001FFA0 sel=F dat=00000021
104456.00ns INFO [00013058] Mem Update: @0001FFA0 00000020->00000021
104456.00ns INFO [00013058] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000021 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 ...!.............gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
104480.00ns INFO [00013061] T0 IFETCH 00000F80 tag=09 len=6 WIMG:0
104496.00ns INFO [00013063] WB Slave: RD @00000F80=39291360
104512.00ns INFO [00013065] WB Slave: RD @00000F84=7D2A4A14
104520.00ns INFO [00013066] C0: CP 0:000EE8 1:000EEC 0000000000000EE8
104528.00ns INFO [00013067] WB Slave: RD @00000F88=81290000
104528.00ns INFO [00013067] C0: CP 0:000EF0 1:000EF4 0000000000000EF0
104528.00ns INFO [00013067] C0: GPR Update: R09=0000000000000021
104528.00ns INFO [00013067] C0: GPR Update: R10=0000000000000084
104536.00ns INFO [00013068] C0: GPR Update: R09=0000000000001360
104544.00ns INFO [00013069] WB Slave: RD @00000F8C=91210010
104544.00ns INFO [00013069] C0: CP 0:000EF8 0000000000000EF8
104552.00ns INFO [00013070] C0: GPR Update: R09=00000000000013E4
104560.00ns INFO [00013071] WB Slave: RD @00000F90=81210008
104576.00ns INFO [00013073] WB Slave: RD @00000F94=552A103A
104592.00ns INFO [00013075] WB Slave: RD @00000F98=3D200000
104600.00ns INFO [00013076] C0: CP 0:000EFC 0000000000000EFC
104608.00ns INFO [00013077] WB Slave: RD @00000F9C=39291400
104608.00ns INFO [00013077] C0: GPR Update: R09=000000008000006E
104616.00ns INFO [00013078] C0: CP 0:000F00 1:000F04 0000000000000F00
104624.00ns INFO [00013079] WB Slave: RD @00000FA0=7D2A4A14
104624.00ns INFO [00013079] C0: CP 0:000F08 1:000F0C 0000000000000F08
104624.00ns INFO [00013079] C0: GPR Update: R09=0000000000000021
104632.00ns INFO [00013080] C0: CP 0:000F10 1:000F14 0000000000000F10
104632.00ns INFO [00013080] C0: GPR Update: R09=0000000000000000
104632.00ns INFO [00013080] C0: GPR Update: R10=0000000000000084
104640.00ns INFO [00013081] WB Slave: RD @00000FA4=81290000
104640.00ns INFO [00013081] C0: GPR Update: R09=0000000000001484
104656.00ns INFO [00013083] WB Slave: RD @00000FA8=91210014
104672.00ns INFO [00013085] WB Slave: RD @00000FAC=8121000C
104688.00ns INFO [00013087] WB Slave: RD @00000FB0=2C090000
104704.00ns INFO [00013089] WB Slave: RD @00000FB4=4182001C
104720.00ns INFO [00013091] WB Slave: RD @00000FB8=81410010
104736.00ns INFO [00013093] WB Slave: RD @00000FBC=81210014
104792.00ns INFO [00013100] ...tick...
104832.00ns INFO [00013105] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=4182001C000000008000006E2C09000000000000000000000000000000000000 WIMG:0
104864.00ns INFO [00013109] WB Slave: WR @0001FFA8 sel=F dat=8000006E
104864.00ns INFO [00013109] Mem Update: @0001FFA8 FFFFFFFF->8000006E
104864.00ns INFO [00013109] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000021 00000001 8000006E FFFFFFFF 08675309 00000000 0001FFF8 00001350 ...!.......n.....gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
104888.00ns INFO [00013112] T0 LOAD 00001484 tag=00 len=4 WIMG:0
104904.00ns INFO [00013114] WB Slave: RD @00001480=9BFD3628
104920.00ns INFO [00013116] WB Slave: RD @00001484=98F0006E
104936.00ns INFO [00013118] WB Slave: RD @00001488=FFFFFFFF
104952.00ns INFO [00013120] WB Slave: RD @0000148C=FFFFFFFF
104968.00ns INFO [00013122] WB Slave: RD @00001490=FFFFFFFF
104984.00ns INFO [00013124] WB Slave: RD @00001494=00001104
105000.00ns INFO [00013126] WB Slave: RD @00001498=00010038
105016.00ns INFO [00013128] WB Slave: RD @0000149C=9421FFF0
105032.00ns INFO [00013130] WB Slave: RD @000014A0=7C691B78
105048.00ns INFO [00013132] WB Slave: RD @000014A4=99210008
105064.00ns INFO [00013134] WB Slave: RD @000014A8=89210008
105080.00ns INFO [00013136] WB Slave: RD @000014AC=3D400000
105096.00ns INFO [00013138] WB Slave: RD @000014B0=394A0904
105112.00ns INFO [00013140] WB Slave: RD @000014B4=7D2A48AE
105128.00ns INFO [00013142] WB Slave: RD @000014B8=552907BC
105144.00ns INFO [00013144] WB Slave: RD @000014BC=2C090000
105232.00ns INFO [00013155] C0: CP 0:000F18 0000000000000F18
105240.00ns INFO [00013156] C0: GPR Update: R09=0000000098F0006E
105256.00ns INFO [00013158] C0: CP 0:000F1C 0000000000000F1C
105264.00ns INFO [00013159] C0: GPR Update: R09=98F0006E8000006E
105280.00ns INFO [00013161] C0: CP 0:000F20 1:000F24 0000000000000F20
105288.00ns INFO [00013162] C0: CP 0:000F28 1:000F2C 0000000000000F28
105288.00ns INFO [00013162] C0: GPR Update: R09=0000000000000001
105296.00ns INFO [00013163] C0: CR Update: F0=5
105304.00ns INFO [00013164] T0 IFETCH 00000FF0 tag=08 len=6 WIMG:0
105320.00ns INFO [00013166] WB Slave: RD @00000FC0=7C0A4800
105336.00ns INFO [00013168] WB Slave: RD @00000FC4=4082000C
105352.00ns INFO [00013170] WB Slave: RD @00000FC8=39200001
105368.00ns INFO [00013172] WB Slave: RD @00000FCC=48000008
105384.00ns INFO [00013174] WB Slave: RD @00000FD0=39200000
105400.00ns INFO [00013176] WB Slave: RD @00000FD4=9121000C
105416.00ns INFO [00013178] WB Slave: RD @00000FD8=8121000C
105432.00ns INFO [00013180] WB Slave: RD @00000FDC=2C090000
105432.00ns INFO [00013180] C0: CP 0:000F30 0000000000000F30
105440.00ns INFO [00013181] C0: GPR Update: R10=000000008000006E
105448.00ns INFO [00013182] WB Slave: RD @00000FE0=40820010
105464.00ns INFO [00013184] WB Slave: RD @00000FE4=81210008
105480.00ns INFO [00013186] WB Slave: RD @00000FE8=7D234B78
105496.00ns INFO [00013188] WB Slave: RD @00000FEC=4BFFF809
105512.00ns INFO [00013190] WB Slave: RD @00000FF0=81210008
105528.00ns INFO [00013192] WB Slave: RD @00000FF4=39290001
105544.00ns INFO [00013194] WB Slave: RD @00000FF8=91210008
105560.00ns INFO [00013196] WB Slave: RD @00000FFC=81210008
105592.00ns INFO [00013200] ...tick...
105656.00ns INFO [00013208] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=812100083929000198F0006E8000006E00000000000000000000000000000000 WIMG:0
105688.00ns INFO [00013212] WB Slave: WR @0001FFAC sel=F dat=8000006E
105688.00ns INFO [00013212] Mem Update: @0001FFAC FFFFFFFF->8000006E
105688.00ns INFO [00013212] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000021 00000001 8000006E 8000006E 08675309 00000000 0001FFF8 00001350 ...!.......n...n.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
105712.00ns INFO [00013215] T0 IFETCH 00001000 tag=08 len=6 WIMG:0
105728.00ns INFO [00013217] WB Slave: RD @00001000=28090023
105744.00ns INFO [00013219] WB Slave: RD @00001004=4081FF70
105752.00ns INFO [00013220] C0: CP 0:000F34 0000000000000F34
105760.00ns INFO [00013221] WB Slave: RD @00001008=4BFFF7E9
105760.00ns INFO [00013221] C0: CP 0:000F38 0000000000000F38
105760.00ns INFO [00013221] C0: GPR Update: R09=000000008000006E
105768.00ns INFO [00013222] C0: CP 0:000F3C 0000000000000F3C
105768.00ns INFO [00013222] C0: CR Update: F0=3
105776.00ns INFO [00013223] WB Slave: RD @0000100C=48000000
105792.00ns INFO [00013225] WB Slave: RD @00001010=9421FFF0
105808.00ns INFO [00013227] WB Slave: RD @00001014=90610008
105824.00ns INFO [00013229] WB Slave: RD @00001018=9081000C
105840.00ns INFO [00013231] WB Slave: RD @0000101C=48000258
105856.00ns INFO [00013233] WB Slave: RD @00001020=60000000
105872.00ns INFO [00013235] WB Slave: RD @00001024=38210010
105888.00ns INFO [00013237] WB Slave: RD @00001028=4E800020
105888.00ns INFO [00013237] C0: CP 0:000F40 1:000F44 0000000000000F40
105896.00ns INFO [00013238] C0: GPR Update: R09=0000000000000001
105904.00ns INFO [00013239] WB Slave: RD @0000102C=48000000
105920.00ns INFO [00013241] WB Slave: RD @00001030=0001C000
105936.00ns INFO [00013243] WB Slave: RD @00001034=00000000
105952.00ns INFO [00013245] WB Slave: RD @00001038=00000000
105952.00ns INFO [00013245] C0: CP 0:000F4C 0000000000000F4C
105968.00ns INFO [00013247] WB Slave: RD @0000103C=00000000
106064.00ns INFO [00013259] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000010001C0000000000000000000000000000000000000000000 WIMG:0
106096.00ns INFO [00013263] WB Slave: WR @0001FFA4 sel=F dat=00000001
106096.00ns INFO [00013263] Mem Update: @0001FFA4 00000001->00000001
106120.00ns INFO [00013266] T0 IFETCH 00001040 tag=09 len=6 WIMG:0
106136.00ns INFO [00013268] WB Slave: RD @00001040=696E666F
106152.00ns INFO [00013270] WB Slave: RD @00001044=20746578
106160.00ns INFO [00013271] C0: CP 0:000F50 1:000F54 0000000000000F50
106168.00ns INFO [00013272] WB Slave: RD @00001048=74006865
106168.00ns INFO [00013272] C0: GPR Update: R09=0000000000000001
106168.00ns INFO [00013272] C0: CR Update: F0=5
106176.00ns INFO [00013273] C0: CP 0:000F58 1:000F68 0000000000000F58
106184.00ns INFO [00013274] WB Slave: RD @0000104C=61646572
106184.00ns INFO [00013274] C0: CP 0:000F6C 1:000F70 0000000000000F6C
106184.00ns INFO [00013274] C0: GPR Update: R09=0000000000000022
106200.00ns INFO [00013276] WB Slave: RD @00001050=20746578
106216.00ns INFO [00013278] WB Slave: RD @00001054=74000000
106232.00ns INFO [00013280] WB Slave: RD @00001058=00000000
106248.00ns INFO [00013282] WB Slave: RD @0000105C=00000000
106264.00ns INFO [00013284] WB Slave: RD @00001060=00000000
106280.00ns INFO [00013286] WB Slave: RD @00001064=5822C905
106296.00ns INFO [00013288] WB Slave: RD @00001068=FFFFFFFF
106312.00ns INFO [00013290] WB Slave: RD @0000106C=91B6D1A3
106328.00ns INFO [00013292] WB Slave: RD @00001070=FFFFFFFF
106344.00ns INFO [00013294] WB Slave: RD @00001074=FFFFFFFF
106360.00ns INFO [00013296] WB Slave: RD @00001078=FFFFFFFF
106376.00ns INFO [00013298] WB Slave: RD @0000107C=FFFFFFFF
106392.00ns INFO [00013300] ...tick...
106472.00ns INFO [00013310] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=00000022FFFFFFFFFFFFFFFF0000000000000000000000000000000000000000 WIMG:0
106504.00ns INFO [00013314] WB Slave: WR @0001FFA0 sel=F dat=00000022
106504.00ns INFO [00013314] Mem Update: @0001FFA0 00000021->00000022
106504.00ns INFO [00013314] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000022 00000001 8000006E 8000006E 08675309 00000000 0001FFF8 00001350 ...".......n...n.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
106528.00ns INFO [00013317] T0 IFETCH 00000F80 tag=08 len=6 WIMG:0
106544.00ns INFO [00013319] WB Slave: RD @00000F80=39291360
106560.00ns INFO [00013321] WB Slave: RD @00000F84=7D2A4A14
106568.00ns INFO [00013322] C0: CP 0:000FFC 0000000000000FFC
106576.00ns INFO [00013323] WB Slave: RD @00000F88=81290000
106576.00ns INFO [00013323] C0: CP 0:001000 0000000000001000
106576.00ns INFO [00013323] C0: GPR Update: R09=0000000000000022
106584.00ns INFO [00013324] C0: CP 0:001004 1:000F74 0000000000001004
106584.00ns INFO [00013324] C0: CR Update: F0=9
106592.00ns INFO [00013325] WB Slave: RD @00000F8C=91210010
106592.00ns INFO [00013325] C0: CP 0:000F78 1:000F7C 0000000000000F78
106592.00ns INFO [00013325] C0: GPR Update: R09=0000000000000022
106600.00ns INFO [00013326] C0: GPR Update: R09=0000000000000000
106600.00ns INFO [00013326] C0: GPR Update: R10=0000000000000088
106608.00ns INFO [00013327] WB Slave: RD @00000F90=81210008
106624.00ns INFO [00013329] WB Slave: RD @00000F94=552A103A
106640.00ns INFO [00013331] WB Slave: RD @00000F98=3D200000
106656.00ns INFO [00013333] WB Slave: RD @00000F9C=39291400
106672.00ns INFO [00013335] WB Slave: RD @00000FA0=7D2A4A14
106688.00ns INFO [00013337] WB Slave: RD @00000FA4=81290000
106704.00ns INFO [00013339] WB Slave: RD @00000FA8=91210014
106720.00ns INFO [00013341] WB Slave: RD @00000FAC=8121000C
106736.00ns INFO [00013343] WB Slave: RD @00000FB0=2C090000
106752.00ns INFO [00013345] WB Slave: RD @00000FB4=4182001C
106768.00ns INFO [00013347] WB Slave: RD @00000FB8=81410010
106784.00ns INFO [00013349] WB Slave: RD @00000FBC=81210014
106936.00ns INFO [00013368] C0: CP 0:000F80 1:000F84 0000000000000F80
106944.00ns INFO [00013369] C0: GPR Update: R09=00000000000013E8
106992.00ns INFO [00013375] C0: CP 0:000F88 0000000000000F88
107000.00ns INFO [00013376] C0: GPR Update: R09=00000000FFFFFFFF
107008.00ns INFO [00013377] C0: CP 0:000F8C 0000000000000F8C
107016.00ns INFO [00013378] C0: CP 0:000F90 0000000000000F90
107024.00ns INFO [00013379] C0: CP 0:000F94 1:000F98 0000000000000F94
107024.00ns INFO [00013379] C0: GPR Update: R09=0000000000000022
107032.00ns INFO [00013380] C0: CP 0:000F9C 0000000000000F9C
107032.00ns INFO [00013380] C0: GPR Update: R09=0000000000000000
107032.00ns INFO [00013380] C0: GPR Update: R10=0000000000000088
107040.00ns INFO [00013381] C0: CP 0:000FA0 0000000000000FA0
107040.00ns INFO [00013381] C0: GPR Update: R09=0000000000001400
107048.00ns INFO [00013382] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=4182001C00000000FFFFFFFF2C09000000000000000000000000000000000000 WIMG:0
107048.00ns INFO [00013382] C0: GPR Update: R09=0000000000001488
107080.00ns INFO [00013386] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
107080.00ns INFO [00013386] Mem Update: @0001FFA8 8000006E->FFFFFFFF
107080.00ns INFO [00013386] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000022 00000001 FFFFFFFF 8000006E 08675309 00000000 0001FFF8 00001350 ..."...........n.gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
107088.00ns INFO [00013387] C0: CP 0:000FA4 0000000000000FA4
107096.00ns INFO [00013388] C0: GPR Update: R09=00000000FFFFFFFF
107104.00ns INFO [00013389] C0: CP 0:000FA8 1:000FAC 0000000000000FA8
107112.00ns INFO [00013390] C0: CP 0:000FB0 1:000FB4 0000000000000FB0
107112.00ns INFO [00013390] C0: GPR Update: R09=0000000000000001
107120.00ns INFO [00013391] C0: CR Update: F0=5
107144.00ns INFO [00013394] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=2C0900004182001C00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
107176.00ns INFO [00013398] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
107176.00ns INFO [00013398] Mem Update: @0001FFAC 8000006E->FFFFFFFF
107176.00ns INFO [00013398] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000022 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 ...".............gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
107192.00ns INFO [00013400] ...tick...
107256.00ns INFO [00013408] C0: CP 0:000FB8 0000000000000FB8
107264.00ns INFO [00013409] C0: CP 0:000FBC 0000000000000FBC
107264.00ns INFO [00013409] C0: GPR Update: R10=00000000FFFFFFFF
107272.00ns INFO [00013410] C0: CP 0:000FC0 0000000000000FC0
107272.00ns INFO [00013410] C0: GPR Update: R09=00000000FFFFFFFF
107280.00ns INFO [00013411] C0: CP 0:000FC4 0000000000000FC4
107280.00ns INFO [00013411] C0: CR Update: F0=3
107400.00ns INFO [00013426] C0: CP 0:000FC8 1:000FCC 0000000000000FC8
107408.00ns INFO [00013427] C0: GPR Update: R09=0000000000000001
107464.00ns INFO [00013434] C0: CP 0:000FD4 0000000000000FD4
107512.00ns INFO [00013440] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000012C0900004182001C00000000000000000000000000000000 WIMG:0
107544.00ns INFO [00013444] WB Slave: WR @0001FFA4 sel=F dat=00000001
107544.00ns INFO [00013444] Mem Update: @0001FFA4 00000001->00000001
107608.00ns INFO [00013452] C0: CP 0:000FD8 1:000FDC 0000000000000FD8
107616.00ns INFO [00013453] C0: GPR Update: R09=0000000000000001
107616.00ns INFO [00013453] C0: CR Update: F0=5
107632.00ns INFO [00013455] C0: CP 0:000FE0 1:000FF0 0000000000000FE0
107640.00ns INFO [00013456] C0: CP 0:000FF4 1:000FF8 0000000000000FF4
107640.00ns INFO [00013456] C0: GPR Update: R09=0000000000000022
107648.00ns INFO [00013457] C0: GPR Update: R09=0000000000000023
107688.00ns INFO [00013462] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000232C0900004182001C0000000000000000000000000000000000000000 WIMG:0
107720.00ns INFO [00013466] WB Slave: WR @0001FFA0 sel=F dat=00000023
107720.00ns INFO [00013466] Mem Update: @0001FFA0 00000022->00000023
107720.00ns INFO [00013466] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000023 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 ...#.............gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
107784.00ns INFO [00013474] C0: CP 0:000FFC 0000000000000FFC
107792.00ns INFO [00013475] C0: CP 0:001000 0000000000001000
107792.00ns INFO [00013475] C0: GPR Update: R09=0000000000000023
107800.00ns INFO [00013476] C0: CR Update: F0=3
107808.00ns INFO [00013477] C0: CP 0:001004 1:000F74 0000000000001004
107816.00ns INFO [00013478] C0: CP 0:000F78 1:000F7C 0000000000000F78
107816.00ns INFO [00013478] C0: GPR Update: R09=0000000000000023
107824.00ns INFO [00013479] C0: CP 0:000F80 1:000F84 0000000000000F80
107824.00ns INFO [00013479] C0: GPR Update: R09=0000000000000000
107824.00ns INFO [00013479] C0: GPR Update: R10=000000000000008C
107832.00ns INFO [00013480] C0: GPR Update: R09=00000000000013EC
107864.00ns INFO [00013484] C0: CP 0:000F88 0000000000000F88
107872.00ns INFO [00013485] C0: GPR Update: R09=00000000FFFFFFFF
107880.00ns INFO [00013486] C0: CP 0:000F8C 1:000F90 0000000000000F8C
107888.00ns INFO [00013487] C0: CP 0:000F94 1:000F98 0000000000000F94
107888.00ns INFO [00013487] C0: GPR Update: R09=0000000000000023
107896.00ns INFO [00013488] C0: CP 0:000F9C 1:000FA0 0000000000000F9C
107896.00ns INFO [00013488] C0: GPR Update: R09=0000000000000000
107896.00ns INFO [00013488] C0: GPR Update: R10=000000000000008C
107904.00ns INFO [00013489] C0: GPR Update: R09=000000000000148C
107912.00ns INFO [00013490] C0: CP 0:000FA4 0000000000000FA4
107920.00ns INFO [00013491] C0: GPR Update: R09=00000000FFFFFFFF
107928.00ns INFO [00013492] T0 STORE 0001FFA8 tag=00 len=4 be=00F00000 data=4182001C00000000FFFFFFFF2C09000000000000000000000000000000000000 WIMG:0
107928.00ns INFO [00013492] C0: CP 0:000FA8 0000000000000FA8
107936.00ns INFO [00013493] C0: CP 0:000FAC 0000000000000FAC
107944.00ns INFO [00013494] C0: CP 0:000FB0 0000000000000FB0
107944.00ns INFO [00013494] C0: GPR Update: R09=0000000000000001
107952.00ns INFO [00013495] C0: CP 0:000FB4 0000000000000FB4
107952.00ns INFO [00013495] C0: CR Update: F0=5
107960.00ns INFO [00013496] WB Slave: WR @0001FFA8 sel=F dat=FFFFFFFF
107960.00ns INFO [00013496] Mem Update: @0001FFA8 FFFFFFFF->FFFFFFFF
107992.00ns INFO [00013500] ...tick...
107992.00ns INFO [00013500] T0 STORE 0001FFAC tag=00 len=4 be=000F0000 data=2C0900004182001C00000000FFFFFFFF00000000000000000000000000000000 WIMG:0
108024.00ns INFO [00013504] WB Slave: WR @0001FFAC sel=F dat=FFFFFFFF
108024.00ns INFO [00013504] Mem Update: @0001FFAC FFFFFFFF->FFFFFFFF
108104.00ns INFO [00013514] C0: CP 0:000FB8 0000000000000FB8
108112.00ns INFO [00013515] C0: CP 0:000FBC 0000000000000FBC
108112.00ns INFO [00013515] C0: GPR Update: R10=00000000FFFFFFFF
108120.00ns INFO [00013516] C0: CP 0:000FC0 0000000000000FC0
108120.00ns INFO [00013516] C0: GPR Update: R09=00000000FFFFFFFF
108128.00ns INFO [00013517] C0: CP 0:000FC4 0000000000000FC4
108128.00ns INFO [00013517] C0: CR Update: F0=3
108248.00ns INFO [00013532] C0: CP 0:000FC8 1:000FCC 0000000000000FC8
108256.00ns INFO [00013533] C0: GPR Update: R09=0000000000000001
108296.00ns INFO [00013538] C0: CP 0:000FD4 0000000000000FD4
108344.00ns INFO [00013544] T0 STORE 0001FFA4 tag=00 len=4 be=0F000000 data=00000000000000012C0900004182001C00000000000000000000000000000000 WIMG:0
108376.00ns INFO [00013548] WB Slave: WR @0001FFA4 sel=F dat=00000001
108376.00ns INFO [00013548] Mem Update: @0001FFA4 00000001->00000001
108440.00ns INFO [00013556] C0: CP 0:000FD8 1:000FDC 0000000000000FD8
108448.00ns INFO [00013557] C0: GPR Update: R09=0000000000000001
108448.00ns INFO [00013557] C0: CR Update: F0=5
108456.00ns INFO [00013558] C0: CP 0:000FE0 1:000FF0 0000000000000FE0
108464.00ns INFO [00013559] C0: CP 0:000FF4 1:000FF8 0000000000000FF4
108464.00ns INFO [00013559] C0: GPR Update: R09=0000000000000023
108472.00ns INFO [00013560] C0: GPR Update: R09=0000000000000024
108520.00ns INFO [00013566] T0 STORE 0001FFA0 tag=00 len=4 be=F0000000 data=000000242C0900004182001C0000000000000000000000000000000000000000 WIMG:0
108552.00ns INFO [00013570] WB Slave: WR @0001FFA0 sel=F dat=00000024
108552.00ns INFO [00013570] Mem Update: @0001FFA0 00000023->00000024
108552.00ns INFO [00013570] Stack:
0001FD00: 00000000 FFFFFFFF 00000A38 20000000 30000000 00000000 00000000 00000000 ...........8 ...0...............
0001FD20: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FD60: 0001FE2A 0001FF24 00000000 0000000A FFFFFFFB 00000000 00000002 00000000 ...*...$........................
0001FD80: 00000000 00000000 0001FDE8 00000000 00000000 00000000 00000000 0000000A ................................
0001FDA0: 0001FE2C 0001FF24 00000000 00000002 FFFFFFFF FFFFFFFF FFFFFFFF 00000000 ...,...$........................
0001FDC0: 00000000 00000000 00000000 00000000 0001FE24 00000100 000008F9 0001FF44 ...................$...........D
0001FDE0: 00000000 00000000 0001FDF8 0000267C 0A000008 00000000 0001FE08 00000000 ..............&|................
0001FE00: 0000000A 00000100 0001FE18 000039F8 0001FE2C 00000000 0001FF38 00003A80 ..............9....,.......8..:.
0001FE20: 00000008 6D61696E 2830290A 00000000 00000000 00000000 00000000 00000000 ....main(0).....................
0001FE40: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE60: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FE80: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEA0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEC0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FEE0: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF00: 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 ................................
0001FF20: 00000000 00000000 00000000 00000000 000008F0 0001FF44 0001FFB8 00003B18 .......................D......;.
0001FF40: 00000008 02000000 0001FFC0 0001FF58 000008F0 00000000 00000000 00000000 ...............X................
0001FF60: 00000000 00000000 00000000 0001C004 00000000 0000000A 00000000 00000000 ................................
0001FF80: 00000000 00000000 00000000 00000000 00000000 00000000 0001FFB8 00000000 ................................
0001FFA0: 00000024 00000001 FFFFFFFF FFFFFFFF 08675309 00000000 0001FFF8 00001350 ...$.............gS............P
0001FFC0: 0001E028 00012008 00001060 00000000 00000000 000018C0 000018C0 FE000000 ...(.. ....`....................
0001FFE0: 00000000 00000000 00000000 03000000 00000000 00000000 00000000 00000518 ................................
108616.00ns INFO [00013578] C0: CP 0:000FFC 0000000000000FFC
108624.00ns INFO [00013579] C0: CP 0:001000 0000000000001000
108624.00ns INFO [00013579] C0: GPR Update: R09=0000000000000024
108632.00ns INFO [00013580] C0: CP 0:001004 0000000000001004
108632.00ns INFO [00013580] C0: CR Update: F0=5
108720.00ns INFO [00013591] T0 IFETCH 000007F0 tag=08 len=6 WIMG:0
108736.00ns INFO [00013593] WB Slave: RD @000007C0=00000000
108744.00ns INFO [00013594] C0: CP 0:001008 0000000000001008
108752.00ns INFO [00013595] WB Slave: RD @000007C4=00000000
108752.00ns INFO [00013595] C0: LR Update:000000000000100C
108768.00ns INFO [00013597] WB Slave: RD @000007C8=00000000
108784.00ns INFO [00013599] WB Slave: RD @000007CC=00000000
108792.00ns INFO [00013600] ...tick...
108800.00ns INFO [00013601] WB Slave: RD @000007D0=00000000
108816.00ns INFO [00013603] WB Slave: RD @000007D4=00000000
108832.00ns INFO [00013605] WB Slave: RD @000007D8=00000000
108848.00ns INFO [00013607] WB Slave: RD @000007DC=00000000
108864.00ns INFO [00013609] WB Slave: RD @000007E0=00000000
108880.00ns INFO [00013611] WB Slave: RD @000007E4=00000000
108896.00ns INFO [00013613] WB Slave: RD @000007E8=00000000
108912.00ns INFO [00013615] WB Slave: RD @000007EC=00000000
108928.00ns INFO [00013617] WB Slave: RD @000007F0=48000000
108944.00ns INFO [00013619] WB Slave: RD @000007F4=48000000
108960.00ns INFO [00013621] WB Slave: RD @000007F8=00000000
108976.00ns INFO [00013623] WB Slave: RD @000007FC=48000000
109136.00ns INFO [00013643] T0 IFETCH 00000800 tag=09 len=6 WIMG:0
109144.00ns INFO [00013644] C0: CP 0:0007F0 00000000000007F0
109144.00ns INFO [00013644] Passing IAR detected: 000007F0
109144.00ns INFO Test stopped by this forked coroutine
109144.00ns INFO tb_litex passed
109144.00ns INFO **************************************************************************************
** TEST STATUS SIM TIME (ns) REAL TIME (s) RATIO (ns/s) **
**************************************************************************************
** tb_node.tb_litex PASS 109144.00 2591.45 42.12 **
**************************************************************************************
** TESTS=0 PASS=1 FAIL=0 SKIP=0 109144.00 2591.49 42.12 **
**************************************************************************************
VCD info: dumpfile a2olitex.vcd opened for output.
VCD warning: $dumpvars: Package ($unit) is not dumpable with VCD.
make[1]: Leaving directory '/data/projects/a2o/dev/sim/coco'
vcd2fst a2olitex.vcd a2olitex.fst
rm a2olitex.vcd